TWI674617B - 用於在電漿清潔製程之後執行電漿處理製程的方法 - Google Patents
用於在電漿清潔製程之後執行電漿處理製程的方法 Download PDFInfo
- Publication number
- TWI674617B TWI674617B TW106113714A TW106113714A TWI674617B TW I674617 B TWI674617 B TW I674617B TW 106113714 A TW106113714 A TW 106113714A TW 106113714 A TW106113714 A TW 106113714A TW I674617 B TWI674617 B TW I674617B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- plasma processing
- processing chamber
- containing gas
- gas
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 143
- 238000004140 cleaning Methods 0.000 title claims abstract description 67
- 238000009832 plasma treatment Methods 0.000 title claims description 5
- 238000012545 processing Methods 0.000 claims abstract description 195
- 239000007789 gas Substances 0.000 claims abstract description 186
- 239000000203 mixture Substances 0.000 claims abstract description 60
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 43
- 239000000758 substrate Substances 0.000 claims abstract description 42
- 239000001257 hydrogen Substances 0.000 claims abstract description 33
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 33
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 32
- 239000001301 oxygen Substances 0.000 claims abstract description 32
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 30
- 238000011065 in-situ storage Methods 0.000 claims abstract description 11
- 230000003750 conditioning effect Effects 0.000 claims description 18
- 239000000356 contaminant Substances 0.000 claims description 14
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 12
- 239000011737 fluorine Substances 0.000 claims description 12
- 229910052731 fluorine Inorganic materials 0.000 claims description 12
- 229910052751 metal Inorganic materials 0.000 claims description 10
- 239000002184 metal Substances 0.000 claims description 10
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminum fluoride Inorganic materials F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 claims description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- IRPGOXJVTQTAAN-UHFFFAOYSA-N 2,2,3,3,3-pentafluoropropanal Chemical compound FC(F)(F)C(F)(F)C=O IRPGOXJVTQTAAN-UHFFFAOYSA-N 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- 239000012528 membrane Substances 0.000 claims description 4
- 229910044991 metal oxide Inorganic materials 0.000 claims description 3
- 150000004706 metal oxides Chemical class 0.000 claims description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 2
- 229910004013 NO 2 Inorganic materials 0.000 claims description 2
- 230000001105 regulatory effect Effects 0.000 claims 1
- 239000004065 semiconductor Substances 0.000 abstract description 7
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 239000011261 inert gas Substances 0.000 description 15
- 238000009826 distribution Methods 0.000 description 14
- 239000006227 byproduct Substances 0.000 description 13
- 239000000463 material Substances 0.000 description 9
- 238000000151 deposition Methods 0.000 description 8
- 230000008021 deposition Effects 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 239000012686 silicon precursor Substances 0.000 description 4
- 230000003749 cleanliness Effects 0.000 description 3
- 230000002708 enhancing effect Effects 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910016569 AlF 3 Inorganic materials 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 230000006378 damage Effects 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910000599 Cr alloy Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018487 Ni—Cr Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000005513 bias potential Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000000788 chromium alloy Substances 0.000 description 1
- BIJOYKCOMBZXAE-UHFFFAOYSA-N chromium iron nickel Chemical compound [Cr].[Fe].[Ni] BIJOYKCOMBZXAE-UHFFFAOYSA-N 0.000 description 1
- VNNRSPGTAMTISX-UHFFFAOYSA-N chromium nickel Chemical compound [Cr].[Ni] VNNRSPGTAMTISX-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- APURLPHDHPNUFL-UHFFFAOYSA-M fluoroaluminum Chemical compound [Al]F APURLPHDHPNUFL-UHFFFAOYSA-M 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229910001293 incoloy Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/67034—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/335—Cleaning
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
本揭露書的實施例包括用於半導體基板製造製程的電漿處理腔室的原位腔室清潔效率強化製程的方法。在一個實施例中,用於在清潔電漿製程之後執行電漿處理製程的方法包括以下步驟:在不存在設置在其中的基板的電漿處理腔室中執行清潔製程;隨後供應包括至少含氫氣體及/或含氧氣體的電漿處理氣體混合物到電漿處理腔室中;將RF源功率施加到處理腔室,以從電漿處理氣體混合物形成電漿;及電漿處理處理腔室的內部表面。
Description
本揭露書的實施例大體關於用於原位強化電漿處理腔室的清潔效率的方法和設備。特別地,本揭露書的實施例關於用於在電漿製程之後執行用以原位強化電漿處理腔室的清潔效率的電漿處理製程的方法和設備。
半導體處理涉及許多不同的化學和物理製程,由此在基板上產生微小的積體電路。構成積體電路的材料層藉由化學氣相沉積、物理氣相沉積、磊晶生長、化學處理、電化學製程及類似者而產生。使用光阻遮罩和濕式或乾式蝕刻技術將一些材料層圖案化。用以形成積體電路的基板可為矽、砷化鎵、磷化銦、玻璃或其他合適的材料。
典型的半導體處理腔室包括界定製程區的腔室本體;適以將氣體從氣體供應器供應到製程區中的氣體分配組件;用以激發製程氣體的氣體激發器(如,電漿產生器),以處理位於基板支撐組件上的基板;及排氣件。在電漿處理期間,激發氣體通常包含離子、自由基和高反應性物種,高反應性物種蝕刻並腐蝕處理腔室部件的曝露部分,例如,在處理期間保持基板的靜電吸盤。此外,處理副產物通常沉積在腔室部件上,腔室部件必須通常用高反應性氟週期性地清潔。因此,為了保持處理腔室的清潔度,執行週期性清潔製程以從處理腔室移除副產物。沉積在腔室部件或腔室內壁上的副產物通常用高反應性化學物質週期性地清潔。在處理和清潔期間,來自反應物種的攻擊減少了腔室部件的使用壽命並增加了維修頻率。此外,來自腔室部件的腐蝕部分的薄片(諸如氟化鋁(AlF))可能在基板處理期間變成顆粒污染的來源。更有甚者,在清潔製程期間在相對高溫的部件表面上所形成的AlF3
可能昇華,但在清潔製程之後沉積在較低溫度的腔室部件的表面(諸如噴頭)上。此種殘留沉積物可能導致過早的腔室部件失效和頻繁的腔室保養。因此,在處理和清潔期間促進腔室部件的電漿電阻和減小對腔室部件的損傷是可期望的,以增加處理腔室的使用壽命、減少腔室停機時間、降低保養頻率並提升產品產量。
因此,存在有用於維持處理腔室的清潔度以及腔室部件的完整性,以增加腔室部件的壽命的改進方法的需求。
本揭露書的實施例包括一種用於半導體基板製造製程的電漿處理腔室的原位腔室清潔效率強化製程的方法。在一個實施例中,用於在清潔電漿製程之後執行電漿處理製程的方法包括以下步驟:在不存在設置在其中的基板的電漿處理腔室中執行清潔製程;隨後供應包括至少含氫氣體及/或含氧氣體的電漿處理氣體混合物到電漿處理腔室中;將RF源功率施加到處理腔室,以從電漿處理氣體混合物形成電漿;及電漿處理處理腔室的內部表面。
在另一個實施例中,一種用於原位腔室清潔的方法包括以下步驟:在不存在設置在其中的基板的電漿處理腔室中執行清潔製程;在處理腔室中原位執行電漿處理製程;及在處理腔室中的電漿處理製程之後執行調節製程,其中清潔製程、電漿處理製程和調節製程藉由整合在電漿處理腔室中的單一配方所控制。
在又一實施例中,一種用於在清潔電漿製程之後執行電漿處理製程的方法包括以下步驟:在電漿處理腔室中供應從遠端電漿源所供應的包括含氟氣體的清潔氣體混合物;供應包括含氧氣體和含氫氣體的電漿處理氣體混合物,以從在電漿處理氣體混合物中所產生的RF源功率形成電漿,以從處理腔室的內部表面移除金屬污染物;及供應調節膜氣體混合物,以在電漿處理腔室的內部表面上形成調節層。
本揭露書的實施例提供了用於在電漿處理腔室中執行的原位清潔製程的強化清潔效率的方法和設備。在一個實例中,可藉由在腔室清潔製程之後執行電漿處理製程來移除來自處理腔室的製程副產物殘餘物而強化清潔製程的清潔效率。在電漿處理期間所使用的電漿處理氣體混合物的一個實例包括含氫氣體混合物(諸如H2
氣體)及/或含氧氣體混合物。在電漿處理製程之後,可接著執行調節製程,以在處理腔室的內部表面上塗佈調節層。
第1圖是適於執行可用作半導體裝置製造的半導體互連結構的電漿製程的電漿處理系統132的橫截面圖。處理系統132可為可從加州聖克拉拉市的應用材料公司所取得的合適適用的CENTURA®
,Producer®
SE或Producer®
GT或Producer®
XP處理系統。應設想其他處理系統(包括由其他製造商所生產的彼等處理系統)可受益於於此所述的實施例。
處理系統132包括腔室本體151。腔室本體151包括界定內部容積126的蓋125、側壁101和底壁122。
基板支撐基座150設置在腔室本體151的內部容積126中。基座150可由鋁、陶瓷、氮化鋁和其他合適的材料所製成。在一個實施例中,基座150藉由陶瓷材料(諸如氮化鋁)所製成,氮化鋁是適合用於在高溫環境(諸如電漿處理環境)中使用的材料,而不會對基座150造成熱損傷。基座150可使用升降機構(未圖示)在腔室本體151內部在垂直方向上移動。
基座150可包括適於控制支撐在基座150上的基板190的溫度的嵌入式加熱器元件170。在一個實施例中,可藉由將電流從電源供應器106施加到加熱器元件170而電阻式加熱。在一個實施例中,加熱器元件170可由包封在鎳-鐵-鉻合金(如,INCOLOY®
)鞘管中的鎳鉻絲所製成。從電源供應器106所供應的電流藉由控制器110而調節,以控制由加熱器元件170所產生的熱量,從而在膜沉積期間以任何合適的溫度範圍將基板190和基座150維持在實質恆定的溫度。在另一個實施例中,基座可根據需要而維持在室溫。在又一個實施例中,根據需要,基座150亦可包括冷卻器(未圖示),以根據需要以低於室溫的範圍冷卻基座150。可調節所供應的電流,以選擇性地將基座150的溫度控制在約攝氏100度至約攝氏700度之間。
溫度感測器172(諸如熱電偶)可嵌入在基板支撐基座150中,以傳統的方式監控基座150的溫度。所量測的溫度藉由控制器110而使用以控制供應給加熱器元件170的功率,以將基板維持在所欲的溫度。
基座150通常包括設置通過其中的複數個升降銷(未圖示),升降銷經配置以從基座150升降基板190,並促進以傳統方式以機器人(未圖示)交換基板190。
基座150包含用於將基板190保持在基座150上的至少一個電極192。電極192由夾持功率源108所驅動,以產生將基板190保持在基座表面上的靜電力,如同傳統上已知的。替代地,基板190可藉由夾緊、真空或重力而保持在基座150上。
在一個實施例中,基座150經配置為具有嵌入於其中的電極192的陰極,電極192耦合到至少一個RF偏壓功率源,在第1圖圖示為兩個RF偏壓功率源184、186。儘管第1圖所描繪的實例圖示了兩個RF偏壓功率源184、186,應注意RF偏壓功率源的數量可根據需要而為任意的數量。RF偏壓功率源184、186耦合在設置在基座150中的電極192和另一個電極(諸如處理系統132
的氣體分配板142或天花板125)之間。RF偏壓功率源184、186激發並維持從設置在處理系統132的處理區域中的氣體所形成的電漿放電。
在第1圖中所描繪的實施例中,雙RF偏壓功率源184、186經由匹配電路104耦合到設置在基座150中的電極192。藉由RF偏壓功率源184、186所產生的信號經由匹配電路104,並經由單一饋送而傳輸到基座150,以離子化在電漿處理系統132中所提供的氣體混合物,從而提供用於執行沉積或其他電漿強化製程所需的離子能量。RF偏壓功率源184、186通常能夠產生具有從約50kHz至約200MHz的頻率和在約0瓦和約5000瓦之間的功率的RF信號。
真空泵102耦接到形成在腔室本體151的底部122中的埠。真空泵102用以在腔室本體151中維持所欲的氣體壓力。真空泵102亦排空來自腔室本體151的後處理氣體和製程的副產物。
處理系統132包括經由處理系統132的蓋125耦合的一或多個氣體輸送通道144。氣體輸送通道144和真空泵102位於處理系統132的相對端處,以在內部容積126內引起層流,以最小化顆粒污染。
氣體輸送通道144經由遠端電漿源(RPS)148而耦接到氣體面板193,以將氣體混合物提供到內部容積126中。在一個實施例中,經由氣體輸送通道144所供應的氣體混合物可經由設置在氣體輸送通道144之下
方的氣體分配板142而進一步輸送。在一個實例中,具有複數個孔143的氣體分配板142耦接到在基座150之上方的腔室本體151的蓋125。氣體分配板142的孔143用以將來自氣體面板193的製程氣體引入到腔室本體151中。孔143可具有不同的尺寸、數量、分佈、形狀、設計和直徑,以促進用於不同的製程需求的各種製程氣體的流動。電漿從離開氣體分配板142的製程氣體混合物而形成,以強化製程氣體的熱分解,導致材料沉積在基板190的表面191上。
氣體分配板142和基板支撐基座150可在內部容積126中形成一對間隔開的電極。一或多個RF源147經由匹配網路145而提供偏壓電位到氣體分配板142,以促進在氣體分配板142和基座150之間產生電漿。替代地,RF源147和匹配網路145可耦合到氣體分配板142,基板支撐基座150,或耦合到氣體分配板142和基板支撐基座150兩者,或耦合到設置在腔室本體151外部的天線(未圖示)。在一個實施例中,RF源147可以約30kHz到約13.6MHz的頻率而在約10瓦和約3000瓦之間提供。替代地,RF源147可為向氣體分配板142提供微波功率的微波產生器,微波產生器幫助在內部容積126中產生電漿。
可從氣體面板193供應的氣體的實例可包括含矽氣體、含氟氣體、含氧氣體、含氫氣體、惰性氣體和載氣。反應氣體的合適實例包括含矽氣體(諸如SiH4、
Si2H6、SiF4、SiH2Cl2、Si4H10、Si5H12、TEOS和類似者。合適的載氣包括氮(N2)、氬(Ar)、氫(H2)、烷烴、烯烴、氦(He)、氧(O2)、臭氧(O3)、水蒸氣(H2O)和類似者。
在一個實施例中,遠端電漿源(RPS)148可替代地耦接到氣體輸送通道144,以幫助從氣體面板193所供應的氣體形成電漿到內部容積126中。遠端電漿源148將藉由氣體面板193所提供的氣體混合物所形成的電漿提供到處理系統132。
控制器110包括中央處理單元(CPU)112、記憶體116和支援電路114,用以控制處理順序並調節來自氣體面板193的氣流。CPU 112可為可在工業設置中使用的任何形式的通用電腦處理器。軟體常式可儲存在記憶體116中,諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器或其他形式的數位儲存器。支援電路114傳統地耦接到CPU 112,並可包括快取、時脈電路、輸入/輸出系統、電源供應器及類似者。在控制器110和處理系統132的各種部件之間的雙向通信經由統稱為信號匯流排118的複數個信號纜線而處理,一些信號匯流排118圖示在第1圖中。
第2圖圖示了用於在清潔電漿處理腔室(諸如第1圖中所描繪的電漿處理系統132)之後強化清潔效率的方法200。方法200包括可根據本揭露書的實施例將清潔效率強化製程整合在單一清潔步驟(如,單一清潔配方)中的原位腔室清潔製程。
方法200藉由在電漿處理腔室中執行清潔製程而開始於操作202。在電漿處理系統132可能閒置一段時間之後或在電漿處理系統132中執行電漿製程(包括沉積、蝕刻、濺射或任何電漿相關製程)之後,可執行清潔製程,以移除腔室殘留物或其他污染物。由於電漿處理腔室的內部(包括腔室壁、基板基座或設置在電漿處理系統132中的其他部件)可能具有從先前的電漿製程留在其上的膜積累、副產物或污染物,或者當閒置或電漿處理時已從腔室內壁落下的薄片,可在從處理系統132移除基板之後,或在將基板提供到電漿處理腔室之前執行清潔製程,以清潔電漿處理腔室的內部表面,以用於後續的處理。
清潔製程移除從電漿處理腔室的內部移除累積的污染物及/或膜,從而防止在隨後的電漿製程期間不期望的顆粒落在設置在基板基座上的基板上。當在操作202執行清潔製程時,在電漿處理系統132中不存在基板,如,不存在設置在其中的基板。主要執行清潔製程以清潔電漿處理系統132中的腔室部件或內壁/結構。在一些情況中,可根據需要在處理腔室中設置虛設基板(諸如其上未設置有膜堆疊的乾淨矽基板),以保護基板基座的表面。
在一個實例中,藉由將清潔氣體混合物供應到處理系統132以清潔電漿處理腔室的內部來執行清潔製程。清潔氣體混合物包括至少含氟氣體和惰性氣體。在一個實施例中,在清潔氣體混合物中所使用的含氟氣體可選自由NF3
、SF6
、HF、CF4
及類似者所組成的群組。惰性氣體可為He或Ar及類似者。在一個實例中,在清潔氣體混合物中所供應的含氟氣體是NF3
氣體,且惰性氣體是Ar。
在操作202的清潔製程期間,可控制幾個製程參數。在一個實施例中,遠端電漿源(第1圖中所描繪的RPS源148)可在約5000瓦和約20000瓦之間(諸如約10000瓦)供應給電漿處理系統132。可在具有或不具有RF源和偏壓功率的情況下將RPS功率施加到處理腔室。處理腔室的壓力可控制在小於10托的壓力範圍內,諸如約0.1托和約10托之間,例如約4托。相信在清潔製程期間的低壓控制可致使清潔反應的自發性。
在清潔氣體混合物中所供應的含氟氣體可以在約1 sccm至約12000 sccm之間的流速供應到處理腔室中,例如約2800 sccm。在清潔氣體混合物中所供應的惰性氣體可以在約1 sccm至約300 sccm的流速供應到處理腔室中,例如約500 sccm。
在操作204,在操作202的清潔製程之後,電漿處理製程接著執行,以在處理腔室中所執行的電漿製程的另一循環之前移除殘留在處理系統132中的殘餘物。如上所論述的,來自清潔製程的不想要的殘餘物(諸如由腔室部件的過度清潔所導致的腔室薄片)可能產生或殘留在處理腔室中。可執行操作204的電漿處理製程,以幫助從處理系統132移除此等殘餘物,特別是氟化鋁(AlF3)或其他污染物,以強化處理系統132的清潔度。
實驗結果表明,來自電漿處理氣體混合物的氫和氧元素(特別是氫元素)有助於與存在於處理腔室中的含金屬污染物(諸如氟化鋁(AlF3))反應,以便有效地移除此等來自電漿處理腔室的內部的含金屬污染物。
自電漿處理氣體混合物而形成的電漿用以電漿處理處理系統132的內部表面,以有效地與氟化鋁(AlF3)或其他污染源反應。污染物(諸如AlF3)被激發成激發態(諸如自由基形式),可接著容易地與電漿處理氣體混合物反應,形成揮發性氣體副產物(諸如AlH3或HF*),揮發性氣體副產物易於從處理系統132抽出。在一個實例中,電漿處理氣體混合物可包括至少一種含氫氣體及/或含氧氣體。在另一個實例中,電漿處理氣體混合物可包括交替地供應含氫氣體和含氧氣體複數個循環,以執行電漿處理製程。當在電漿處理氣體混合物中交替地供應含氫氣體和含氧氣體時,含氫氣體和含氧氣體可具有或不具有惰性氣體(諸如He或Ar)而單獨並個別地供應。
含氫氣體的合適實例包括H2、H2O、NH3、N2H2和類似者。含氧氣體的合適實例包括O2、H2O、O3、H2O2、N2O、NO2、CO、CO2和類似者。在一個具體實例中,也可將載氣或惰性氣體供應到電漿處理氣體
混合物中。載氣的合適實例包括氮(N2)、氫(H2)和類似者,且惰性氣體的合適實例包括He或Ar。
在一個具體實例中,在電漿處理氣體混合物中使用的含氫氣體是H2或NH3。在電漿處理氣體混合物中使用的含氧氣體是N2O或O2。電漿氣體混合物中使用的載氣為N2,且電漿處理氣體混合物中使用的惰性氣體為Ar。
據信在電漿處理製程期間包括在電漿處理氣體混合物中的含氫氣體提供大量的氫元素,含氫氣體與在含金屬污染物(諸如氟化鋁)中的氟元素反應,形成揮發性氣體副產物(諸如AlH3或HF),揮發性氣體副產物易於從處理系統132抽出。隨後,揮發性氣體副產物(諸如AlH3)可在處理腔室中進一步分解為Al*及H2氣體。更有甚者,來自含氧氣體的氧元素可接著與活性金屬污染物(諸如鋁活性物種(諸如Al*或Al-))反應,以形成金屬氧化物(諸如氧化鋁(Al2O3)),從而鈍化在腔室部件的表面上的薄層,以便防止腔室部件的表面受到進一步的損壞或攻擊。因此,藉由利用包括至少含氫氣體和含氧氣體的電漿處理氣體混合物,可有效地清潔處理腔室的內部表面。
在一些實施例中,惰性氣體(諸如Ar或He)或載氣(諸如N2或N2O)可在電漿處理氣體混合物中供應。據信在電漿處理氣體混合物中供應的惰性氣體可有助於增加由電漿處理氣體混合物所形成的電漿中的離子的壽命。增加的離子的壽命可有助於更徹底地使氟化鋁(AlF3
)或其他污染源反應和活化,從而強化從處理系統132移除氟化鋁(AlF3
)或其他污染源。
在操作204的電漿處理製程期間,可控制若干製程參數。在一個實施例中,RF源功率(諸如藉由RF源147所提供的功率)可在約50瓦和約2500瓦之間供應到電漿處理系統132,諸如約750瓦。可在具有或不具有RPS功率或RF源偏壓功率的情況下將RF源功率施加到處理腔室。處理腔室的壓力可控制在小於10托的壓力範圍,諸如在約0.1托和約10托之間,諸如約4.5托。
在電漿處理氣體混合物中所供應的含氫氣體可以在約1 sccm至約5000 sccm之間的流速供應到處理腔室中,例如約700 sccm。在電漿處理氣體混合物中所供應的惰性氣體(諸如Ar氣體)可以在約100 sccm至約8000 sccm的流速供應到處理腔室中,例如約3600 sccm。在電漿處理氣體混合物中所供應的載氣(諸如N2
氣體)可以在約100 sccm至約5000 sccm的流速供應到處理腔室中,例如約1500 sccm。在電漿處理氣體混合物中所供應的含氧氣體(諸如N2
O氣體)可以在約50 sccm至約50000 sccm的流速供應到處理腔室中,例如約11000 sccm。在一或多個實施例中,加入的氣體提供具有含氫氣體與含氧氣體的流量體積至少1:30的比例(諸如在約1:1至1:20之間的比例)的電漿處理氣體混合物,例如約1:15。
應注意引入到處理腔室中的每一氣體的量可被改變和調節,以適應(例如)待移除的腔室殘餘物的厚度或數量、待清潔的基板的幾何形狀、電漿的容積容量、腔室本體的容積容量及耦合到腔室本體的真空系統的容量。
在操作206,在操作204的電漿處理製程之後,可執行調節製程。如上所論述的,在處理系統132中處理一或多個基板之後,通常執行操作202的清潔製程,以移除沉積並積聚在腔室壁中的沉積副產物。在腔室壁藉由清潔氣體而充分清潔之後,執行操作204的電漿處理製程,以在清潔之後移除來自處理腔室的副產物(AlF)或其他汙染物,以強化清潔效率。在清潔副產物已經從腔室中排出之後,在處理腔室中執行操作206的調節製程。執行調節製程以將調節膜沉積到腔室的部件上,以密封處理腔室部件的經清潔或經粗糙化的表面,以便減少在製程期間可能從腔室壁產生或剝離的污染物。
調節製程包含根據隨後的沉積製程配方將材料(諸如調節膜)塗佈在腔室的內部表面上。換句話說,可選擇調節膜的材料,以具有類似的成分或隨後沉積在基板上的膜的膜性質。在於此所述的一個實施例中,塗佈在處理腔室的內部表面上的調節膜是氧化矽層。
在一個實施例中,調節膜可使用與在調節製程之後在電漿處理系統132中所執行的後續沉積製程中所使用的氣體混合物實質相同的沉積氣體混合物而沉積到腔室內部表面上。用於塗佈調節薄膜的製程參數可能或不可能與隨後的沉積製程相同,以滿足不同的製程需求。在調節製程期間,矽前驅物氣體、含氧氣體或含氮氣體及惰性氣體可流入電漿處理系統132中,其中RF偏壓功率源147、184、186提供射頻能量,以活化前驅物氣體並能夠執行調節膜沉積製程。
在沉積製程經配置以沉積氧化矽膜的示例性實施例中,可將包括至少矽前驅物、含氧氣體和惰性氣體(諸如氬氣或氦氣)的氣體混合物供應到處理系統132,用於調節膜沉積。所使用的矽前驅物可為SiH4
氣體或TEOS氣體。替代地,在沉積製程經配置以沉積氮化矽膜的另一個示例性實施例中,可將包括至少矽前驅物、含氮氣體和惰性氣體的氣體混合物供應到處理系統132,用於調節膜沉積。
可調整RF功率和氣體流速,以將調節膜以不同的矽和氧化物的比例沉積,從而提供良好的黏著性給隨後待沉積的沉積膜。更有甚者,可調整RF功率和氣體流速,以控制調節膜的沉積速率,從而有效地將調節膜沉積到所欲的厚度範圍,以提供良好的保護和黏著性給下面的腔室部件、腔室零件及待沉積者。在一個實施例中,調節製程可執行約1秒至約200秒,以形成具有厚度大於20000 Å的調節膜。
因此,提供了用於在清潔製程之後執行原位電漿處理製程的方法和設備,以強化電漿處理腔室的清潔效率而不破壞真空。方法包括利用含氫氣體和含氧氣體以幫助移除處理腔室中的過度清潔殘餘物或其他污染源,且在執行電漿清潔製程之後但在腔室調節製程之前的電漿處理方法。原位電漿處理製程可有效地從電漿處理腔室的內部移除殘餘物(包括金屬污染物,諸如AlF),從而將電漿處理腔室維持在所欲的清潔狀態,並產生高品質的半導體裝置,而沒有特別的污染。
儘管前述內容涉及本揭露書的實施例,但是本揭露書的其他和進一步的實施例可經設計而不背離本揭露書的基本範疇,且本揭露書的範疇由後續的申請專利範圍所決定。
101‧‧‧側壁
102‧‧‧真空泵
104‧‧‧匹配電路
106‧‧‧電源供應器
110‧‧‧控制器
112‧‧‧中央處理單元/CPU
114‧‧‧支援電路
116‧‧‧記憶體
118‧‧‧信號匯流排
122‧‧‧底壁/底部
125‧‧‧蓋/天花板
126‧‧‧內部容積
132‧‧‧處理系統
142‧‧‧氣體分配板
143‧‧‧孔
144‧‧‧氣體輸送通道
145‧‧‧匹配網路
147‧‧‧RF源/RF偏壓功率源
148‧‧‧遠端電漿源
150‧‧‧基座
151‧‧‧腔室本體
170‧‧‧加熱器元件
172‧‧‧溫度感測器
184‧‧‧RF偏壓功率源
186‧‧‧RF偏壓功率源
190‧‧‧基板
191‧‧‧表面
192‧‧‧電極
193‧‧‧氣體面板
200‧‧‧方法
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
為了可詳細了解本揭露書的以上所載的特徵的方式,可藉由參照實施例而獲得簡要概述於上的本揭露書的更具體的實施方式,其中一些實施例圖示在附隨的圖式中。然而,應當注意附隨的圖式僅圖示了本揭露書的典型實施例,且因此不應被視為限制其範圍,因為本揭露書可允許其他等效的實施例。
第1圖描繪了根據本揭露書的一個實施例的電漿處理腔室的示意圖;及
第2圖描繪了根據本揭露書的一個實施例的在清潔製程之後執行電漿處理製程的方法的流程圖。
為促進理解,在可能的情況下,已使用相同的元件符號以指定共用於圖式的相同元件。應設想在一個實施例中所揭露的元件可有利地用於其他實施例,而無需特定的載明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
Claims (17)
- 一種用於在一電漿清潔製程之後執行一電漿處理製程的方法,包含以下步驟:在不存在設置在其中的一基板的一電漿處理腔室中執行一電漿清潔製程;隨後藉由供應包括至少一含氫氣體及一含氧氣體的一電漿處理氣體混合物到該電漿處理腔室中以執行一後清潔電漿處理製程,其中該含氫氣體及該含氧氣體交替地與個別地供應到該電漿處理腔室中;將一RF源功率施加到該處理腔室,以從該電漿處理氣體混合物形成一電漿;及電漿處理該處理腔室的一內部表面;及隨後在電漿處理該處理腔室的該內部表面之後執行一調節製程。
- 如請求項1所述之方法,其中在該電漿處理氣體混合物中所供應的該含氫氣體包括H2、H2O、NH3或N2H2。
- 如請求項1所述之方法,其中該含氧氣體選自由O2、H2O、N2O、NO2、O3、CO和CO2所組成的群組。
- 如請求項1所述之方法,其中該含氫氣體和該含氧氣體以在約1:1和約1:20之間的一流量比例 而供應。
- 如請求項1所述之方法,其中該含氫氣體是NH3或H2,且該含氧氣體是N2O。
- 如請求項1所述之方法,其中執行該調節製程的步驟進一步包含以下步驟:在該處理腔室的該內部表面上形成一含矽調節膜。
- 如請求項1所述之方法,其中執行該清潔製程的步驟進一步包含以下步驟:供應一含氟氣體到該處理腔室用於清潔。
- 如請求項7所述之方法,其中供應該含氟氣體的步驟進一步包含以下步驟:在輸送到該處理腔室之前從該含氟氣體產生一遠端電漿。
- 如請求項1所述之方法,其中電漿處理該處理腔室的該內部表面進一步包含以下步驟:將含金屬的污染物與從該電漿處理氣體混合物所供應的該含氫氣體反應。
- 如請求項9所述之方法,其中將該等含金屬的污染物與該含氫氣體反應的步驟進一步包含以下步驟:藉由來自該處理腔室的該電漿處理氣體混合物的該含氧氣體在該內部表面上形成一金屬氧化物。
- 如請求項9所述之方法,其中該含金屬的污染物是AlF。
- 如請求項10所述之方法,其中該金屬氧化物是Al2O3。
- 一種用於在一電漿清潔製程之後執行一電漿處理製程的方法,包含以下步驟:在不存在設置在其中的一基板的一電漿處理腔室中執行一清潔製程;藉由供應包括至少一含氫氣體及一含氧氣體的一電漿處理氣體混合物到該電漿處理腔室中,以在該處理腔室中原位執行一電漿處理製程,其中該含氫氣體及該含氧氣體交替地與個別地供應到該電漿處理腔室中;及在該處理腔室中的該電漿處理製程之後執行一調節製程,其中該清潔製程、該電漿處理製程和該調節製程藉由整合在該電漿處理腔室中的具有多個步驟之一單一配方所控制。
- 如請求項13所述之方法,其中該電漿處理氣體混合物包括N2O、N2及NH3或H2。
- 如請求項13所述之方法,其中該處理製程藉由從該電漿處理氣體混合物所產生的一RF源功率產生一電漿來執行。
- 如請求項13所述之方法,其中執行該電漿處理製程,以從該處理腔室移除氟化鋁。
- 一種用於在一電漿清潔製程之後執行一電漿處理製程的方法,包含以下步驟:在不存在一基板的一電漿處理腔室中供應從一遠端電漿源所供應的包括一含氟氣體的一清潔氣體混合物;隨後供應包括一含氧氣體和一含氫氣體的一電漿處理氣體混合物,以從在該電漿處理氣體混合物中所產生的一RF源功率形成一電漿,以從該處理腔室的一內部表面移除金屬污染物,其中該含氫氣體及該含氧氣體交替地與個別地供應到該電漿處理腔室中;及隨後供應一調節膜氣體混合物,以在該電漿處理腔室的該內部表面上形成一調節層。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/145,750 US10002745B2 (en) | 2016-05-03 | 2016-05-03 | Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber |
US15/145,750 | 2016-05-03 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201804508A TW201804508A (zh) | 2018-02-01 |
TWI674617B true TWI674617B (zh) | 2019-10-11 |
Family
ID=60203108
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106113714A TWI674617B (zh) | 2016-05-03 | 2017-04-25 | 用於在電漿清潔製程之後執行電漿處理製程的方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10002745B2 (zh) |
JP (1) | JP6737899B2 (zh) |
KR (1) | KR102158307B1 (zh) |
CN (1) | CN109075030B (zh) |
TW (1) | TWI674617B (zh) |
WO (1) | WO2017192249A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI779395B (zh) * | 2020-11-16 | 2022-10-01 | 友威科技股份有限公司 | 利用電漿蝕刻去除晶圓缺陷的重工處理設備 |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109844904B (zh) * | 2016-08-05 | 2023-04-28 | 应用材料公司 | 通过等离子体处理的氟化铝减少 |
JP6779165B2 (ja) * | 2017-03-29 | 2020-11-04 | 東京エレクトロン株式会社 | 金属汚染防止方法及び成膜装置 |
US10177017B1 (en) * | 2017-07-05 | 2019-01-08 | Applied Materials, Inc. | Method for conditioning a processing chamber for steady etching rate control |
KR20200117052A (ko) * | 2018-03-01 | 2020-10-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들 |
US20190382889A1 (en) * | 2018-06-15 | 2019-12-19 | Applied Materials, Inc. | Technique to enable high temperature clean for rapid processing of wafers |
US11355620B2 (en) * | 2018-10-31 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US20200176232A1 (en) * | 2018-12-04 | 2020-06-04 | Nanya Technology Corporation | Etching device and operating method thereof |
CN109585276B (zh) * | 2018-12-27 | 2021-06-15 | 上海华力集成电路制造有限公司 | 一种改善多晶硅刻蚀腔体晶圆棍状缺陷的方法 |
WO2020156659A1 (en) * | 2019-01-30 | 2020-08-06 | Applied Materials, Inc. | Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate |
KR102452098B1 (ko) * | 2019-02-27 | 2022-10-11 | 주식회사 히타치하이테크 | 플라스마 처리 방법 및 플라스마 처리 장치 |
KR20210006229A (ko) * | 2019-07-08 | 2021-01-18 | 주성엔지니어링(주) | 기판 처리 장치의 챔버 클리닝 방법 |
TW202119538A (zh) | 2019-09-19 | 2021-05-16 | 美商應用材料股份有限公司 | 用於清潔基座加熱器的原位dc電漿 |
US20230023764A1 (en) * | 2019-12-17 | 2023-01-26 | Applied Materials, Inc. | Surface profiling and texturing of chamber components |
WO2021161824A1 (ja) * | 2020-02-14 | 2021-08-19 | 東京エレクトロン株式会社 | 基板処理方法および基板処理装置 |
CN111549325B (zh) * | 2020-06-12 | 2022-10-21 | 北京北方华创微电子装备有限公司 | 一种磁控溅射设备 |
US11626271B2 (en) * | 2020-06-18 | 2023-04-11 | Tokyo Electron Limited | Surface fluorination remediation for aluminium oxide electrostatic chucks |
JP7479257B2 (ja) | 2020-09-16 | 2024-05-08 | 東京エレクトロン株式会社 | プラズマパージ方法 |
US11674222B2 (en) | 2020-09-29 | 2023-06-13 | Applied Materials, Inc. | Method of in situ ceramic coating deposition |
US11996273B2 (en) | 2020-10-21 | 2024-05-28 | Applied Materials, Inc. | Methods of seasoning process chambers |
CN113481486A (zh) * | 2021-01-20 | 2021-10-08 | 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) | 一种镀膜方法 |
US11955318B2 (en) | 2021-03-12 | 2024-04-09 | Applied Materials, Inc. | Ash rate recovery method in plasma strip chamber |
US11837448B2 (en) | 2021-04-27 | 2023-12-05 | Applied Materials, Inc. | High-temperature chamber and chamber component cleaning and maintenance method and apparatus |
US11699577B2 (en) * | 2021-05-25 | 2023-07-11 | Applied Materials, Inc. | Treatment for high-temperature cleans |
US11915918B2 (en) * | 2021-06-29 | 2024-02-27 | Applied Materials, Inc. | Cleaning of sin with CCP plasma or RPS clean |
US11961716B2 (en) * | 2021-12-09 | 2024-04-16 | Industrial Technology Research Institute | Atomic layer deposition method |
KR20230100595A (ko) | 2021-12-28 | 2023-07-05 | 삼성전기주식회사 | 코일 부품 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001335937A (ja) * | 2000-05-29 | 2001-12-07 | Mitsubishi Heavy Ind Ltd | 金属汚染低減方法及びプラズマ装置の再生方法 |
US20020073922A1 (en) * | 1996-11-13 | 2002-06-20 | Jonathan Frankel | Chamber liner for high temperature processing chamber |
US20070238199A1 (en) * | 2006-03-31 | 2007-10-11 | Tokyo Electron Limited | Method for conditioning a process chamber |
US20120040536A1 (en) * | 2010-08-16 | 2012-02-16 | Applied Materials, Inc. | A-si seasoning effect to improve sin run-to-run uniformity |
US20130087174A1 (en) * | 2011-10-06 | 2013-04-11 | Applied Materials, Inc. | Methods for in-situ chamber clean utilized in an etching processing chamber |
TW201430945A (zh) * | 2013-01-17 | 2014-08-01 | Applied Materials Inc | 晶圓製程期間以惰性氫氦混合物進行的原位腔室清潔 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5788799A (en) * | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
TW411527B (en) * | 1996-11-14 | 2000-11-11 | Tokyo Electron Ltd | Cleaning method for plasma processing system and plasma processing method |
US6868856B2 (en) | 2001-07-13 | 2005-03-22 | Applied Materials, Inc. | Enhanced remote plasma cleaning |
US20030062064A1 (en) * | 2001-09-28 | 2003-04-03 | Infineon Technologies North America Corp. | Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma |
US6872323B1 (en) * | 2001-11-01 | 2005-03-29 | Novellus Systems, Inc. | In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor |
US7097716B2 (en) | 2002-10-17 | 2006-08-29 | Applied Materials, Inc. | Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect |
US7371427B2 (en) * | 2003-05-20 | 2008-05-13 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
KR100893955B1 (ko) * | 2004-02-19 | 2009-04-20 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치에 있어서의 처리실의 클리닝 방법 및 클리닝의 종점 검출 방법 |
US20060093756A1 (en) * | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US7572647B2 (en) * | 2007-02-02 | 2009-08-11 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US7789993B2 (en) * | 2007-02-02 | 2010-09-07 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
JP5450187B2 (ja) * | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
JP6476369B2 (ja) * | 2013-03-25 | 2019-03-06 | 株式会社Kokusai Electric | クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム |
-
2016
- 2016-05-03 US US15/145,750 patent/US10002745B2/en not_active Expired - Fee Related
-
2017
- 2017-04-11 JP JP2018557780A patent/JP6737899B2/ja not_active Expired - Fee Related
- 2017-04-11 KR KR1020187034567A patent/KR102158307B1/ko active IP Right Grant
- 2017-04-11 CN CN201780027736.XA patent/CN109075030B/zh active Active
- 2017-04-11 WO PCT/US2017/027020 patent/WO2017192249A1/en active Application Filing
- 2017-04-25 TW TW106113714A patent/TWI674617B/zh not_active IP Right Cessation
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020073922A1 (en) * | 1996-11-13 | 2002-06-20 | Jonathan Frankel | Chamber liner for high temperature processing chamber |
JP2001335937A (ja) * | 2000-05-29 | 2001-12-07 | Mitsubishi Heavy Ind Ltd | 金属汚染低減方法及びプラズマ装置の再生方法 |
US20070238199A1 (en) * | 2006-03-31 | 2007-10-11 | Tokyo Electron Limited | Method for conditioning a process chamber |
US20120040536A1 (en) * | 2010-08-16 | 2012-02-16 | Applied Materials, Inc. | A-si seasoning effect to improve sin run-to-run uniformity |
US20130087174A1 (en) * | 2011-10-06 | 2013-04-11 | Applied Materials, Inc. | Methods for in-situ chamber clean utilized in an etching processing chamber |
TW201430945A (zh) * | 2013-01-17 | 2014-08-01 | Applied Materials Inc | 晶圓製程期間以惰性氫氦混合物進行的原位腔室清潔 |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI779395B (zh) * | 2020-11-16 | 2022-10-01 | 友威科技股份有限公司 | 利用電漿蝕刻去除晶圓缺陷的重工處理設備 |
Also Published As
Publication number | Publication date |
---|---|
JP2019515505A (ja) | 2019-06-06 |
KR102158307B1 (ko) | 2020-09-21 |
CN109075030B (zh) | 2023-06-20 |
US20170323768A1 (en) | 2017-11-09 |
WO2017192249A1 (en) | 2017-11-09 |
US10002745B2 (en) | 2018-06-19 |
JP6737899B2 (ja) | 2020-08-12 |
TW201804508A (zh) | 2018-02-01 |
KR20180130596A (ko) | 2018-12-07 |
CN109075030A (zh) | 2018-12-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI674617B (zh) | 用於在電漿清潔製程之後執行電漿處理製程的方法 | |
US10916407B2 (en) | Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates | |
TWI721321B (zh) | 於磊晶成長前預清潔基板表面之方法及設備 | |
US6923189B2 (en) | Cleaning of CVD chambers using remote source with cxfyoz based chemistry | |
US20080044593A1 (en) | Method of forming a material layer | |
TWI254363B (en) | Chamber cleaning method | |
TWI779753B (zh) | 電漿處理裝置及被處理體處理方法 | |
US20060090773A1 (en) | Sulfur hexafluoride remote plasma source clean | |
JP2006128485A (ja) | 半導体処理装置 | |
KR100786609B1 (ko) | 기구 부품의 반응성 기체 청소 방법 및 공정 | |
JP2016086046A (ja) | プラズマ処理方法 | |
JP2006319041A (ja) | プラズマクリーニング方法、成膜方法 | |
TW202035775A (zh) | 清潔處理腔室的方法 | |
JP2006319042A (ja) | プラズマクリーニング方法、成膜方法 | |
JP3820212B2 (ja) | Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法 | |
US20060054183A1 (en) | Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber | |
EP1154037A1 (en) | Methods for improving chemical vapor deposition processing | |
EP1154038A1 (en) | Method of conditioning a chamber for chemical vapor deposition | |
KR20090020925A (ko) | 반도체 장비의 세정방법 | |
KR20230026470A (ko) | 플라즈마 챔버에서의 애쉬 레이트 복구 방법 | |
CN112838002A (zh) | 基板处理方法及等离子体处理装置 | |
JP2002184703A (ja) | 半導体製造プロセス装置用シリコン部材およびその製造方法 | |
JP2001131752A (ja) | プラズマクリーニング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |