KR102452098B1 - 플라스마 처리 방법 및 플라스마 처리 장치 - Google Patents

플라스마 처리 방법 및 플라스마 처리 장치 Download PDF

Info

Publication number
KR102452098B1
KR102452098B1 KR1020197037240A KR20197037240A KR102452098B1 KR 102452098 B1 KR102452098 B1 KR 102452098B1 KR 1020197037240 A KR1020197037240 A KR 1020197037240A KR 20197037240 A KR20197037240 A KR 20197037240A KR 102452098 B1 KR102452098 B1 KR 102452098B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
pulse
time
processing chamber
Prior art date
Application number
KR1020197037240A
Other languages
English (en)
Other versions
KR20200105746A (ko
Inventor
유타 다카기
고사 히로타
요시하루 이노우에
마사카즈 미야지
Original Assignee
주식회사 히타치하이테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 히타치하이테크 filed Critical 주식회사 히타치하이테크
Publication of KR20200105746A publication Critical patent/KR20200105746A/ko
Application granted granted Critical
Publication of KR102452098B1 publication Critical patent/KR102452098B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

챔버 내에서 웨이퍼를 에칭하는 에칭 공정과, 단시간에 챔버 내의 잔류 할로겐 등을 제거하여, 스루풋을 개선하는 플라스마 처리 방법은, 챔버 내에 할로겐 원소를 포함한 가스를 도입함에 의해, 상기 챔버의 내벽의 이물을 제거하는 플라스마 클리닝 공정과, 상기 챔버 내에 있어서, 산소를 포함한 플라스마의 온 상태와 오프 상태를 번갈아 반복함에 의해, 상기 플라스마 클리닝 공정에서 상기 챔버 내에 잔류한 할로겐 원소를 제거하는 잔류 할로겐 제거 공정을 갖는다.

Description

플라스마 처리 방법 및 플라스마 처리 장치
본 발명은, 플라스마 처리 방법 및 플라스마 처리 장치에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서는, 반도체 장치에 포함되는 컴포넌트의 미세화나 집적화에의 대응이 요구되고 있다. 예를 들면, 집적 회로나 나노 전기 기계 시스템에 있어서, 구조물의 나노 스케일화가 더 추진되고 있다.
통상적으로, 반도체 디바이스의 제조 공정에 있어서, 미세 패턴을 성형하기 위하여 리소그래피 기술이 이용된다. 이 기술은, 레지스트층의 위에 디바이스 구조의 패턴을 적용하고, 레지스트층의 패턴에 의해서 노출된 기판을 선택적으로 에칭 제거하는 것이다. 그 후의 처리 공정에 있어서, 에칭 영역 내에 다른 재료를 퇴적시키면, 집적 회로를 형성할 수 있다.
그런데, 최근, 집적 회로 등 반도체 제조에 있어서의 미세화가 진행되고 있는 것에 수반하여, 챔버 내에서 에칭 반응을 보다 정미(精微)하게 컨트롤하기 위하여, 챔버 내의 분위기를 안정화시키는 클리닝 기술의 개발이 진행되고 있다.
그러나, 클리닝 직후에 에칭을 행하면, 챔버 내에 잔류한 가스에 의해, 에칭 레이트가 반대로 불안정하게 되어 버린다는 문제도 있다. 또한, 클리닝에 의해 챔버 내에 존재하는 이물이 기판 상에 부착되어, 배선 형성 등에 악영향을 끼친다는 문제도 있다. 특히, 기판 상에 부착되는 이물은, 반도체 디바이스의 수율을 현저하게 저하시킨다.
이 이물의 원인으로서, 주로, (a) 챔버 측벽의 부식이나, 부생성물의 부착, 및 (b) 챔버 내에 잔류한 할로겐으로 이루어지는 화합물의 생성을 들 수 있다. (a)에 기인하는 이물의 대책으로서는, 6불화황(SF6)이나 3불화질소(NF3), 산소(O2)에 의한 플라스마 클리닝에 의해 챔버 내 분위기를 안정시키는 방법이 이용된다. (b)에 기인하는 이물 및 에칭 레이트의 변동에 대해서는, 산소 플라스마에 의한 클리닝이 이루어지고 있다.
특허문헌 1에서는, 3불화질소(NF3)에 의한 클리닝과, 그 후에 챔버 내에 잔류하는 불소를 제거하는 기술이 개시되어 있다. 또한, 특허문헌 2에서는, 플라스마 생성 상태와 플라스마 비생성 상태를 번갈아 반복함에 의해, 챔버 내를 플라스마 클리닝하는 기술이 개시되어 있다.
일본 특개2016-225567호 공보 일본 특개2010-140944호 공보
특허문헌 1에 개시된 기술에서는, 잔류하는 질소나 불소를 완전하게 제거할 때까지 장시간을 요하기 때문에, 단위 시간당의 제품 처리 매수(스루풋)가 적어 생산성이 나쁘다. 또한, 특허문헌 2에 개시된 플라스마 클리닝은, 챔버 내에 있어서의 잔류 질소나 잔류 할로겐의 제거를 행하기 위한 것은 아니다.
본 발명은, 단시간에 챔버 내의 잔류 할로겐 등을 제거하고, 클리닝 처리의 스루풋을 개선할 수 있는 플라스마 처리 방법 및 플라스마 처리 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위하여, 대표적인 본 발명의 플라스마 처리 방법은, 처리실 내에서 시료를 플라스마 처리하는 플라스마 처리 방법에 있어서, 상기 시료를 플라스마 처리하는 제1 공정과, 상기 제1 공정 후, 불소 함유 가스를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제2 공정과, 상기 제2 공정 후, 펄스 변조된 고주파 전력 및 산소 가스에 의해 생성된 플라스마를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제3 공정을 갖는다.
본 발명에 따르면, 단시간에 챔버 내의 잔류 할로겐 등을 제거하고, 클리닝 처리의 스루풋을 개선할 수 있다.
상기한 것 이외의 과제, 구성 및 효과는, 이하의 실시형태의 설명에 의해 명백해진다.
도 1은, 본 발명의 실시형태에 관한, 플라스마 처리 장치의 모식적인 구조의 일례를 나타내는 단면도.
도 2는, 도 1에 나타내는 플라스마 처리 장치를 이용한 플라스마 처리 방법의 수순의 일례를 나타내는 플로도.
도 3은, 도 1에 나타내는 플라스마 처리 장치에 있어서 챔버 측벽에 불소가 잔류한 상태의 일례를 나타내는 단면도.
도 4는, 본 발명의 실시형태에 관한, 벽 부근에 존재할 수 있는 입자수와, 챔버 측벽의 포텐셜의 그래프.
도 5는, 본 발명의 실시형태에 관한, 본 발명의 효과를 나타낸 도면.
본 발명에 따른 플라스마 처리 방법의 구체적인 실시형태를 이하에 설명한다.
최초로, 플라스마 처리 방법을 실시하기 위한 플라스마 에칭 장치(플라스마 처리 장치)의 일례를, 도면을 참조하면서 설명한다. 도 1은, 플라스마 생성 수단으로 마이크로파와 자장을 이용한 Electron Cyclotron Resonance(이하, ECR이라 한다)형 플라스마 에칭 장치의 개략 단면도이다.
ECR형 플라스마 에칭 장치는, 플라스마 처리실로서 내부를 진공 배기 가능한 챔버(101)와, 시료인 웨이퍼(102)를 재치(載置)하는 시료대(103)와, 챔버(101)의 상면에 설치된 석영제의 마이크로파 투과창(104)과, 그 위쪽에 설치된 도파관(105)과, 마이크로파를 발진하는 마그네트론(106)과, 마그네트론(106)에 고주파 전력을 공급하는 제1 고주파 전원(110)과, 챔버(101)의 둘레에 설치된 솔레노이드 코일(107)과, 챔버 내에 프로세스 가스를 도입하는 가스 공급 배관(109)과, 제1 고주파 전원(110)을 제어하는 제어 장치 CONT를 구비한다. 제어 장치 CONT는, 후술하는 제1 공정과, 제2 공정과, 제3 공정을 실행하는 프로그램이 저장된 기억부를 갖는다.
제1 고주파 전원(110)은, 제어 장치 CONT의 제어에 따라, 마그네트론(106)으로부터 발진하는 마이크로파를 펄스 변조하는 기능을 구비하고 있다. 여기에서, 마이크로파의 발진(온)과 중단(오프)을 반복하는 주기의 역수를 펄스 주파수, 그 발진 시간을 펄스 주기로 나눈 값을 듀티비로 한다.
다음으로, 플라스마 에칭 장치의 동작을 설명한다. 웨이퍼(102)는, 웨이퍼 반입구(108)로부터 챔버(101) 내에 반입된 후, 정전 흡착 전원(도시하지 않음)에 의해서 시료대(103)에 정전 흡착된다. 다음으로 프로세스 가스가, 가스 공급 배관(109)으로부터 챔버(101) 내에 도입된다.
챔버(101) 내는, 진공 펌프(도시하지 않음)에 의해 감압 배기되고, 소정의 압력(예를 들면, 0.1Pa∼50Pa)으로 조정된다. 다음으로 고주파 전원(110)으로부터 마그네트론(106)에 고주파 전력을 공급함에 의해, 마그네트론(106)으로부터 주파수 2.45GHz의 마이크로파가 발진되고, 도파관(105)을 통해서 챔버(101) 내에 전파된다.
솔레노이드 코일(107)에 의해서 발생된 자장과, 마이크로파의 상호 작용에 의해서 프로세스 가스가 여기(勵起)되어, 웨이퍼(102) 상부의 공간에 플라스마(111)가 생성된다. 한편, 시료대(103)에는, 제2 고주파 전원(도시하지 않음)에 의해서 바이어스가 인가되어, 플라스마(111) 중의 이온이 웨이퍼(102) 상에 수직으로 가속되어 입사한다.
또한, 제2 고주파 전원(도시하지 않음)은, 연속적인 바이어스 전력, 또는 시간 변조된 바이어스 전력을 시료대(103)에 인가할 수 있다. 플라스마(111)로부터의 라디칼과 이온의 작용에 의해서, 웨이퍼(102)가 이방적으로 에칭된다.
다음으로, 도 1에 나타낸 플라스마 에칭 장치를 이용한 클리닝 처리 공정을 포함하는 일련의 프로세스를, 도면을 참조하면서 설명한다. 도 2는, 제어 장치 CONT가 상기 프로그램에 따라서 실행하는, 일련의 프로세스를 나타내는 플로차트이다.
스텝201에 있어서, 피처리 로트의 1매째의 웨이퍼(102)의 에칭 후의 형상이, 2매째 이후에 에칭되는 웨이퍼(102)와 비교해서, 크게 변하지 않도록, 미리 설정된 조건에 따라, 웨이퍼의 플라스마 처리를 행한다. 이것을 시즈닝 공정이라 한다.
다음으로, 스텝202에 있어서, 웨이퍼(102)의 에칭을 행한다(제1 공정). 이때, 챔버(101) 내벽에 부생성물(이물)이 부착된다.
그 후, 스텝203에서는, 아르곤 가스와 3불화질소 가스를 혼합한 가스(불소 함유 가스)를 챔버(101)에 도입하여, 플라스마(111)를 더 발생시킴에 의해, 챔버(101)의 플라스마 클리닝을 행한다. 이때의 처리 압력은 15Pa, 마이크로파의 듀티비 100%(연속 발진 즉 연속 방전)이다. 스텝203에 의해, 스텝202에 있어서 챔버(101) 내벽에 부착된 부생성물의 제거를 행한다(제2 공정).
그 후, 스텝203에서 발생한, 챔버(101) 내에 잔류하는 질소 및 불소를 제거하기 위하여, 스텝204를 행한다. 스텝204에서는 챔버(101) 내에, 펄스 변조된 고주파 전력을 공급함과 함께 아르곤 가스와 산소 가스를 도입해서 생성된 플라스마를 이용해서, 잔류하는 질소 및 불소의 제거(플라스마 클리닝)를 행한다(제3 공정). 이때의 처리 압력은 0.4Pa, 예를 들면 마이크로파의 듀티비는 50%, 펄스 주파수는 1000Hz이다.
또한 스텝205에서, 피처리 로트 내에 미처리의 웨이퍼가 있는 경우에는, 다시 스텝202로 되돌아가서, 에칭 등을 행한다. 한편, 로트 내에 미처리의 웨이퍼가 없는 경우는, 1로트의 처리가 종료로 된다. 다음의 로트가 있을 경우, 스텝201로 되돌아가서 시즈닝 공정을 행하고, 다음의 로트의 웨이퍼의 에칭을 개시한다.
다음으로, 스텝204에 의한 챔버(101) 내에 잔류한 질소 및 불소의 제거 효과에 대하여 기술한다.
(실시예)
상기한 조건에서, 스텝204에 의한 챔버(101) 내의 클리닝이 완료된 후, 챔버(101) 내에 반입된 웨이퍼(102) 상에 부착된 질소 및 불소 기인의 이물의 확인을 행했지만, 질소 및 불소 기인의 이물은 검출할 수 없었다. 이것에 대하여, 스텝204의 조건 중, 마이크로파의 듀티비를 100%로 하고, 마찬가지의 확인을 행했더니, 질소 및 불소 기인의 이물이 관찰되었다.
이물 발생의 이유에 대하여, 이하와 같이 설명할 수 있다.
도 3은, 스텝203 종료 후의 챔버(101) 측벽을 모식적으로 나타낸 도면이다. 검은색으로 나타낸 서클은, 챔버(101) 측벽의 구성 원소를 나타내고 있다.
스텝204에 있어서, 생성된 플라스마(111) 중의 이온이, 챔버(101) 측벽을 스퍼터링하거나, 혹은 산소가 벽을 산화시킴으로써, 잔류하고 있는 불소 원소 및 질소 원소를 제거한다.
한편, 스텝204에 있어서, 플라스마(111)는, 고주파 전원(110)으로부터의 고주파 전력에 따라서, 마그네트론(106)으로부터 발진된 마이크로파에 의해 온 상태로 되고, 또한 마이크로파의 중단에 의해 오프 상태로 되고, 이들을 펄스파 형상으로 번갈아 반복하고 있다. 플라스마(111)가 오프 상태로 되었을 때, 전자 온도가 급격히 낮아지고, 플라스마(111)는 플라스마 중에 존재하는 분자에 흡착하거나, 또는 챔버(101) 측벽에 확산해서 감소한다.
그 때문에, 챔버(101) 측벽에 유입되는 전자 플럭스가 감소하고, 음으로 대전하여 있던 챔버(101) 측벽의 전위가 올라간다. 한편, 플라스마(111) 중에 존재하는 산소는 전자 친화력이 높기 때문에, 플라스마(111) 중에서는 그 대부분이 음이온으로서 존재하고 있다. 그 때문에, 음의 산소 이온은, 플라스마(111)가 온 상태일 때는 챔버(101) 측벽의 전위에 의해 되돌려 보내져, 챔버(101) 측벽 부근에서 소량밖에 존재할 수 없다.
그러나, 플라스마(111)를 오프 상태로 함으로써, 챔버(101) 측벽의 전위가 서서히 올라간다. 이것에 의해, 산소를 원소로 갖는 입자가 챔버(101) 측벽에 보다 많이 유입되게 된다. 이것에 의해, 챔버(101) 측벽을 산화하고, 챔버(101) 측벽에 잔류한 질소와 불소를 제거할 수 있다. 따라서, 플라스마를 오프로 하고 있는 시간, 즉 마이크로파의 발진을 중단하는 시간은, 챔버(101) 측벽에 유입되는 음의 산소 이온 플럭스가, 챔버(101) 측벽에 유입되는 전자 플럭스보다 커지는 시간 이상으로 하면 된다. 환언하면, 챔버(101) 측벽에 유입되는 음의 산소 이온 플럭스가, 챔버(101) 측벽에 유입되는 전자 플럭스보다 커지는 플라스마의 오프 시간과 동등, 혹은 그보다 펄스의 오프 시간을 길게 하면 된다.
도 4는, 상기 이론의 뒷받침으로 되는, 플라스마(111) 중에 존재하는 음의 전하를 갖는 산소 이온의 수와 전위의 관계를 나타낸 도면이다. 단, 종축은 입자수 N, 횡축은 챔버(101) 측벽의 전위 -V이고, 입자수는 볼츠만 분포에 따르는 것으로 했다. Von은 마이크로파가 발진되고 있을 때의 챔버(101) 측벽의 전위이고, Voff는 마이크로파의 발진을 중단한 후, 소정 시간 경과했을 때의 당해 측벽의 전위이다.
도 4로부터 알 수 있는 바와 같이, 플라스마(111)를 오프 상태로 하면, 챔버(101) 측벽 부근에 존재할 수 있는 이온수가 증가한다. 이상으로부터, 마이크로파는, 연속 발진시키는 것보다도 펄스 변조시키는 편이, 이물의 제거성이 높아지는 것을 알 수 있다. 마이크로파의 펄스의 주기는, 1밀리초 이하이면 바람직하다.
단, 플라스마(111)의 오프 시간이, 플라스마(111) 중의 이온이 소실하는 시간보다 길어지면, 플라스마(111)가 실화(失火)해 버린다. 그 때문에, 플라스마(111)의 최대 오프 시간에 대해서는, 플라스마(111) 중의 이온이 소실하는 시간 이하로 하는 것, 구체적으로는, 펄스 변조에 있어서의 1주기에서의 마이크로파의 발진 중단 시간을 10밀리초 이하로 하는 것이 바람직하다.
또한, 도 5는, 본 실시예에 있어서의 잔류 질소 및 잔류 불소의 제거성의 효과를 나타내는 그래프이다. 여기에서는, 상기 실시예의 스텝204에 있어서 제1 고주파 전원(110)의 최대 출력 및 듀티비만을 바꾸고, 스텝204 후에 챔버(101) 내에서 아르곤 가스에 의해서만 연속 방전을 행하고, 그 중에서의 불소의 발광량의 시간 평균을 나타내고 있다.
구체적으로는, 마이크로파의 펄스 변조의 주기에 대한 마이크로파의 발진 시간을 듀티비로 한다(듀티비 20이면, 펄스 변조의 주기의 20%의 시간에서 마이크로파가 발진되는 것을 의미한다). 또한 불소의 발광량이 높을수록, 잔존하는 불소의 양이 많은 것을 나타낸다.
도 5의 결과로부터, 제1 고주파 전원(110)의 최대 출력을 300W, 600W, 1000W로 변화시켜도, 이물 제거 효과의 경향은 거의 변하지 않는 것을 알 수 있다. 또한, 듀티비 100이 가장 이물 제거 효과가 낮은 것에 대하여, 듀티비가 내려감에 따라서 이물 제거 효과가 높아지고, 특히 어느 듀티비를 문턱값으로 해서, 이물 제거 효과가 높아지는 경향이 있는 것을 알 수 있다. 따라서, 플라스마(111)의 온 시간, 즉 마이크로파의 발진 시간은, 마진을 확보하면서 펄스의 듀티비를 50% 이하로 하는 것이 바람직하다.
또, 본 발명은, 도 2의 프로세스로 한정되지 않는 실시형태여도 적용 가능하다. 예를 들면, 적어도 스텝203을 포함하는 어떠한 실시형태여도, 본 발명을 적용 가능하다.
또한, 상기 실시형태에서는 웨이퍼(102)를 반입하는 공정을 갖지만, 이것으로 한정되지 않는 실시형태여도 적용 가능하다. 예를 들면, 스텝201, 스텝203 및 스텝204를 행할 때에, 웨이퍼(102)는 챔버(101) 내에 반입되지 않아도 상관없다.
또한, 본 실시형태에서는 챔버(101) 내에 잔류한 질소 및 불소의 제거예를 나타냈지만, 이것으로 한정되지 않는 실시형태여도 적용 가능하다. 예를 들면, 잔류한 불소 이외의 할로겐 원소의 제거에도, 본 발명을 적용 가능하다.
또, 본 발명은 상기한 실시형태로 한정되는 것은 아니며, 다양한 변형예가 포함된다. 예를 들면, 상기한 실시형태는 본 발명을 알기 쉽게 설명하기 위하여 상세히 설명한 것이며, 반드시 설명한 모든 구성을 구비하는 것으로 한정되는 것은 아니다. 또한, 어느 실시형태에 있어서의 구성의 일부를 다른 실시형태의 구성으로 치환하는 것이 가능하고, 또한, 어느 실시형태의 구성에 다른 실시형태의 구성을 더하는 것도 가능하다. 또한, 각 실시형태에 있어서의 구성의 일부에 대하여, 다른 구성의 추가·삭제·치환을 하는 것도 가능하다.
101 : 챔버 102 : 웨이퍼
103 : 시료대 104 : 마이크로파 투과창
105 : 도파관 106 : 마그네트론
107 : 솔레노이드 코일 108 : 웨이퍼 반입구
109 : 가스 공급 배관 110 : 제1 고주파 전원

Claims (6)

  1. 처리실 내에서 시료를 플라스마 처리하는 플라스마 처리 방법에 있어서,
    상기 시료를 플라스마 처리하는 제1 공정과,
    상기 제1 공정 후, 불소 함유 가스를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제2 공정과,
    상기 제2 공정 후, 펄스 변조된 고주파 전력 및 산소 가스에 의해 생성된 플라스마를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제3 공정을 갖고,
    상기 펄스 변조의 펄스 파형은, 단일의 구형(矩形)이 반복되는 파형이고,
    상기 처리실의 내벽에 유입되는 음이온의 플럭스가 상기 처리실의 내벽에 유입되는 전자의 플럭스보다 커지는 플라스마의 오프 시간보다 상기 펄스 변조에 있어서의 펄스의 오프 시간을 길게 하거나, 또는 상기 펄스의 오프 시간을 상기 플라스마의 오프 시간과 동등하게 하는 것을 특징으로 하는 플라스마 처리 방법.
  2. 제1항에 있어서,
    상기 제2 공정의 플라스마는, 연속 방전의 플라스마인 것을 특징으로 하는 플라스마 처리 방법.
  3. 삭제
  4. 제1항에 있어서,
    상기 불소 함유 가스는, 3불화질소(NF3) 가스인 것을 특징으로 하는 플라스마 처리 방법.
  5. 제4항에 있어서,
    상기 펄스의 듀티비를 50% 이하로 하고,
    상기 펄스의 주기를 1ms로 하는 것을 특징으로 하는 플라스마 처리 방법.
  6. 시료가 플라스마 처리되는 처리실과, 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 상기 시료가 재치(載置)되는 시료대를 구비하는 플라스마 처리 장치에 있어서,
    상기 시료를 플라스마 처리하는 제1 공정과, 상기 제1 공정 후, 불소 함유 가스를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제2 공정과, 상기 제2 공정 후, 펄스 변조된 고주파 전력 및 산소 가스에 의해 생성된 플라스마를 이용해서 상기 처리실 내를 플라스마 클리닝하는 제3 공정이 규정되고 기억부에 저장된 프로그램을 실행하는 제어 장치를 더 구비하고, 상기 펄스 변조의 펄스 파형은, 단일의 구형(矩形)이 반복되는 파형이고,
    상기 처리실의 내벽에 유입되는 음이온의 플럭스가 상기 처리실의 내벽에 유입되는 전자의 플럭스보다 커지는 플라스마의 오프 시간보다 상기 펄스 변조에 있어서의 펄스의 오프 시간을 길게 하거나, 또는 상기 펄스의 오프 시간을 상기 플라스마의 오프 시간과 동등하게 하는 것을 특징으로 하는 플라스마 처리 장치.
KR1020197037240A 2019-02-27 2019-02-27 플라스마 처리 방법 및 플라스마 처리 장치 KR102452098B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2019/007598 WO2020012693A1 (ja) 2019-02-27 2019-02-27 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20200105746A KR20200105746A (ko) 2020-09-09
KR102452098B1 true KR102452098B1 (ko) 2022-10-11

Family

ID=69141351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037240A KR102452098B1 (ko) 2019-02-27 2019-02-27 플라스마 처리 방법 및 플라스마 처리 장치

Country Status (6)

Country Link
US (1) US20200273683A1 (ko)
JP (1) JP7061140B2 (ko)
KR (1) KR102452098B1 (ko)
CN (1) CN111868890B (ko)
TW (1) TWI744782B (ko)
WO (1) WO2020012693A1 (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015018836A (ja) 2013-07-08 2015-01-29 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
JP2016225567A (ja) * 2015-06-03 2016-12-28 東京エレクトロン株式会社 クリーニング方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09129596A (ja) * 1995-10-26 1997-05-16 Toshiba Corp 反応室のクリーニング方法
JP3333701B2 (ja) * 1996-11-14 2002-10-15 東京エレクトロン株式会社 プラズマ処理装置のクリ−ニング方法
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
US6566269B1 (en) * 2000-07-14 2003-05-20 Lucent Technologies Inc. Removal of post etch residuals on wafer surface
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7207339B2 (en) * 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
JP2006319181A (ja) * 2005-05-13 2006-11-24 Matsushita Electric Ind Co Ltd プラズマエッチング装置、及び当該装置のクリーニング方法
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
TW201320238A (zh) * 2011-11-11 2013-05-16 United Microelectronics Corp 移除氧化層的半導體製程
CN104282519B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
CN104599942A (zh) * 2013-10-31 2015-05-06 上海矽睿科技有限公司 氮化钽干法刻蚀后的清洁方法
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
JP6638334B2 (ja) * 2015-11-05 2020-01-29 栗田工業株式会社 プラズマ処理装置部品のクリーニング方法及びクリーニング装置
US20170287791A1 (en) * 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015018836A (ja) 2013-07-08 2015-01-29 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
JP2016225567A (ja) * 2015-06-03 2016-12-28 東京エレクトロン株式会社 クリーニング方法

Also Published As

Publication number Publication date
US20200273683A1 (en) 2020-08-27
WO2020012693A1 (ja) 2020-01-16
JPWO2020012693A1 (ja) 2020-07-27
JP7061140B2 (ja) 2022-04-27
CN111868890B (zh) 2024-03-22
TWI744782B (zh) 2021-11-01
CN111868890A (zh) 2020-10-30
TW202101521A (zh) 2021-01-01
KR20200105746A (ko) 2020-09-09

Similar Documents

Publication Publication Date Title
KR102460164B1 (ko) 에칭 방법
JP6138653B2 (ja) ドライエッチング方法
JP3706148B2 (ja) 低圧スパッタリングの方法および装置
TWI450308B (zh) Plasma processing method
KR102035585B1 (ko) 플라즈마 처리 방법
KR102513051B1 (ko) 에칭 방법
JP2009246183A (ja) プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US6909087B2 (en) Method of processing a surface of a workpiece
TWI446439B (zh) 電漿處理方法
JP5959275B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR102452098B1 (ko) 플라스마 처리 방법 및 플라스마 처리 장치
KR102447235B1 (ko) 플라스마 처리 방법
WO2020161879A1 (ja) ドライエッチング方法及びドライエッチング装置
CN109075068B (zh) 蚀刻方法
JP7382848B2 (ja) 基板処理方法および基板処理装置
JP5774428B2 (ja) ドライエッチング方法およびプラズマエッチング装置
JP5774356B2 (ja) プラズマ処理方法
WO2022013938A1 (ja) プラズマ処理方法
KR100851454B1 (ko) 챔버 조건에 대한 공정 민감도를 감소시키는 방법
JP7222150B1 (ja) プラズマ処理方法
KR20050001831A (ko) 플라즈마 처리 장치
JP6259610B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP5918886B2 (ja) プラズマ処理方法
TW202105507A (zh) 用於高深寬比蝕刻的電漿蝕刻工具
CN116420430A (zh) 基板处理方法和基板处理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant