CN114207785A - 用于处理基板的方法与设备 - Google Patents

用于处理基板的方法与设备 Download PDF

Info

Publication number
CN114207785A
CN114207785A CN202080054045.0A CN202080054045A CN114207785A CN 114207785 A CN114207785 A CN 114207785A CN 202080054045 A CN202080054045 A CN 202080054045A CN 114207785 A CN114207785 A CN 114207785A
Authority
CN
China
Prior art keywords
power
low frequency
upper electrode
processing
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080054045.0A
Other languages
English (en)
Inventor
K·拉马斯瓦米
杨扬
K·柯林斯
S·莱恩
G·蒙罗伊
郭岳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114207785A publication Critical patent/CN114207785A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Abstract

本文提供了用于处理基板的方法和设备。例如,一种处理基板的方法包含:将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;在处理空间中产生等离子体,所述等离子体包含离子;用离子轰击上部电极以使上部电极发射电子并形成电子束;以及将偏压功率施加到设置在处理空间中的下部电极,以使电子束中的电子朝向下部电极加速,偏压功率包含低频RF功率或高频RF功率中的至少一者。

Description

用于处理基板的方法与设备
技术领域
本公开内容的实施例总体上涉及用于处理基板的方法和设备,并且更具体地,涉及配置用于基板的电子束反应性等离子体蚀刻的方法和设备。
背景技术
根据当前的基板(例如,晶片)制造,可以控制蚀刻速度、蚀刻轮廓和蚀刻选择性以降低制造成本并增加基板上的电路元件密度。然而,基板上的蚀刻特征(例如,存储器孔、狭缝等)继续缩小尺寸或增加深宽比(例如,特征的深度与宽度之比)。例如,在三维(3D)NAND装置制造中,基板(晶片)可以包括多达96层,并且可以扩展到多达128层。另外,例如,存储器孔和/或狭缝的深宽比可以在100至200之间,其中存储器孔深度在大约6μm至8μm的范围内,因此使存储器孔蚀刻成为3D NAND装置制造中最关键和最具挑战性的步骤之一。例如,这种高深宽比(HAR)蚀刻不仅需要高蚀刻速度和高蚀刻选择性(例如,以遮蔽基板上的材料),而且HAR蚀刻还需要笔直的轮廓而没有弯曲和扭曲、没有欠蚀刻且最小微负载、最小深宽比相关蚀刻(ARDE)以及在整个基板上的均匀性(例如,临界尺寸(CD)变化3σ<1%)。
同样地,对于以逻辑应用为目标的Finfet制造,经常需要以大于20的选择性比对相似材料进行化学蚀刻(例如在氧化硅与氮化硅之间进行蚀刻)。
因此,发明人提供了被配置用于基板的电子束反应性等离子体蚀刻的改进的方法和设备。
发明内容
本文提供了用于基板的电子束反应性等离子体蚀刻的方法和设备。在一些实施例中,一种方法包含:将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;在处理空间中产生等离子体,所述等离子体包含离子;用离子轰击上部电极,以使上部电极发射电子并形成电子束;以及将偏压功率施加到设置在处理空间中的下部电极,以使电子束中的电子朝向下部电极加速,偏压功率包含低频RF功率或高频RF功率中的至少一者。
根据一个或多个实施例,一种用于处理基板的设备包含:控制器,所述控制器被配置为:将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;在处理空间中产生等离子体,所述等离子体包含离子;用离子轰击上部电极,以使上部电极发射电子并形成电子束;以及将偏压功率施加到设置在处理空间中的下部电极,以使电子束中的电子朝向下部电极加速,偏压功率包含低频RF功率或高频RF功率中的至少一者。
根据一个或多个实施例,一种非瞬时性计算机可读存储介质,其上存储有指令,所述指令在由处理器执行时配置处理器以执行用于处理基板的方法。方法包含:将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;在处理空间中产生等离子体,所述等离子体包含离子;用离子轰击上部电极,以使上部电极发射电子并形成电子束;以及将偏压功率施加到设置在处理空间中的下部电极,以使电子束中的电子朝向下部电极加速,偏压功率包含低频RF功率或高频RF功率中的至少一者。
下面描述本公开内容的其他与进一步实施例。
附图说明
通过参照附图中描绘的本公开内容的说明性实施例,可了解于上文简要概述并于下文更详细讨论的本公开内容的实施例。然而,附图仅图示本公开内容的典型实施例,并且因此不应被视为限制本公开内容的范围,因为公开内容可允许其他等效的实施例。
图1是根据本公开内容的一个或多个实施例的设备的示意图。
图2是根据本公开内容的一个或多个实施例的用于处理基板的方法的流程图。
为了促进了解,在可能的情况下使用相同的附图标记标定附图中共有的相同要素。附图并未按照比例绘制,并为了清楚起见可被简化。一个实施例的要素与特征可被有益地并入其他实施例中,而无需进一步的叙述。
具体实施方式
本文提供了配置用于基板的电子束反应性等离子体蚀刻的方法和设备的实施例。更具体地,根据本公开内容,发明人已经发现,当与常规蚀刻设备(例如反应性离子蚀刻(RIE))相比时,本文所述的蚀刻设备:(a)对于与常规蚀刻设备所使用的入射离子能量相同的能量水平,增加了蚀刻速率,例如蚀刻速率增加百分之三十;(b)提供增加的源电子束以增加蚀刻速率,而不必增加偏压功率,常规蚀刻设备有时需要增加偏压功率以补偿(例如由于堵塞所引起的)离子能量的减少,这有时可对基板(例如晶片)产生热负荷;(c)消除了微沟槽,所述微沟槽例如由于导致在非平面蚀刻正面(etch front)的角落发生更快的蚀刻的充电效应而引起;(d)提供增加的蚀刻深度,同时最小化(例如由于充电效应而引起的)ARDE效应;以及(e)提供增加的轮廓控制,例如减少(如果不是消除)由于蚀刻特征的上部处的充电效应而引起的弯曲和/或扭曲。
图1是根据本公开内容的一个或多个实施例的设备的示意图。设备适用于使用电子束(ebeam)蚀刻一个或多个基板(晶片)。因此,在至少一些实施例中,设备是被配置为执行电子束诱导蚀刻(EBIE)的处理腔室100(例如,电子束处理腔室)。处理腔室100具有腔室主体102,腔室主体102限定处理空间101。在一个实施例中,腔室主体102具有基本上圆柱形的形状,并且可以由适合于在其中维持真空压力环境的材料制成,所述材料诸如金属材料,例如铝或不锈钢。
顶板106耦接到腔室主体102并形成处理空间101。顶板106由导电材料形成,所述材料诸如用于制造腔室主体102的材料。顶板106耦接到并支撑电极108(例如,上部电极)。在一些实施例中,电极108被耦接到顶板106,使得电极108被设置为邻近处理空间101或在处理空间101内。电极108由具有高二次电子发射系数(例如,二次电子发射系数为大约5至大约10)的工艺兼容材料形成。具有相对较高的二次发射系数的材料可以包括但不限于硅、碳、硅碳材料或氧化硅材料。或者,电极108可以由诸如氧化铝(Al2O3)、氧化钇(Y2O3)或氧化锆(ZrO2)之类的金属氧化物材料形成。由电绝缘材料形成的介电环109耦接到腔室主体102并围绕电极108。如图所示,介电环109设置在腔室主体102与顶板106之间并支撑电极108。
顶板106可包括绝缘层150,绝缘层150包含面对电极108的吸附电极152。在至少一些实施例中,DC电压源154可以经由馈送导体155耦接到吸附电极152以用于将电极108静电吸附到顶板106,并且耦接到电极108以用于向电极108施加DC功率(例如,电位)。在这样的实施例中,DC阻断电容器156可以与阻抗匹配电路124的输出串联连接。控制器126用于控制DC电压源154。
电极108与顶板106之间的机械接触足以维持电极108与顶板106之间的高导热性。另外,可以通过由DC电压源154提供的静电吸附力来调节机械接触的力。
在一个或多个实施例中,顶板106是导电的并且与电极108电接触。来自阻抗匹配电路124的功率通过顶板106传导到电极108。在一个或多个实施例中,腔室主体102可维持在接地电位。在一个或多个实施例中,处理腔室100内部的接地内表面(即腔室主体102)可以涂覆有工艺兼容材料,诸如硅、碳、硅碳材料或氧化硅材料、氧化铝(Al2O3)、氧化钇(Y2O3)或氧化锆(ZrO2)。
在一些实施例中,用于在顶板106内部传导导热液体或介质的内部通道(未示出)连接至热介质循环供应。热介质循环供应用作散热器或热源。
基座110设置在处理空间101中。基座110在其上支撑基板111(例如半导体晶片,诸如硅晶片、或玻璃面板或其他基板,诸如用于太阳能电池、显示器或其他应用)并且具有平行于电极108定向的基板支撑表面110a。在一个实施例中,基座110可通过升举伺服电机112在轴向方向上移动。在操作期间,上部电极(诸如电极108)被保持在与基板支撑表面110a相距一个或多个距离(例如,处理位置)处。例如,在至少一些实施例中,将电极108保持在与用于处理基板的处理位置相距大约1英寸至大约20英寸的距离处。例如,在至少一些实施例中,距离可以是大约6英寸至大约10英寸。
控制器126被提供并耦接到处理腔室100的各种部件以控制处理腔室100的操作以用于处理基板。控制器126包括中央处理单元(CPU)127、支持电路129和存储器或非瞬时性计算机可读介质131。控制器126直接地或经由与处理腔室100和/或支持系统部件相关联的计算机(或控制器)可操作地耦接到并控制一个或多个能量源。控制器126可以是可在工业环境中用于控制各种腔室与子处理器的任何形式的通用计算机处理器。控制器126的存储器或非瞬时性计算机可读介质131可以是诸如随机存取存储器(RAM)、只读存储器(ROM)、磁盘、硬盘、光学存储介质(例如压缩盘或数字视频盘)、闪存驱动器、或任何其他形式的本地或远程的数字存储之类的容易获得的存储器中的一者或多者。支持电路129耦接到CPU 127以用于以常规方式支持CPU 127。支持电路129可包含高速缓存、电源、时钟电路、输入/输出电路系统和子系统等。如本文所述的发明方法(诸如用于处理基板(例如基板的EBIE)的方法)可以作为软件例程133存储在存储器131中,软件例程133可以被执行或调用以由本文描述的方式控制一个或多个能量源的操作。软件例程133还可由第二CPU(未示出)存储和/或执行,第二CPU远离由CPU 127控制的硬件定位。
在一个或多个实施例中,基座110可包括形成基板支撑表面110a的绝缘圆盘142、设置在绝缘圆盘142内部的下部电极144以及连接到电极144的吸附电压源148。另外,在至少一些实施例中,位于绝缘圆盘142下方的基层146可包括一个或多个内部通道(未示出),以用于使来自循环供应的传热介质(例如,液体)循环。在这样的实施例中,循环供应可用作散热器或热源。
具有大约20MHz至大约200MHz的频率的高频RF功率产生器120和具有大约100kHz至大约20MHz的频率的低频RF功率产生器122通过例如阻抗匹配电路124经由RF馈送导体123耦接到电极108。在一个或多个实施例中,来自阻抗匹配电路124的RF馈送导体123可以连接到电极支撑件或顶板106,而不是直接连接到电极108。在这样的实施例中,来自RF馈送导体123的RF功率可以从电极支撑件电容耦合到电极108。阻抗匹配电路124适于在高频RF功率产生器120和低频RF功率产生器122的不同频率处提供阻抗匹配,以及进行滤波以将高频RF功率产生器120和低频RF功率产生器122彼此隔离。高频RF功率产生器120和低频RF功率产生器122的输出功率水平可以由控制器126独立地控制,如将在下面更详细地描述的。
利用高频RF功率产生器120和低频RF功率产生器122,可以通过选择电极108与基座110之间的距离(例如,从大约6英寸到大约10英寸)来控制处理空间101中的径向等离子体均匀性。例如,在一些实施例中,较低的VHF频率在处理空间101中产生等离子体离子密度的边缘高的径向分布,并且较高的VHF频率产生等离子体离子密度的中心高的径向分布。通过这种选择,高频RF功率产生器120和低频RF功率产生器122的功率水平能够产生具有基本均匀的径向等离子体离子密度的等离子体。
上部气体喷射器130通过第一阀132将处理气体提供到处理空间101中,并且下部气体喷射器134通过第二阀136将处理气体提供到处理空间101中。上部气体喷射器130和下部气体喷射器134可设置在腔室主体102的侧壁中。处理气体通过耦接到第一阀132和第二阀136的阀阵列140从诸如气体供应138之类的处理气体供应阵列供应。输送到处理空间101中的处理气体种类和气体流速可以独立地控制。例如,通过上部气体喷射器130的气流可以与通过下部气体喷射器134的气流不同。控制器126控制阀阵列140。
在一个实施例中,诸如氦气(He)、氩气(Ar)(或其他惰性气体)之类的一种或多种惰性气体和/或诸如氢气(H2)、溴化氢(HBr)、氨气(NH3)、乙硅烷(Si2H6)、甲烷(CH4)、乙炔(C2H2)、三氟化氮(NF3)、四氟甲烷(CF4)、六氟化硫(SF6)、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3)、六氟丁二烯(C4F6)、氯气(Cl2)、氮气(N2)、氧气(O2)之类的一种或多种反应性气体、它们的组合等,可以通过上部气体喷射器130和下部气体喷射器134中的任一者或两者供应到处理空间101中。在一些实施例中,输送到与电极108相邻的处理空间101的处理气体可以使二次电子朝着基板111加速,如将在下面更详细地描述,和/或使电极108免受在处理空间101中形成的反应性等离子体的影响,从而增加了电极108的使用寿命。
根据本公开内容,通过各种体处理和表面处理,例如通过电容耦合170(例如,电容耦合等离子体(CCP))和/或电感耦合172(例如,电感耦合等离子体(ICP))在处理空间101中产生等离子体。除了偏压功率控制离子能量外,电感耦合功率或高频电容耦合功率也可用于实现对等离子体密度的独立控制。因此,当处理腔室100被配置为与电容耦合170一起使用(例如被配置为CCP反应器)时,源功率可指被施加到支撑基板111的偏压电极(例如电极144)或上部电极(例如电极108)的(与偏压相比)更高频的功率。替代地或附加地,当处理腔室100被配置为与电感耦合172一起使用(例如被配置为ICP反应器)时,源功率是指施加到线圈173(在图1中以虚线示出)的功率。当处理腔室100被配置为ICP反应器时,在处理腔室100的腔室主体102的一侧上设置介电窗175(也以虚线示出)。介电窗175被配置为提供真空边界和用于电磁波激发等离子体的窗。
发明人已经发现,由CCP或ICP产生的离子受到电场的影响,所述电场促进由等离子体产生的离子对电极108的离子轰击,这将在下面更详细地描述。此外,取决于处理腔室100的操作模式,电极108的离子轰击能量可以是(例如,由DC电压源154、低频RF功率产生器122或高频RF功率产生器120中的一者或多者提供的)提供给电极108的功率的函数。例如,在至少一些实施例中,可以通过施加来自DC电压源154和低频RF功率产生器122中的一者或两者的电压来提供电极108的离子轰击能量。在至少一些实施例中,除了使用DC电压源154和低频RF功率产生器122中的一者或两者之外,高频RF功率产生器120也可用于增加等离子体密度和电子束通量。
当DC电压源154用于向电极108供应功率(例如,偏压)时,由DC电压源154提供的功率可以是大约1W至大约30kW(例如,大约-1560V至大约-1440V)。类似地,当低频RF功率产生器122用于向电极108供应功率(例如,偏压)时,由低频RF功率产生器122供应的功率可以是大约1W至大约30KW,频率为大约100kHz至大约20MHz。同样地,当高频RF功率产生器120与DC电压源154和低频RF功率产生器122中的任一者或两者结合使用时,高频RF功率产生器120供应的功率可以是大约1W至大约10kW,频率为大约20Mz至大约200MHz。
电极108的离子轰击能量和等离子体密度可以是高频RF功率产生器120和低频RF功率产生器122以及DC电压源154的函数。例如,在至少一些实施例中,电极108的离子轰击能量基本上由来自低频RF功率产生器122(或DC电压源154)的低频功率控制,并且处理空间101中的等离子体密度基本上可由来自高频RF功率产生器120的功率控制(增强)。在至少一些实施例中,电极108的离子轰击使电极108发射二次电子。具有负电荷的高能二次电子从电极108的内表面发射并且由于电极108的负偏压而加速离开电极108,这将在下面更详细地描述。另外,为了增加在基板表面处的电子束轰击剂量,可以改变由低频RF功率产生器122和/或DC电压源154中的每一者提供的相对功率,以改变在电极108和/或电极144处提供的对应电压,如将在下面更详细描述的。
来自电极108的发射表面的高能电子的电子束通量,可以被定向为基本垂直于电极108的内表面。电子束的束能量可以大约等于电极108的离子轰击能量,所述离子轰击能量通常可以在大约100eV至20,000eV的范围内。由于电极108表面的高能离子轰击而从电极108发射的二次电子通量组成的电子束的至少一部分传播通过处理空间101并与基板111附近的处理气体反应。通过利用一种或多种先前描述的处理气体(诸如Ar),发明人发现可以以多种方式使用基板111上的电子束轰击效果。首先,如上所述,发明人发现,在反应性物质吸附表面上的电子束轰击可引起蚀刻反应(例如,EBIE),这为基板提供无损伤蚀刻和高蚀刻选择性。
其次,由于基板表面上的电场总是指向基板,因此充电效应会对基板的处理产生负面影响。更具体地,电子可仅在护套(例如静电护套)塌陷的瞬间(例如在RF周期的正峰值处)接近基板以用于电荷中和。另外,随着深宽比的增加,越来越少的来自体等离子体的电子可以到达蚀刻特征的底部。因此,正电荷可以积聚在蚀刻特征的底部,并建立阻止入射离子的电场。例如,基于经验数据,对于深宽比为50:1的存储器孔,超过百分之五十的离子无法到达存储器孔的底部,并且由于正场延迟而导致离子能量显著降低。充电效应与中性运输限制一起可使蚀刻速率随深宽比的增加而降低(例如ARDE效应)。此外,充电效应可引起离子轨迹的偏转(例如,离子轰击侧壁而不是垂直向下),从而在蚀刻轮廓控制方面产生挑战,诸如弯曲、扭曲、欠蚀刻和微沟槽。因此,发明人已发现电子束轰击可用于中和累积在蚀刻特征(例如存储器孔)的底部和/或侧壁处的正离子电荷,从而消除充电效应。
在一些实施例中,RF偏压功率产生器162可以通过阻抗匹配器164耦接到基座110的电极144。RF偏压功率产生器162(如果使用的话)被配置为将离子加速到基板111上。RF偏压功率产生器162可以被配置为提供低频RF功率和/或高频RF功率。例如,在至少一些实施例中,RF偏压功率产生器162可以被配置为以例如大约100kHz至大约200MHz的一个或多个频率向电极144供应1W至30kW的功率。在一些实施例中,例如,RF偏压功率产生器162可被配置为以大约100kHz至大约100MHz的频率向电极144供应1W至30kW的功率。
波形调整处理器147可以连接在电极144与阻抗匹配器164的输出和/或电极108与阻抗匹配电路124的输出之间。波形调整处理器147控制器可以被配置为将由RF偏压功率产生器162和/或高频RF功率产生器120和低频RF功率产生器122产生的波形改变为期望的波形。可以通过波形调整处理器147控制基板111和/或电极108附近的等离子体的离子能量。例如,在一些实施例中,波形调整处理器247产生波形,在所述波形中,在每个RF周期的特定部分期间将幅度保持在与期望的离子能量水平相对应的水平。控制器126控制波形调整处理器147。
基板111的蚀刻也可能受到一个或多个因素的影响。例如,(除了电子束能量、电子束等离子体功率和偏压功率(如果使用的话)之外)压力可影响基板111的蚀刻。因此,在一个实施例中,在基板111的EBIE期间保持在处理空间101中的压力可以在大约0.1毫托至大约300毫托之间。例如,在至少一些实施例中,诸如当需要电子束中和和蚀刻轮廓控制时,在基板111的EBIE期间保持在处理空间101中的压力可以在大约0.1毫托至大约30毫托之间。类似地,在至少一些实施例中,诸如当不需要电子束中和和蚀刻轮廓控制且不需要偏压功率时,在基板111的EBIE期间保持在处理空间101中的压力可以在大约0.1毫托至大约100毫托之间。压力由与处理空间101流体连通的真空泵168产生。压力由设置在处理空间101与真空泵168之间的栅阀166调节。控制器126控制真空泵168和/或栅阀166。
图2是根据本公开内容的一个或多个实施例的用于处理基板的方法200的流程图。方法200可以使用例如配置为用于执行基板的EBIE的处理腔室(例如,处理腔室100)来执行。为了说明的目的,假定处理腔室被配置为CCP反应器,所述CCP反应器被配置用于基板(例如,基板111)的EBIE,所述基板可以是例如150mm、200mm、300mm、450mm基板等。例如,在至少一些实施例中,基板可以是300mm基板,诸如半导体晶片等。如可以理解的,本文所述的功率/电压和/或脉冲/占空比可以(例如,对于直径大于或小于300mm的基板)相应地缩放。最初,可以将上述处理气体中的一种或多种引入处理腔室的处理空间(例如,处理空间101)中。例如,在至少一些实施例中,处理气体可以是He、Ar等(或其他惰性气体)、和/或H2、HBr、NH3、Si2H6、CH4、C2H2、NF3、CF4、SF6、CO、COS、CHF3、C4F6、Cl2、N2、O2等(或其他反应性气体)中的一种或多种。另外,处理空间可以维持在大约0.1毫托至大约300毫托的一个或多个操作压力下。例如,在至少一些实施例中,压力可以保持在0.1毫托至大约100毫托。
在202处,可以将低频RF功率和DC功率中的一者或两者施加到与处理空间相邻设置的上部电极(例如,电极108),如上所述,所述上部电极可以由高二次电子发射系数材料形成。例如,在至少一些实施例中,RF功率产生器(例如,低频RF功率产生器122)可以用于向上部电极供应低频RF功率。如上所述,施加到上部电极的低频RF功率可以是大约1W至大约30KW,并且可以以大约100kHz至大约20MHz的频率提供。
替代地或附加地,在202处,例如使用DC电压源154的DC功率可以被供应到上部电极。例如,可以提供高达大约20kW的DC功率(例如,对应于大约0至大约20kV的电源电压)。发明人已经发现在202处使用DC功率导致形成窄的电子束(例如,窄的电子能量分布)。
在至少一些实施例中,在202处,还可以使用例如高频RF功率产生器(例如,高频RF功率产生器120)将高频RF功率与低频RF功率和/或DC功率结合供应到上部电极。如上所述,高频RF功率可用于增加等离子体密度或电子束通量。
接下来204,可以使用例如提供给上部电极的功率在处理空间中产生包含离子的等离子体。例如,可以使用DC功率、低频RF功率和/或提供给上部电极的高频RF功率来点燃引入到处理空间中的处理气体以产生等离子体。
接下来,在206处,用离子轰击上部电极,以使上部电极发射二次电子并形成电子束。更具体地,在上部电极处的低频RF功率(或DC功率)用于产生高护套电压,使得在上部电极上(例如使用由等离子体形成的离子)的离子轰击具有足够的能量以从上部电极释放二次电子。在一些实施例中并且如上面关于202所述,高频RF功率也可以被施加到上部电极以增加等离子体密度或电子束通量。
在208处,将偏压功率提供给下部电极(例如,电极144)。例如,在至少一些实施例中,可以使用RF偏压功率产生器(例如,RF偏压功率产生器162)将偏压功率供应给下部电极,所述RF偏压功率产生器被配置为向下部电极供应低频RF功率或高频RF功率以用于将电子束中的电子朝着下部电极加速。更具体地,上部电极处的高护套电压和下部电极处的相对低的偏压电位,以足够的能量将二次电子加速到主等离子体中,以克服基板护套电位并到达基板表面(例如,基板111)。
在至少一些实施例中,可以使用一种或多种气体来增强从基座(和/或下部电极)到基板的热传递。例如,在至少一些实施例中,He或其他合适的用于传递热量的气体可使用例如一个或多个气体供应源(例如气体供应源138)施加在基座(和/或下部电极)与基板之间,以增强热传递。
产生的电子束可用于蚀刻基板以在基板上形成一个或多个特征。例如,在一些实施例中,所产生的电子束可用于在基板中形成一个或多个存储器孔。更具体地,发明人发现电子束可以用于形成蚀刻深度为大约200nm至大约500nm的存储器孔,而没有ARDE效应,限定存储器孔的侧壁没有弯曲或扭曲,并且具有更好的CD(例如平坦底部)和相对笔直的轮廓。
发明人还发现,可以使用一种或多种脉冲方案(例如,控制脉冲占空比、脉冲同步、占空比和延迟)来控制电子束通量与离子通量之间的平衡。例如,在方法200中,任何提供的RF功率都可以使用脉冲或连续波(CW)模式来实现针对不同应用(例如,高或低深宽比、逻辑或存储器等)的期望结果。替代地或组合地,在方法200中,任何供应的DC功率可以使用脉冲或连续模式来实现针对不同应用的期望结果(例如,高或低深宽比、逻辑或存储器等)。更具体地,为了使入射在基板上的电子束轰击剂量最大化,可以如下所述地使用一种或多种脉冲方案。
在至少一些实施例中,例如,低频RF功率或DC功率中的一者或两者可被连续地提供给上部电极(如以上关于202所述),并且低频RF功率可被提供给下部电极(如上文关于208所述)。在一些实施例中,在低频RF功率的正弦周期的至少一部分期间,提供给上部电极的DC功率电压大于提供给下部电极的低频RF功率电压。另外,在一些实施例中,可以以低占空比(例如,大约百分之十(10%)至大约百分之七十(70%),诸如大约百分之五十(50%))对提供给下部电极的低频RF功率电压进行脉冲。脉冲频率可以从大约50Hz到大约100kHz。使用这样的脉冲方案降低了基板护套电位(例如在下部电极的低频RF功率关闭期间),从而增加了基板表面的电子束轰击剂量。即,只有能量高于基板护套电位的电子束电子才能到达基板表面。
在将低频RF功率供应到上部电极的实施例中,脉冲可被配置为使得当功率被供应到上部电极时,低频RF功率不被供应到下部电极,反之亦然。替代地,如上所述,可以以CW模式将低频RF功率供应到上部电极,并且可以以脉冲式低占空比将低频RF功率供应到下部电极。
在至少一些实施例中,可以以脉冲模式将低频RF功率和DC功率两者供应到上部电极和下部电极,但是以这样的方式同步:当功率被供应到上部电极时,到下部电极的功率被关闭。例如,当低频RF功率和DC功率中的一者或两者被供应到上部电极时,低频RF功率不被供应到下部电极。在这样的实施例中,可以将开/关脉冲周期设置为大约100Hz至大约100kHz的频率。在这样的实施例中,交替的离子通量和电子束通量被施加到基板,从而增加了基板表面上的电子束轰击剂量。
虽然前述内容针对本公开内容的实施例,但在不脱离本公开内容的基本范围的情况下,可设计本公开内容的其他与进一步实施例。

Claims (20)

1.一种处理基板的方法,所述方法包含以下步骤:
将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;
在所述处理空间中产生等离子体,所述等离子体包含离子;
用所述离子轰击所述上部电极,以使所述上部电极发射电子并形成电子束;以及
将偏压功率施加到设置在所述处理空间中的下部电极,以使所述电子束中的电子朝向所述下部电极加速,所述偏压功率包含低频RF功率或高频RF功率中的至少一者。
2.如权利要求1所述的方法,其中所述高二次电子发射系数材料是硅(Si)、氮化硅(SiN)、氧化硅(SiOx)或碳(C)中的至少一者。
3.如权利要求1所述的方法,其中产生包含所述电子的所述等离子体包含:将氦气(He)、氩气(Ar)、氢气(H2)、溴化氢(HBr)、氨气(NH3)、乙硅烷(Si2H6)、甲烷(CH4)、乙炔(C2H2)、三氟化氮(NF3)、四氟甲烷(CF4)、六氟化硫(SF6)、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3)、六氟丁二烯(C4F6)、氯气(Cl2)、氮气(N2)或氧气(O2)中的至少一者引入所述处理空间中。
4.如权利要求1所述的方法,所述方法进一步包含:将所述上部电极保持在与用于处理基板的处理位置相距约1英寸至约20英寸的距离处。
5.如权利要求1所述的方法,所述方法进一步包含:将所述处理空间内的压力维持在约0.1毫托至约300毫托。
6.如权利要求1所述的方法,所述方法进一步包含:将高频RF功率与低频RF功率或DC功率中的所述至少一者结合施加到所述上部电极。
7.如权利要求1所述的方法,所述方法进一步包含:以连续模式将低频RF功率或DC功率中的所述至少一这施加到所述上部电极,以及
其中施加所述偏压功率包含:以脉冲模式将低频RF功率施加到所述下部电极,使得在所述低频RF功率的正弦周期的至少一部分期间,低频RF功率的给定脉冲向所述下部电极提供比施加到所述上部电极的电压更小的电压。
8.如权利要求1至7中任一项所述的方法,所述方法进一步包含:以脉冲模式将低频RF功率或DC功率中的所述至少一者施加到所述上部电极,以及
其中施加所述偏压功率包含:以所述脉冲模式将低频RF功率施加到所述下部电极,使得当到所述上部电极的低频RF功率或DC功率中的所述至少一者脉冲接通时,到所述下部电极的所述低频RF功率脉冲关闭。
9.一种用于处理基板的设备,所述设备包含:
控制器,所述控制器被配置为:
将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;
在所述处理空间中产生等离子体,所述等离子体包含离子;
用所述离子轰击所述上部电极,以使所述上部电极发射电子并形成电子束;以及
将偏压功率施加到设置在所述处理空间中的下部电极,以使所述电子束中的电子朝向所述下部电极加速,所述偏压功率包含低频RF功率或高频RF功率中的至少一者。
10.如权利要求9所述的设备,其中所述高二次电子发射系数材料是硅(Si)、氮化硅(SiN)、氧化硅(SiOx)或碳(C)中的至少一者。
11.如权利要求9所述的设备,其中包含所述电子的所述等离子体包含氦气(He)、氩气(Ar)、氢气(H2)、溴化氢(HBr)、氨气(NH3)、乙硅烷(Si2H6)、甲烷(CH4)、乙炔(C2H2)、三氟化氮(NF3)、四氟甲烷(CF4)、六氟化硫(SF6)、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3)、六氟丁二烯(C4F6)、氯气(Cl2)、氮气(N2)或氧气(O2)中的至少一者到所述处理空间中。
12.如权利要求9所述的设备,其中所述控制器进一步配置为将所述上部电极保持在与用于处理基板的处理位置相距约1英寸至约20英寸的距离处。
13.如权利要求9所述的设备,其中所述控制器进一步配置为将所述处理空间内的压力维持在约0.1毫托至约300毫托。
14.如权利要求9所述的设备,其中所述控制器进一步配置为将高频RF功率与低频RF功率或DC功率中的所述至少一者结合施加到所述上部电极。
15.如权利要求9所述的设备,其中所述控制器进一步配置为以连续模式将低频RF功率或DC功率中的所述至少一者施加到所述上部电极,以及
其中所述偏压功率包含低频RF功率,所述控制器进一步配置为以脉冲模式将所述低频RF功率施加到所述下部电极,使得在所述低频RF功率的正弦周期的至少一部分期间,低频RF功率的给定脉冲向所述下部电极提供比施加到所述上部电极的电压更小的电压。
16.如权利要求9至15中任一项所述的设备,其中所述控制器进一步配置为以连续模式将低频RF功率或DC功率中的所述至少一者施加到所述上部电极,以及
其中所述偏压功率包含低频RF功率,所述控制器进一步配置为以脉冲模式将所述低频RF功率施加到所述下部电极,使得当到所述上部电极的低频RF功率或DC功率中的所述至少一者脉冲接通时,到所述下部电极的所述低频RF功率脉冲关闭。
17.一种非瞬时性计算机可读存储介质,其上存储有指令,所述指令在由处理器执行时配置所述处理器以执行用于处理基板的方法,所述方法包含以下步骤:
将低频RF功率或DC功率中的至少一者施加到设置为邻接处理空间的上部电极,所述上部电极由高二次电子发射系数材料形成;
在所述处理空间中产生等离子体,所述等离子体包含离子;
用所述离子轰击所述上部电极,以使所述上部电极发射电子并形成电子束;以及
将偏压功率施加到设置在所述处理空间中的下部电极,以使所述电子束中的电子朝向所述下部电极加速,所述偏压功率包含低频RF功率或高频RF功率中的至少一者。
18.如权利要求17所述的非瞬时性计算机可读存储介质,其中所述高二次电子发射系数材料是硅(Si)、氮化硅(SiN)、氧化硅(SiOx)或碳(C)中的至少一者。
19.如权利要求17所述的非瞬时性计算机可读存储介质,其中产生包含所述电子的所述等离子体包含:将氦气(He)、氩气(Ar)、氢气(H2)、溴化氢(HBr)、氨气(NH3)、乙硅烷(Si2H6)、甲烷(CH4)、乙炔(C2H2)、三氟化氮(NF3)、四氟甲烷(CF4)、六氟化硫(SF6)、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3)、六氟丁二烯(C4F6)、氯气(Cl2)、氮气(N2)或氧气(O2)中的至少一者引入所述处理空间中。
20.如权利要求17至19中任一项所述的非瞬时性计算机可读存储介质,进一步包含将所述上部电极保持在与用于处理基板的处理位置相距约1英寸至约20英寸的距离处。
CN202080054045.0A 2019-10-30 2020-10-08 用于处理基板的方法与设备 Pending CN114207785A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/668,107 2019-10-30
US16/668,107 US11043387B2 (en) 2019-10-30 2019-10-30 Methods and apparatus for processing a substrate
PCT/US2020/054784 WO2021086570A1 (en) 2019-10-30 2020-10-08 Methods and apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
CN114207785A true CN114207785A (zh) 2022-03-18

Family

ID=75687895

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080054045.0A Pending CN114207785A (zh) 2019-10-30 2020-10-08 用于处理基板的方法与设备

Country Status (6)

Country Link
US (3) US11043387B2 (zh)
JP (1) JP2023501162A (zh)
KR (1) KR20220056869A (zh)
CN (1) CN114207785A (zh)
TW (1) TW202121933A (zh)
WO (1) WO2021086570A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117293008A (zh) * 2019-08-05 2023-12-26 株式会社日立高新技术 等离子处理装置
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN113764328A (zh) * 2020-06-02 2021-12-07 拓荆科技股份有限公司 用于加工晶圆的装置及方法
US20230230806A1 (en) * 2022-01-05 2023-07-20 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1048064A1 (en) * 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
JP4672456B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7976637B2 (en) * 2006-03-08 2011-07-12 Tokyo Electron Limited Substrate processing system, substrate surface processing apparatus, substrate surface inspecting apparatus, substrate surface inspecting method, and storage medium storing program for implementing the method
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5210905B2 (ja) * 2009-01-30 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010177626A (ja) 2009-02-02 2010-08-12 Denki Kagaku Kogyo Kk 回路基板
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5571996B2 (ja) * 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
JP5921964B2 (ja) * 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9653316B2 (en) * 2013-02-18 2017-05-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9697990B2 (en) * 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
KR20180019906A (ko) * 2016-08-17 2018-02-27 삼성전자주식회사 플라즈마 식각장비 및 이를 이용한 반도체 소자의 제조방법
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US20190088518A1 (en) 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
CN109868450B (zh) * 2017-12-05 2021-04-02 松下知识产权经营株式会社 溅射方法
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11688586B2 (en) * 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Also Published As

Publication number Publication date
US11043387B2 (en) 2021-06-22
US11651966B2 (en) 2023-05-16
WO2021086570A1 (en) 2021-05-06
US20210134599A1 (en) 2021-05-06
TW202121933A (zh) 2021-06-01
US20210296131A1 (en) 2021-09-23
JP2023501162A (ja) 2023-01-18
US20210287907A1 (en) 2021-09-16
KR20220056869A (ko) 2022-05-06

Similar Documents

Publication Publication Date Title
TWI814763B (zh) 蝕刻設備及方法
KR102167957B1 (ko) 물질 개질 및 rf 펄싱을 사용한 선택적 식각
US11651966B2 (en) Methods and apparatus for processing a substrate
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
US11380551B2 (en) Method of processing target object
US5607542A (en) Inductively enhanced reactive ion etching
TWI585834B (zh) A plasma processing method and a plasma processing apparatus
US9039913B2 (en) Semiconductor device manufacturing method
KR20210038938A (ko) 플라즈마 공정을 위한 방법 및 장치
KR20150024277A (ko) 반도체 장치의 제조 방법
US20200321186A1 (en) Method and apparatus for angled etching
JP6180824B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US10790153B2 (en) Methods and apparatus for electron beam etching process
WO2018233455A1 (zh) 偏压调制方法、偏压调制系统和等离子体处理设备
KR102438638B1 (ko) 플라즈마 에칭 방법
JPH08203869A (ja) プラズマ処理方法及びその装置
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
TW202336802A (zh) 電漿反應器中電極的離子能量控制

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination