TW202121933A - 處理基板的方法與設備 - Google Patents

處理基板的方法與設備 Download PDF

Info

Publication number
TW202121933A
TW202121933A TW109135639A TW109135639A TW202121933A TW 202121933 A TW202121933 A TW 202121933A TW 109135639 A TW109135639 A TW 109135639A TW 109135639 A TW109135639 A TW 109135639A TW 202121933 A TW202121933 A TW 202121933A
Authority
TW
Taiwan
Prior art keywords
power
frequency
low
upper electrode
electrode
Prior art date
Application number
TW109135639A
Other languages
English (en)
Inventor
卡堤克 拉馬斯瓦米
揚 楊
肯尼士 柯林斯
史蒂芬 蘭
弓扎羅 蒙羅伊
郭岳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202121933A publication Critical patent/TW202121933A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Abstract

本文提供了用於處理基板的方法和設備。例如,一種處理基板的方法,包含:施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接處理空間的上部電極,上部電極由高二次電子發射係數材料形成;在處理空間中產生電漿,電漿包含離子;由離子轟擊上部電極,使得上部電極發出電子並形成電子束;以及施加偏壓功率至設置在處理空間中的下部電極,以將電子束的電子加速朝向下部電極,偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。

Description

處理基板的方法與設備
本揭示內容的具體實施例總體上涉及用於處理基板的方法和設備,並且更具體地,涉及配置用於電子束反應性電漿蝕刻基板的方法和設備。
根據當前的基板(例如晶圓)的製造,可以控制蝕刻速度、蝕刻輪廓和蝕刻選擇性以降低製造成本並增加基板上的電路元件密度。然而,基板上的蝕刻特徵(例如記憶體孔、狹縫等)繼續縮小尺寸或增加深寬比(例如,特徵的深度與寬度之比)。例如,在三維(3D)NAND裝置製造中,基板(晶圓)可以包括多達96層,並且可以延伸到128層。另外,例如,記憶體孔和/或狹縫的深寬比可以在100至200之間,並且記憶體孔深度在大約6μm至8μm的範圍內,因此使記憶體孔蝕刻成為3D NAND裝置製造中最關鍵和最具挑戰性的步驟之一。例如,這種高深寬比(HAR)蝕刻不僅需要高蝕刻速度和高蝕刻選擇性(例如,以遮蔽基板上的材料),而且HAR蝕刻還需要筆直的輪廓,且不彎曲和扭曲、沒有欠蝕刻且最小微負載、最小深寬比相關蝕刻(ARDE)以及整個基板的均勻性(例如,臨界尺寸(CD)的3σ<1%變化)。
同樣地,對於以邏輯應用為目標的Finfet製造而言,經常需要化學蝕刻選擇性比大於20的相似材料,例如在氧化矽和氮化矽之間進行蝕刻。
因此,發明人提供了被配置用於基板的電子束反應性電漿蝕刻的改進的方法和設備。
本文提供了用於基板的電子束反應性電漿蝕刻的方法和設備。在一些具體實施例中,一種方法,包含:施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接處理空間的上部電極,上部電極由高二次電子發射係數材料形成;在處理空間中產生電漿,電漿包含離子;由離子轟擊上部電極,使得上部電極發出電子並形成電子束;以及施加偏壓功率至設置在處理空間中的下部電極,以將電子束的電子加速朝向下部電極,偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
根據一個或多個具體實施例,一種用於處理基板的設備,包含:控制器,控制器經配置以:施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接處理空間的上部電極,上部電極由高二次電子發射係數材料形成;在處理空間中產生電漿,電漿包含離子;由離子轟擊上部電極,使得上部電極發出電子並形成電子束;以及施加偏壓功率至設置在處理空間中的下部電極,以將電子束的電子加速朝向下部電極,偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
根據一個或多個具體實施例,一種非暫態性電腦可讀取儲存媒體,其上儲存有指令,指令在由處理器執行時,使處理器執行用於處理基板的方法。方法包含:施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接處理空間的上部電極,上部電極由高二次電子發射係數材料形成;在處理空間中產生電漿,電漿包含離子;由離子轟擊上部電極,使得上部電極發出電子並形成電子束;以及施加偏壓功率至設置在處理空間中的下部電極,以將電子束的電子加速朝向下部電極,偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
下面進一步說明本揭示內容的的其他與進一步的具體實施例。
本文提供了配置用於基板的電子束反應性電漿蝕刻的方法和設備的具體實施例。更具體地,根據本揭示內容,發明人已經發現,當與習知蝕刻設備(例如反應性離子蝕刻(RIE))相比時,本文所述的蝕刻設備:(a)對於與習知蝕刻設備所使用的投射離子能量相同的能量位準而言,增加了蝕刻速率,例如增加蝕刻速率百分之三十;(b)提供增加的源電子束以增加蝕刻速率,而不必增加偏壓功率,而習知蝕刻設備有時需要增加偏壓功率以補償離子能量的減少(例如由於堵塞所引起),這有時會給基板(例如晶圓)產生熱負荷;(c)消除了微溝槽,例如由於帶電效應而導致在非平面蝕刻正面的角落發生更快的蝕刻所引起的;(d)提供增加的蝕刻深度,同時最小化例如由於帶電效應引起的ARDE效應;以及(e)提供增加的輪廓控制,例如減少(或甚至消除)由於在蝕刻特徵的上部的帶電效應而產生的彎曲和/或扭曲。
圖1是根據本揭示內容的一個或多個具體實施例的設備的示意圖。設備適用於使用電子束(ebeam)蝕刻一個或多個基板(晶圓)。因此,在至少一些具體實施例中,設備是被配置為執行電子束誘導蝕刻(EBIE)的處理室100(例如,電子束處理室)。處理室100具有腔室主體102,腔室主體102限定處理空間101。在一個具體實施例中,腔室主體102具有實質上圓柱形的形狀,並且可以由適合於在其中維持真空壓力環境的材料製成,例如金屬材料,例如鋁或不銹鋼。
頂板106耦接到腔室主體102並形成處理空間101。頂板106由導電材料形成,例如用於製造腔室主體102的材料。頂板106耦接至並支撐電極108(例如,上部電極)。在一些具體實施例中,電極108被耦合到頂板106,使得電極108被設置為鄰近處理空間101或在處理空間101之內。電極108由具有高的二次電子發射係數(例如,二次電子發射係數)為約5至約10的處理兼容材料形成。具有相對較高的二次發射係數的材料可以包括但不限於矽、碳、矽碳材料或氧化矽材料。或者,電極108可以由諸如氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )或氧化鋯(ZrO2 )的金屬氧化物材料形成。由電絕緣材料形成的介電環109耦接到腔室主體102並圍繞電極108。如圖所示,介電環109設置在腔室主體102與頂板106之間並支撐電極108。
頂板106可包括絕緣層150,絕緣層150包含面對電極108的吸附電極152。在至少一些具體實施例中,DC電壓電源154可以經由饋送導體155耦接到吸附電極152,以將電極108靜電夾持到頂板106,並且耦合到電極108以施加DC功率(例如,電位)。在這樣的具體實施例中,阻擋直流的電容器156可以與阻抗匹配電路124的輸出串聯連接。控制器126用於控制DC電壓電源154。
電極108和頂板106之間的機械接觸足以維持電極108和頂板106之間的高導熱。另外,可以藉由由直流電壓電源154提供的靜電夾持力來調節機械接觸的力。
在一或多個具體實施例中,頂板106是導電的並且與電極108電接觸。來自阻抗匹配電路124的功率透過頂板106傳導到電極108。在一或多個具體實施例中,腔室主體102可維持在接地電位。在一或多個具體實施例中,處理室100內部的接地內表面(即腔室主體102)可以塗覆有與處理兼容的材料,例如矽、碳、矽碳材料或氧化矽材料、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )或氧化鋯(ZrO2 )。
在一些具體實施例中,用於在頂板106內部傳導導熱液體或介質的內部通道(未示出)連接至熱介質循環供應。熱介質循環供應用作散熱器或熱源。
基座110設置在處理空間101中。基座110在其上支撐基板111(例如半導體晶圓,例如矽晶圓、或玻璃面板或其他基板,例如用於太陽能電池、顯示器或其他應用),並且具有平行於電極108定向的基板支撐表面110a。在一個具體實施例中,基座110可藉由升舉伺服馬達112沿軸向移動。在操作期間,諸如電極108的上部電極被保持在距基板支撐表面110a一個或多個距離(例如,處理位置)處。例如,在至少一些具體實施例中,將電極108從用於處理基板的處理位置保持在約1英寸至約20英寸的距離處。例如,在至少一些具體實施例中,距離可以是大約6英寸至大約10英寸。
提供控制器126並且將其耦接到處理室100的各個部件以控制處理室100的操作以處理基板。控制器126包括中央處理單元(CPU)127、支援電路129和記憶體或非暫態性電腦可讀取媒體131。控制器126直接地或經由與處理室100和/或支援系統部件相關聯的電腦(或控制器)可操作地耦接至一個或多個能量源並控制一個或多個能量源。控制器126可為可用於工業設定中以控制各種腔室與子處理器的一般用途電腦處理器的任何形式之任意者。控制器126的記憶體或電腦可讀取媒體131,可以是容易獲得的記憶體中的一個或多個,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、磁碟、硬碟、光學儲存媒體(例如光碟或數位視訊光碟)、快閃碟、或任何其他形式的數位儲存器(本端或遠端的)。支援電路129耦合至CPU 127以由習知方式支援CPU 127。支援電路129可包含快取、電源供應器、時脈電路、輸入輸出系統、子系統等等。如本文所述的發明方法,例如用於處理基板(例如基板的EBIE)的方法,可以作為軟體例程133存儲在記憶體131中,該軟體例程可以被執行或調用以由本文描述的方式控制一種或多種能量的操作。軟體例程133亦可被由第二CPU(未圖示)儲存及或執行,第二CPU位於由CPU 127控制的硬體的遠端處。
在一個或多個具體實施例中,基座110可包括形成基板支撐表面110a的絕緣圓盤142、設置在絕緣圓盤142內部的下部電極144以及連接到電極144的吸附電壓源148。另外,在至少一些具體實施例中,位於絕緣圓盤142下方的基層146可包括一個或多個內部通道(未示出),用於使來自循環供應源的傳熱介質(例如,液體)循環。在這樣的具體實施例中,循環供應源可以用作散熱器或熱源。
透過(例如)阻抗匹配電路124經由RF饋送導體123將高頻RF功率產生器120與低頻RF功率產生器122耦接至電極108,高頻RF功率產生器120具有大約20MHz至大約200MHz的頻率,低頻RF功率產生器122具有大約100kHz至大約20MHz的頻率。在一個或多個具體實施例中,來自阻抗匹配電路124的RF饋送導體123可以連接到電極支座或頂板106,而不是直接連接到電極108。在這樣的具體實施例中,來自RF饋送導體123的RF功率可以從電極支座電容性地耦合到電極108。阻抗匹配電路124適於在高頻RF功率產生器120和低頻RF功率產生器122的不同頻率處提供阻抗匹配,以及濾波以將高頻RF功率產生器120和低頻RF功率產生器122彼此隔離。高頻RF功率產生器120和低頻RF功率產生器122的輸出功率位準可以由控制器126獨立地控制,如將在下面更詳細地描述的。
利用高頻RF功率產生器120和低頻RF功率產生器122,可以藉由選擇電極108和基座110之間的距離(例如,從大約6英寸到大約10英寸)來控制處理空間101中的徑向電漿均勻性。例如,在一些具體實施例中,較低的VHF頻率在處理空間101中產生電漿離子密度的邊緣高的徑向分佈,而較高的VHF頻率則產生電漿離子密度的中心高的徑向分佈。透過這種選擇,高頻RF功率產生器120和低頻RF功率產生器122的功率位準能夠產生具有實質均勻的徑向電漿離子密度的電漿。
上部氣體噴射器130透過第一閥132將處理氣體提供到處理空間101中,下部氣體噴射器134透過第二閥136將處理氣體提供到處理空間101中。上部氣體噴射器130和下部氣體噴射器134可設置在腔室主體102的側壁中。透過耦接到第一閥132和第二閥136的閥陣列140,從諸如氣體供應138之類的處理氣體供應陣列中供應處理氣體。輸送到處理空間101中的處理氣體種類和氣體流速可以獨立地控制。例如,通過上部氣體噴射器130的氣流可以與通過下部氣體噴射器134的氣流不同。控制器126控制閥陣列140。
在一個具體實施例中,一種或多種惰性氣體,例如氦氣(He)、氬氣(Ar)(或其他惰性氣體)、和/或一種或多種惰性氣體,例如氫(H2 )、溴化氫(HBr)、氨(NH3 )、乙矽烷(Si2 H6 )、甲烷(CH4 )、乙炔(C2 H2 )、三氟化氮(NF3 )、四氟甲烷(CF4 )、六氟化硫(SF6 )、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3 )、六氟丁二烯(C4 F6 )、氯(Cl2 )、氮(N2 )、氧(O2 )、它們的組合等,可以透過上部氣體噴射器130和下部氣體噴射器134之一或兩者供給到處理空間101中。在一些具體實施例中,輸送到與電極108相鄰的處理空間101中的處理氣體可以使二次電子朝著基板111加速,這將在下面更詳細地描述,和/或使電極108免受在處理空間101中形成的反應性電漿的影響,從而增加了電極108的使用壽命。
根據本揭示內容,藉由各種本體和表面處理,例如藉由電容耦合170(例如電容耦合電漿(CCP))和/或電感耦合172(例如,電感耦合電漿(ICP))在處理空間101中產生電漿。除了控制離子能量的偏壓功率外,電感耦合功率或高頻電容耦合功率還可用於實現對電漿密度的獨立控制。因此,當處理室100被配置為與電容耦合170一起使用(例如被配置為CCP反應器)時,源功率可指被施加到支撐基板111的偏壓電極(例如電極144)或上部電極(例如電極108)的高頻功率(與偏壓功率相較)。替代地或附加地,當處理室100被配置為與電感耦合172一起使用(例如被配置為ICP反應器)時,源功率是指施加到線圈173(在圖1中以虛線示出)的功率。當處理室100被配置為ICP反應器時,在處理室100的腔室主體102的一側上設置介電窗175(也以虛線示出)。介電窗175被配置為提供真空邊界和用於電磁波激發電漿的窗。
發明人已經發現,由CCP或ICP產生的離子受到電場的影響,該電場促進由電漿產生的離子對電極108的離子轟擊,這將在下面更詳細地描述。此外,取決於處理室100的操作模式,電極108的離子轟擊能量可以是提供給電極108的功率的函數,例如由直流電壓電源154、低頻RF功率產生器122或高頻RF功率產生器120中的一個或多個提供的功率。例如,在至少一些具體實施例中,可以藉由施加來自DC電壓電源154和低頻RF功率產生器122之一或兩者的電壓,來提供電極108的離子轟擊能量。在至少一些具體實施例中,除了使用DC電壓電源154和低頻RF功率產生器122中的一個或兩者之外,高頻RF功率產生器120還可用於增加電漿密度和電子束通量。
當DC電壓電源154用於向電極108提供功率(例如偏壓)時,由DC電壓電源154提供的功率可以是大約1W至大約30kW(例如,大約-1560V至大約-1440V)。類似地,當低頻RF功率產生器122用於向電極108供應功率(例如,偏壓)時,由低頻RF功率產生器122供應的功率可以是大約1W至大約30KW,而頻率為大約100kHz至大約20MHz。同樣地,當高頻RF功率產生器120與DC電壓電源154和低頻RF功率產生器122之一或兩者結合使用時,高頻RF功率產生器120提供的功率可以為大約1W至大約10kW,而頻率為約20Mz至約200MHz。
電極108的離子轟擊能量和電漿密度可以是高頻RF功率產生器120和低頻RF功率產生器122以及DC電壓電源154的函數。例如,在至少一些具體實施例中,電極108的離子轟擊能量實質上由來自低頻RF功率產生器122(或DC電壓電源154)的低頻功率控制,且處理空間101中的電漿密度實質上可由高頻RF功率產生器120的功率來控制(增強)。在至少一些具體實施例中,電極108的離子轟擊使電極108發射二次電子。具有負電荷的高能二次電子從電極108的內表面發射並且由於電極108的負偏壓而加速離開電極108,這將在下面更詳細地描述。另外,為了增加在基板表面處的電子束轟擊劑量,可以改變由低頻RF功率產生器122和/或DC電壓電源154中的每個提供的相對功率,以改變在電極108和/或電極144上提供的相應電壓,如將在下面更詳細描述的。
來自電極108的發射表面的高能電子的電子束通量,可以被定向為實質垂直於電極108的內表面。電子束的束能量可以大約等於電極108的離子轟擊能量,其通常可以在大約100eV至20,000eV的範圍內。因由於電極108表面的高能離子轟擊而從電極108發射的二次電子通量組成的電子束的至少一部分,傳播通過處理空間101並與基板111附近的處理氣體反應。藉由利用一種或多種先前描述的處理氣體,例如Ar,發明人發現可以以多種方式使用基板111上的電子束轟擊效果。首先,如上所述,發明人發現,在反應性物質吸附表面上的電子束轟擊可引起蝕刻反應(例如,EBIE),這提供了無損傷的蝕刻和對基板的高蝕刻選擇性。
其次,由於基板表面上的電場總是指向基板,因此帶電效應會對基板的處理產生負面影響。更具體地,電子可僅在護套(例如靜電護套)塌陷的瞬間(例如在RF週期的正峰值處)接近基板,以電荷中和。另外,隨著深寬比的增加,來自本體電漿的可以到達蝕刻特徵的底部的電子越來越少。因此,正電荷會積聚在蝕刻特徵的底部,並建立一個電場,阻止入射的離子。例如,基於經驗數據,對於深寬比為50:1的記憶體孔,百分之五十以上的離子無法到達記憶體孔的底部,並且由於正場延遲而導致離子能量顯著降低。帶電效應以及中性的運輸限制,會導致蝕刻速率隨深寬比的增加而降低(例如ARDE效應)。此外,帶電效應可引起離子軌跡的偏轉(例如,離子轟擊側壁而不是垂直向下),從而在蝕刻輪廓控制方面產生挑戰,例如彎曲、扭曲、欠蝕刻和微溝槽。因此,發明人發現電子束轟擊可用於中和累積在蝕刻特徵(例如記憶體孔)的底部和/或側壁上的正離子電荷,從而消除了帶電效應。
在一些具體實施例中,RF偏壓功率產生器162可以透過阻抗匹配器164耦合到基座110的電極144。RF偏壓功率產生器162(如果使用)被配置為將離子加速到基板111上。RF偏壓功率產生器162可以被配置為提供低頻RF功率和/或高頻RF功率。例如,在至少一些具體實施例中,RF偏壓功率產生器162可以被配置為以一個或多個頻率(例如,大約100kHz至大約200MHz)向電極144提供1W至30kW的功率。在一些具體實施例中,例如,RF偏壓功率產生器162可被配置為以約100kHz至約100MHz的頻率向電極144供應1W至30kW的功率。
波形調整處理器147可以連接在電極144和阻抗匹配器164的輸出和/或電極108和阻抗匹配電路124的輸出之間。波形調整處理器147控制器可以被配置為將由RF偏壓功率產生器162和/或高頻RF功率產生器120和低頻RF功率產生器122產生的波形改變為期望的波形。可以藉由波形調整處理器147控制基板111和/或電極108附近的電漿的離子能量。例如,在一些具體實施例中,波形調整處理器247產生這樣的波形,其中在每個RF週期的特定部分期間將幅度保持在與期望的離子能量位準相對應的位準。控制器126控制波形調整處理器147。
基板111的蝕刻也可能受到一個或多個因素的影響。例如,壓力(除了電子束能量、電子束電漿功率和偏壓功率(如果使用的話)之外)可影響基板111的蝕刻。因此,在一個具體實施例中,在基板111的EBIE期間保持在處理空間101中的壓力可以在大約0.1mTorr至大約300mTorr之間。例如,在至少一些具體實施例中,例如當需要電子束中和和蝕刻輪廓控制時,在基板111的EBIE期間保持在處理空間101中的壓力可以在約0.1mTorr至約30mTorr之間。類似的,在至少一些具體實施例中,例如當不需要電子束中和和蝕刻輪廓控制且不需要偏壓功率時,在基板111的EBIE期間保持在處理空間101中的壓力可以在約0.1mTorr至約100mTorr之間。壓力由與處理空間101流體連通的真空泵168產生。壓力由設置在處理空間101和真空泵168之間的閘閥166調節。控制器126控制真空泵168和/或閘閥166。
圖2是根據本揭示內容的一個或多個具體實施例的用於處理基板的方法200的流程圖。可以使用例如配置為用於執行基板(例如,處理室100)的EBIE的處理室來執行方法200。為了說明的目的,假定處理室被配置為CCP反應器,其被配置用於基板例如基板111的EBIE,其可以是例如150mm、200mm、300mm、450mm基板等。例如在至少一些具體實施例中,基板可以是300mm的基板,例如半導體晶圓等。可以理解的是,本文所述的功率/電壓和/或脈衝/工作週期可以相應地縮放,例如對於直徑大於或小於300mm的基板。最初,可以將一種或多種上述處理氣體引入處理室的處理空間,例如處理空間101中。例如,在至少一些具體實施例中,處理氣體可以是He、Ar等(或其他惰性氣體)中的一種或多種,和/或H2 、HBr、NH3 、Si2 H6 、CH4 、C2 H2 、NF3 、CF4 、SF6 、CO、COS、CHF3 、C4 F6 、Cl2 、N2 、O2 等(或其他反應性氣體)。另外,處理空間可以維持在約0.1mTorr至約300mTorr的一個或多個操作壓力下。例如,在至少一些具體實施例中,壓力可以保持在0.1mTorr至約100mTorr。
在202處,可以將低頻RF功率和DC功率中的一者或兩者施加到上部電極(例如電極108),上部電極可以由高二次電子發射係數材料形成,上部電極鄰近於處理空間。例如,在至少一些具體實施例中,RF功率產生器,例如低頻RF功率產生器122,可以用於向上部電極供應低頻RF功率。如上所述,施加到上部電極的低頻RF功率可以為大約1W至大約30KW,並且可以以大約100kHz至大約20MHz的頻率提供。
替代地或附加地,在202處,例如使用DC電壓電源154的DC功率可以被供應到上部電極。例如,可以提供高達大約20kW的DC功率(例如,對應於大約0至大約20kV的電源電壓)。發明人已經發現在202處使用DC功率導致形成窄的電子束,例如,窄的電子能量分佈。
在至少一些具體實施例中,在202處,還可以結合低頻RF功率和/或DC功率,使用例如高頻RF功率產生器(例如高頻RF功率產生器120)將高頻RF功率供應到上部電極。如上所述,高頻RF功率可用於增加電漿密度或電子束通量。
接下來204,可以使用例如提供給上部電極的功率在處理空間中產生包含離子的電漿。例如,可以使用DC功率、低頻RF功率和/或提供給上部電極的高頻RF功率來點燃引入到處理空間中的處理氣體以產生電漿。
接下來,在206,用離子轟擊上部電極,以使上部電極發射二次電子並形成電子束。更具體地,在上部電極處的低頻RF功率(或DC功率)用於產生高護套電壓,使得在上部電極上的離子轟擊(例如使用由電漿形成的離子)具有足夠的能量以釋放來自上部電極的二次電子。在一些具體實施例中並且如上面關於202所述,高頻RF功率也可以被施加到上部電極以增加電漿密度或電子束通量。
在208,將偏壓功率提供給下部電極(例如電極144)。例如,在至少一些具體實施例中,可以使用被配置為供應低頻RF功率或高頻RF功率的RF偏壓功率產生器(例如RF偏壓功率產生器162)將偏壓功率提供給下部電極,以使電子束的電子朝著下部電極加速。更具體地,上部電極處的高護套電壓和下部電極處的相對低的偏壓電位,以足夠的能量將二次電子加速到主電漿中,以克服基板護套電位並到達基板表面(例如基板111) 。
在至少一些具體實施例中,可以使用一種或多種氣體來增強從基座(和/或下部電極)到基板的熱傳遞。例如,在至少一些具體實施例中,可使用例如一個或多個氣體供應源(例如氣體供應源138)在基座(和/或下部電極)和基板之間施加He或其他合適的用於傳遞熱量的氣體,以增強熱傳遞。
產生的電子束可用於蝕刻基板以在基板上形成一個或多個特徵。例如,在一些具體實施例中,所產生的電子束可用於在基板中形成一個或多個記憶體孔。更特定而言,發明人發現電子束可以用於形成蝕刻深度為大約200nm至大約500nm的記憶體孔,而沒有ARDE效應,限定記憶體孔的側壁沒有彎曲或扭曲,並且具有更好的CD(例如平坦底部)和相對筆直的輪廓。
發明人還發現,可以使用一種或多種脈衝方案(例如控制脈衝工作週期、脈衝同步、工作週期和延遲)來控制電子束通量和離子通量之間的平衡。例如,在方法200中,任何提供的RF功率都可以使用脈衝或連續波(CW)模式來實現針對不同應用(例如,高或低深寬比、邏輯或記憶體等)的期望結果。替代地或組合地,在方法200中,任何供應的DC功率可以使用脈衝或連續模式來實現針對不同應用的期望結果(例如,高或低深寬比、邏輯或記憶體等)。更特定而言,為了使入射在基板上的電子束轟擊劑量最大化,可以如下使用一種或多種脈衝方案。
在至少一些具體實施例中,例如,低頻RF功率或DC功率中的一者或兩者可被連續地提供到上部電極(如以上針對202所述),並且低頻RF功率可被提供給下部電極(如上文針對208所述)。在一些具體實施例中,在低頻RF功率的正弦週期的至少一部分期間,提供給上部電極的DC功率電壓大於提供給下部電極的低頻RF功率電壓。另外,在一些具體實施例中,可以以低工作週期(例如,大約百分之十(10%)至大約百分之七十(70%),諸如大約百分之五十(50%))對提供給下部電極的低頻RF功率電壓進行脈衝化。脈衝頻率可以從大約50Hz到大約100kHz。使用這樣的脈衝方案降低了基板護套的電位(例如在下部電極的低頻RF功率關閉期間),從而增加了基板表面的電子束轟擊劑量。換言之,只有能量高於基板護套電位的電子束電子才能到達基板表面。
在將低頻RF功率供應到上部電極的具體實施例中,可以配置脈衝,使得當將功率供應到上部電極時,低頻RF功率不供應到下部電極,反之亦然。可替代地,如上所述,可以以脈衝式低工作週期將低頻RF功率以CW模式供應到上部電極,並且可以將低頻RF功率以脈衝式低工作週期供應到下部電極。
在至少一些具體實施例中,可以以脈衝模式將低頻RF功率和DC功率兩者供應到上部電極和下部電極,但是以這樣的方式同步:當將功率供應到上部電極時,供應到下部電極的功率已關閉。例如,當低頻RF功率和DC功率之一或二者被供應到上部電極時,低頻RF功率不被供應到下部電極。在這樣的具體實施例中,可以將開/關脈衝週期設置為大約100Hz至大約100kHz的頻率。在這樣的具體實施例中,交替的離子通量和電子束通量被施加到基板,從而增加了基板表面上的電子束轟擊劑量。
雖然前述內容係關於本揭示內容的具體實施例,但可發想揭示內容的其他與進一步的具體實施例而不脫離前述內容的基本範圍。
100:處理室 101:處理空間 102:腔室主體 106:頂板 108:電極 109:介電環 110:基座 110a:基板支撐表面 111:基板 112:升舉伺服馬達 120:功率產生器 122:功率產生器 123:饋送導體 124:阻抗匹配電路 126:控制器 127:CPU 129:支援電路 130:上部氣體注入器 131:儲存媒體 132:第一閥 133:軟體例程 134:氣體注入器 136:第二閥 138:氣體供應 140:閥 142:絕緣圓盤 144:電極 146:基層 147:波形調整處理器 148:吸附電壓源 150:絕緣層 152:吸附電極 154:電壓電源 155:饋送導體 156:阻隔電容器 162:偏壓功率產生器 164:阻抗匹配 166:閘閥 168:真空泵 170:電容耦接 172:電感耦接 173:線圈 175:介電窗 200:方法 202-208:步驟
藉由參照繪製於附加圖式中的本揭示內容的說明性具體實施例,可瞭解於上文簡短總結並於下文更詳細討論的本揭示內容的具體實施例。然而,附加圖式僅圖示說明本揭示內容的典型具體實施例,且因此不應被視為限制本揭示內容的範圍,因為揭示內容可允許其他等效的具體實施例。
圖1是根據本揭示內容的一個或多個具體實施例的設備的示意圖。
圖2是根據本揭示內容的一個或多個具體實施例的用於處理基板的方法的流程圖。
為了協助瞭解,已儘可能使用相同的元件符號標定圖式中共有的相同元件。圖式並未按照比例繪製,並可被簡化以為了清楚說明。一個具體實施例的元件與特徵,可無需進一步的敘述即可被有益地併入其他具體實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理室
101:處理空間
102:腔室主體
106:頂板
108:電極
109:介電環
110:基座
110a:基板支撐表面
111:基板
112:升舉伺服馬達
120:功率產生器
122:功率產生器
123:饋送導體
124:阻抗匹配電路
126:控制器
127:CPU
129:支援電路
130:上部氣體注入器
131:儲存媒體
132:第一閥
133:軟體例程
134:氣體注入器
136:第二閥
138:氣體供應
140:閥
142:絕緣圓盤
144:電極
146:基層
147:波形調整處理器
148:吸附電壓源
150:絕緣層
152:吸附電極
154:電壓電源
155:饋送導體
156:阻隔電容器
162:偏壓功率產生器
164:阻抗匹配
166:閘閥
168:真空泵
170:電容耦接
172:電感耦接
173:線圈
175:介電窗

Claims (20)

  1. 一種處理基板的方法,該方法包含以下步驟: 施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接一處理空間的一上部電極,該上部電極由一高二次電子發射係數材料形成; 在該處理空間中產生一電漿,該電漿包含離子; 由該等離子轟擊該上部電極,使得該上部電極發出電子並形成一電子束;以及 施加一偏壓功率至設置在該處理空間中的一下部電極,以將該電子束的電子加速朝向該下部電極,該偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
  2. 如請求項1所述之方法,其中該高二次電子發射係數材料是矽(Si)、氮化矽(SiN)、氧化矽(SiOx )、或碳(C)中的至少一種。
  3. 如請求項1所述之方法,其中產生包含該等電子的該電漿之步驟包含以下步驟:引入氦(He)、氬(Ar)、氫(H2 )、溴化氫(HBr)、氨(NH3 )、乙矽烷(Si2 H6 )、甲烷(CH4 )、乙炔(C2 H2 )、三氟化氮(NF3 )、四氟甲烷(CF4 )、六氟化硫(SF6 )、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3 )、六氟丁二烯(C4 F6 )、氯(Cl2 )、氮氣(N2 )或氧氣(O2 )中的至少一種進入該處理空間。
  4. 如請求項1所述之方法,該方法進一步包含以下步驟:將該上部電極保持在距用於處理一基板的一處理位置約1英寸至約20英寸的一距離處。
  5. 如請求項1所述之方法,該方法進一步包含以下步驟:將該處理空間內的一壓力維持在約0.1mTorr至約300mTorr。
  6. 如請求項1所述之方法,該方法進一步包含以下步驟:結合低頻RF功率或DC功率中的該至少一個功率,與高頻RF功率一起施加到該上部電極。
  7. 如請求項1所述之方法,該方法進一步包含以下步驟:以一連續模式向該上部電極施加低頻RF功率或DC功率中的該至少一個功率,以及 其中施加該偏壓功率之步驟包含以下步驟:以一脈衝模式向該下部電極施加低頻RF功率,以使給定的低頻RF功率脈衝向該下部電極提供一電壓,在該低頻RF功率的一正弦週期的至少一部分期間內該電壓小於施加至該上部電極的一電壓。
  8. 如請求項1至7中任一項所述之方法,該方法進一步包含以下步驟:以一脈衝模式將低頻RF功率或DC功率中的該至少一個功率施加到該上部電極,以及 其中施加該偏壓功率之步驟包含以下步驟:以該脈衝模式向該下部電極施加低頻RF功率,使得在對該上部電極的低頻RF功率或DC功率中的該至少一個功率被脈衝開啟時,對該下部電極的該低頻RF功率被脈衝關閉。
  9. 一種用於處理一基板的設備,包含: 一控制器,該控制器經配置以: 施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接一處理空間的一上部電極,該上部電極由一高二次電子發射係數材料形成; 在該處理空間中產生一電漿,該電漿包含離子; 由該等離子轟擊該上部電極,使得該上部電極發出電子並形成一電子束;以及 施加一偏壓功率至設置在該處理空間中的一下部電極,以將該電子束的電子加速朝向該下部電極,該偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
  10. 如請求項9所述之設備,其中該高二次電子發射係數材料是矽(Si)、氮化矽(SiN)、氧化矽(SiOx )、或碳(C)中的至少一種。
  11. 如請求項9所述之設備,其中包含該等電子的該電漿包含氦(He)、氬(Ar)、氫(H2 )、溴化氫(HBr)、氨(NH3 )、乙矽烷(Si2 H6 )、甲烷(CH4 )、乙炔(C2 H2 )、三氟化氮(NF3 )、四氟甲烷(CF4 )、六氟化硫(SF6 )、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3 )、六氟丁二烯(C4 F6 )、氯(Cl2 )、氮氣(N2 )或氧氣(O2 )中的至少一種。
  12. 如請求項9所述之設備,其中該控制器進一步經配置以將該上部電極保持在距用於處理一基板的一處理位置約1英寸至約20英寸的一距離處。
  13. 如請求項9所述之設備,其中該控制器進一步經配置以將該處理空間內的一壓力維持在約0.1mTorr至約300mTorr。
  14. 如請求項9所述之設備,其中該控制器進一步經配置以結合低頻RF功率或DC功率中的該至少一個功率,與高頻RF功率一起施加到該上部電極。
  15. 如請求項9所述之設備,其中該控制器進一步經配置為以一連續模式向該上部電極施加低頻RF功率或DC功率中的該至少一個功率,以及 其中該偏壓功率包含低頻RF功率,該控制器進一步經配置為以一脈衝模式向該下部電極施加該低頻RF功率,以使一給定的低頻RF功率脈衝向該下部電極提供一電壓,在該低頻RF功率的一正弦週期的至少一部分期間內該電壓小於施加至該上部電極的一電壓。
  16. 如請求項9至15之任一項所述之設備,其中該控制器進一步經配置為以一連續模式向該上部電極施加低頻RF功率或DC功率中的該至少一個功率,以及 其中該偏壓功率包含低頻RF功率,該控制器進一步經配置為以一脈衝模式向該下部電極施加該低頻RF功率,使得在對該上部電極的低頻RF功率或DC功率中的該至少一個功率被脈衝開啟時,對該下部電極的該低頻RF功率被脈衝關閉。
  17. 一種非暫態性電腦可讀取儲存媒體,其上儲存有指令,該等指令在由一處理器執行時,使該處理器執行用於處理一基板的一方法,該方法包含以下步驟: 施加低頻RF功率或DC功率中的至少一個功率至設置為鄰接一處理空間的一上部電極,該上部電極由一高二次電子發射係數材料形成; 在該處理空間中產生一電漿,該電漿包含離子; 由該等離子轟擊該上部電極,使得該上部電極發出電子並形成一電子束;以及 施加一偏壓功率至設置在該處理空間中的一下部電極,以將該電子束的電子加速朝向該下部電極,該偏壓功率包含低頻RF功率或高頻RF功率中的至少一個功率。
  18. 如請求項17所述之非暫態性電腦可讀取儲存媒體,其中該高二次電子發射係數材料是矽(Si)、氮化矽(SiN)、氧化矽(SiOx )、或碳(C)中的至少一種。
  19. 如請求項17所述之非暫態性電腦可讀取儲存媒體,其中產生包含該等電子的該電漿包含:引入氦(He)、氬(Ar)、氫(H2 )、溴化氫(HBr)、氨(NH3 )、乙矽烷(Si2 H6 )、甲烷(CH4 )、乙炔(C2 H2 )、三氟化氮(NF3 )、四氟甲烷(CF4 )、六氟化硫(SF6 )、一氧化碳(CO)、羰基硫(COS)、三氟甲烷(CHF3 )、六氟丁二烯(C4 F6 )、氯(Cl2 )、氮氣(N2 )或氧氣(O2 )中的至少一種進入該處理空間。
  20. 如請求項17至19之任一項所述之非暫態性電腦可讀取儲存媒體,進一步包含將該上部電極保持在距用於處理一基板的一處理位置約1英寸至約20英寸的一距離處。
TW109135639A 2019-10-30 2020-10-15 處理基板的方法與設備 TW202121933A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/668,107 2019-10-30
US16/668,107 US11043387B2 (en) 2019-10-30 2019-10-30 Methods and apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
TW202121933A true TW202121933A (zh) 2021-06-01

Family

ID=75687895

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109135639A TW202121933A (zh) 2019-10-30 2020-10-15 處理基板的方法與設備

Country Status (6)

Country Link
US (3) US11043387B2 (zh)
JP (1) JP2023501162A (zh)
KR (1) KR20220056869A (zh)
CN (1) CN114207785A (zh)
TW (1) TW202121933A (zh)
WO (1) WO2021086570A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117293008A (zh) * 2019-08-05 2023-12-26 株式会社日立高新技术 等离子处理装置
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN113764328A (zh) * 2020-06-02 2021-12-07 拓荆科技股份有限公司 用于加工晶圆的装置及方法
US20230230806A1 (en) * 2022-01-05 2023-07-20 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1048064A1 (en) * 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
JP4672456B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7976637B2 (en) * 2006-03-08 2011-07-12 Tokyo Electron Limited Substrate processing system, substrate surface processing apparatus, substrate surface inspecting apparatus, substrate surface inspecting method, and storage medium storing program for implementing the method
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5210905B2 (ja) * 2009-01-30 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010177626A (ja) 2009-02-02 2010-08-12 Denki Kagaku Kogyo Kk 回路基板
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5571996B2 (ja) * 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
JP5921964B2 (ja) * 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9653316B2 (en) * 2013-02-18 2017-05-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9697990B2 (en) * 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
KR20180019906A (ko) * 2016-08-17 2018-02-27 삼성전자주식회사 플라즈마 식각장비 및 이를 이용한 반도체 소자의 제조방법
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US20190088518A1 (en) 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
CN109868450B (zh) * 2017-12-05 2021-04-02 松下知识产权经营株式会社 溅射方法
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11688586B2 (en) * 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Also Published As

Publication number Publication date
US11043387B2 (en) 2021-06-22
US11651966B2 (en) 2023-05-16
WO2021086570A1 (en) 2021-05-06
US20210134599A1 (en) 2021-05-06
CN114207785A (zh) 2022-03-18
US20210296131A1 (en) 2021-09-23
JP2023501162A (ja) 2023-01-18
US20210287907A1 (en) 2021-09-16
KR20220056869A (ko) 2022-05-06

Similar Documents

Publication Publication Date Title
TWI814763B (zh) 蝕刻設備及方法
TWI756234B (zh) 使用材料變性及rf脈衝的選擇性蝕刻
KR102121640B1 (ko) 에칭 방법
US10544505B2 (en) Deposition or treatment of diamond-like carbon in a plasma reactor
TW202121933A (zh) 處理基板的方法與設備
US5607542A (en) Inductively enhanced reactive ion etching
JP6211947B2 (ja) 半導体装置の製造方法
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
KR100367662B1 (ko) 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
US11380551B2 (en) Method of processing target object
US9852922B2 (en) Plasma processing method
US20180053631A1 (en) Low Electron Temperature Etch Chamber with Independent Control Over Plasma Density, Radical Composition Ion Energy for Atomic Precision Etching
KR20150024277A (ko) 반도체 장치의 제조 방법
JP6504827B2 (ja) エッチング方法
JP6486092B2 (ja) プラズマエッチング方法
US10790153B2 (en) Methods and apparatus for electron beam etching process
KR102438638B1 (ko) 플라즈마 에칭 방법
JP4865951B2 (ja) プラズマエッチング方法
JP6871550B2 (ja) エッチング装置
TW202329190A (zh) 用於處理基板的方法與設備
TW202336802A (zh) 電漿反應器中電極的離子能量控制
CN117652010A (zh) 用于将膜模量保持在预定模量范围内的方法、设备和系统
JPH11241189A (ja) 誘導結合放電エッチング装置