US8083961B2 - Method and system for controlling the uniformity of a ballistic electron beam by RF modulation - Google Patents

Method and system for controlling the uniformity of a ballistic electron beam by RF modulation Download PDF

Info

Publication number
US8083961B2
US8083961B2 US11/495,726 US49572606A US8083961B2 US 8083961 B2 US8083961 B2 US 8083961B2 US 49572606 A US49572606 A US 49572606A US 8083961 B2 US8083961 B2 US 8083961B2
Authority
US
United States
Prior art keywords
power
coupling
electrode
plasma processing
electron beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/495,726
Other versions
US20080023440A1 (en
Inventor
Lee Chen
Ping Jiang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Texas Instruments Inc
Original Assignee
Tokyo Electron Ltd
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/495,726 priority Critical patent/US8083961B2/en
Application filed by Tokyo Electron Ltd, Texas Instruments Inc filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LEE
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JIANG, PING
Priority to JP2009522908A priority patent/JP5205378B2/en
Priority to KR1020097003997A priority patent/KR101333924B1/en
Priority to PCT/US2007/070759 priority patent/WO2008016747A2/en
Priority to TW096127931A priority patent/TWI360844B/en
Publication of US20080023440A1 publication Critical patent/US20080023440A1/en
Publication of US8083961B2 publication Critical patent/US8083961B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Definitions

  • the present invention relates to a method and apparatus for plasma processing a substrate, and more particularly to a method and system for modulating power during plasma processing in order to adjust process uniformity.
  • a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate.
  • the plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure.
  • a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • RF radio frequency
  • ECR electron cyclotron resonance
  • the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate.
  • substrate materials where etching is required include silicon dioxide (SiO 2 ), low-k and ultra low-k dielectric materials, poly-silicon
  • the present invention relates to a method and system for etching a substrate.
  • a method and system for etching a substrate using plasma enhanced by a ballistic electron beam.
  • a method and system for adjusting the spatial distribution of electron beam flux in a ballistic electron beam enhanced plasma etching process.
  • a method for treating, and a computer readable medium with program instructions to cause a computer system to control a plasma process system having a ballistic electron beam to etch a thin film on a substrate comprising: disposing the substrate on a substrate holder in the plasma processing system; coupling direct current (DC) power to an electrode within the plasma processing system in order to create the ballistic electron beam; coupling alternating current (AC) power to the electrode or the substrate holder or both in order to form plasma in the plasma processing system; modulating the amplitude of the AC power in order to adjust the spatial distribution of electron beam flux for the ballistic electron beam; and etching the thin film with the plasma and the ballistic electron beam.
  • DC direct current
  • AC alternating current
  • a plasma processing system configured to etch a substrate
  • a plasma processing chamber configured to facilitate the formation of plasma
  • a substrate holder coupled to the plasma processing chamber and configured to support the substrate
  • FIG. 1 presents a schematic representation of a plasma processing system according to an embodiment of the invention
  • FIG. 2 presents exemplary radial distributions of power density for a capacitively coupled plasma processing system
  • FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 4 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 5 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 6 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 7 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 8 shows a schematic diagram of a plasma processing system according to another embodiment of the invention.
  • FIG. 9 illustrates a method of treating a substrate using plasma according to another embodiment of the invention.
  • pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching.
  • the patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent.
  • this mask layer may comprise multiple sub-layers.
  • a dry plasma etching process is often utilized, wherein plasma is formed from a process gas by coupling electromagnetic (EM) energy, such as radio frequency (RF) power, to the process gas in order to heat electrons and cause subsequent ionization and dissociation of the atomic and/or molecular composition of the process gas.
  • EM electromagnetic
  • RF radio frequency
  • DC direct current
  • the ballistic electron beam can enhance the properties of the dry plasma etching process by, for example, improving the etch selectivity between the underlying thin film (to be etched) and the mask layer, reducing charging damage such as electron shading damage, etc. Additional details regarding the generation of a ballistic electron beam are disclosed in pending U.S. patent application Ser. No. 11/156,559, entitled “Plasma processing apparatus and method” and published as US patent application no. 2006/0037701 A1; the entire contents of which are herein incorporated by reference in their entirety.
  • the plasma processing system comprises a first electrode 120 and a second electrode 172 disposed opposite each other within a process chamber, wherein the first electrode 120 is configured to support a substrate 125 .
  • the first electrode 120 is coupled to a first RF generator 140 configured to provide RF power at a first RF frequency
  • the second electrode 172 is coupled to a second RF generator 170 configured to provide RF power at a second RF frequency.
  • the second RF frequency can be at a relatively higher RF frequency than the first RF frequency.
  • the coupling of RF power to the first and second electrodes facilitates the formation of plasma 130 .
  • the plasma processing system comprises a DC power supply 150 configured to provide a DC voltage to the second electrode 172 .
  • the coupling of a negative DC voltage to the second electrode 172 facilitates the formation of ballistic electron beam 135 .
  • the electron beam power is derived from the superposition of the negative DC voltage on the second electrode 172 .
  • the application of negative DC power to the plasma processing system affects the formation of a ballistic (or collision-less) electron beam that strikes the surface of substrate 125 .
  • the ballistic electron beam can be implemented with any type of plasma processing system, as will be shown below.
  • the negative DC voltage is superimposed on a RF powered capacitively coupled plasma (CCP) processing system.
  • CCP capacitively coupled plasma
  • the uniformity of the electron beam flux I e (r) is also important.
  • the electron beam is collision-less, it can transfer energy to the plasma via known physical phenomena, resulting in an increase in the bulk plasma density.
  • One possible theory for the transfer of energy from the electron beam into the bulk plasma and its subsequent ionization may be a dual-stream plasma instability that couples the run-away electron beam energy into the ion wave.
  • the bulk Boltzmann electrons of a particular energy group are electrostatically accelerated by the ion wave (gaining energy through Landau clamping) to a higher energy that subsequently ionizes neutral species.
  • the source of electrons for the ballistic electron beam is secondary electrons generated from the ion bombardment of the second electrode 172 . Therefore, the uniformity of the ballistic electron beam flux to substrate 125 depends upon the uniformity of the plasma and ion flux to electrode 172 , as well as other parameters.
  • the collision-less electron beam flux I e (r) can be expressed as: I e ( r ) ⁇ B1 n e ( r )[ V p ( r ) ⁇ V ( r )] 3/2 , (1)
  • ⁇ B1 represents the ion Bohm velocity at electrode 172
  • V p (r) represents the radial variation of the plasma potential
  • V(r) represents the radial variation of the electrode potential (i.e., second electrode 172 )
  • n e (r) represents the radial variation of the electron density (or bulk plasma density) at the edge of the sheath at the second electrode 172 .
  • V p (r) represents the radial variation of the plasma potential
  • V(r) represents the radial variation of the electrode potential (i.e., second electrode 172 )
  • n e (r) represents the radial variation of the electron density (or bulk plasma density) at the edge of the sheath at the second electrode 172 .
  • V p (r) represents the radial variation of the plasma potential
  • n e (r) represents the radial variation of the electron density (or bulk plasma density) at the edge of the sheath at the second electrode 172 .
  • VHF (RF power) amplitude modulation is utilized to alternate between E r 2 -domination and E z 2 -domination. In doing so, a prescribed distribution of n e (r) and V(r) can be achieved, while maintaining a substantially similar total power deposition into plasma 130 (per the process recipe).
  • RF power modulation can provide a means for adjusting the spatial uniformity of the plasma density n e (r) and, hence, the electron beam flux I e (r).
  • Plasma processing system 1 comprises a plasma processing chamber 8 configured to facilitate the formation of plasma, a substrate holder 2 coupled to the plasma processing chamber 8 and configured to support the substrate 3 , and an electrode coupled to the plasma processing chamber 8 and configured to contact the plasma. Additionally, plasma processing system 1 comprises an AC power system 4 coupled to the plasma processing chamber 8 and configured to couple at least one AC signal to the substrate holder 2 or the electrode or both in order to form the plasma, and a DC power system 5 coupled to the plasma processing chamber 8 and configured to couple a DC voltage to the electrode in order to form a ballistic electron beam through the plasma.
  • plasma processing system 1 comprises an AC power modulation system 6 coupled to the AC power system 5 and configured to modulate the amplitude of one or more of the at least one AC signal in order to adjust the spatial distribution of the electron beam flux for the ballistic electron beam.
  • plasma processing system 1 further comprises a controller 7 coupled to plasma processing chamber 8 , substrate holder 2 , AC power system 4 , DC power system 5 and AC power modulation system 6 , and configured to exchange data with each of these components in order to execute a process within the plasma processing chamber 8 to treat substrate 3 .
  • FIG. 4 illustrates a plasma processing system according to another embodiment.
  • Plasma processing system 1 a comprising a plasma processing chamber 10 , substrate holder 20 , upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30 .
  • Substrate 25 can be a semiconductor substrate, a wafer or a liquid crystal display.
  • Plasma processing chamber 10 can be configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25 .
  • An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted.
  • a control mechanism (not shown) can be used to throttle the vacuum pumping system 30 .
  • Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25 .
  • the plasma processing system 1 a can be configured to process a substrate of any size, such as 200 mm substrates, 300 mm substrates, or larger.
  • Substrate 25 can be affixed to the substrate holder 20 via an electrostatic clamping system.
  • substrate holder 20 can further include a cooling system or heating system that includes a re-circulating fluid flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the fluid flow when heating.
  • gas can be delivered to the back-side of substrate 25 via a backside gas system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20 .
  • a backside gas system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • the backside gas system can comprise a two-zone gas distribution system, wherein the backside gas (e.g., helium) pressure can be independently varied between the center and the edge of substrate 25 .
  • the backside gas e.g., helium
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 20 , as well as the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1 a.
  • substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in process space 15 .
  • substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an optional impedance match network 42 to substrate holder 20 .
  • the RF bias can serve to heat electrons to form and maintain plasma, or affect the ion energy distribution function within the sheath, or both.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and an upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 0.1 MHz to 100 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • RF generator 40 can comprise an oscillator configured to generate an RF signal (or oscillator signal) at an RF frequency as described above, and an amplifier configured to amplify the RF signal and modulate the amplitude of the RF signal according to an amplitude modulation signal from a waveform signal generator.
  • the amplifier can include a linear RF amplifier suitable for receiving an oscillator signal from the oscillator and an amplitude modulation signal from the waveform signal generator.
  • an amplitude modulation signal output from the waveform signal generator is a pulse waveform.
  • Another example of an amplitude modulation signal output from the waveform signal generator is a sinusoidal waveform.
  • An exemplary system including the amplifier and an internal signal generator is a commercially available linear RF amplifier (Model line LPPA) from Dressier (2501 North Rose Drive, Placentia, Calif. 92670).
  • the above amplifier is capable of operating in continuous mode as well as pulse mode with RF powers ranging from 400 to 8000 watts (W) at frequencies ranging from 10 to 500 MHz.
  • the above amplifier can achieve pulse widths as short as 20 milliseconds.
  • impedance match network 42 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • plasma processing system 1 a further comprises a direct current (DC) power supply 50 coupled to an upper electrode 52 opposing substrate 25 .
  • the upper electrode 52 may comprise an electrode plate.
  • the electrode plate may comprise a silicon-containing electrode plate.
  • the electrode plate may comprise a doped silicon electrode plate.
  • the DC power supply can include a variable DC power supply.
  • the DC power supply can include a bipolar DC power supply.
  • the DC power supply 50 can further include a system configured to perform at least one of monitoring adjusting, or controlling the polarity, current, voltage, or on/off state of the DC power supply 50 . Once plasma is formed, the DC power supply 50 facilitates the formation of a ballistic electron beam.
  • An electrical filter may be utilized to de-couple RF power from the DC power supply 50 .
  • the DC voltage applied to electrode 52 by DC power supply 50 may range from approximately ⁇ 2000 volts (V) to approximately 1000 V.
  • the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V.
  • the DC voltage has a negative polarity.
  • the DC voltage is a negative voltage having an absolute value greater than the self-bias voltage generated on a surface of the upper electrode 52 .
  • the surface of the upper electrode 52 facing the substrate holder 20 may be comprised of a silicon-containing material.
  • Vacuum pump system 30 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP can be employed.
  • TMPs can be used for low pressure processing, typically less than 50 mTorr.
  • a mechanical booster pump and dry roughing pump can be used.
  • a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10 .
  • the pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, Mass.).
  • plasma processing system 1 a further comprises a controller 90 that comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 a as well as monitor outputs from plasma processing system 1 a .
  • controller 90 can be coupled to and can exchange information with RF generator 40 , impedance match network 42 , DC power supply 50 , the gas injection system (not shown), vacuum pumping system 30 , as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature measurement system (not shown), and/or the electrostatic clamping system (not shown).
  • a program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1 a according to a process recipe in order to perform the method of etching a thin film.
  • controller 90 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Tex.
  • Controller 90 may be locally located relative to the plasma processing system 1 a , or it may be remotely located relative to the plasma processing system 1 a via an internet or intranet. Thus, controller 90 can exchange data with the plasma processing system 1 a using at least one of a direct connection, an intranet, or the internet. Controller 90 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • a customer site i.e., a device maker, etc.
  • a vendor site i.e., an equipment manufacturer
  • another computer i.e., controller, server, etc.
  • controller 90 can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • the plasma processing system 1 b can be similar to the embodiment of FIG. 3 or 4 and further comprise either a stationary, or mechanically or electrically rotating magnetic field 60 , in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 3 .
  • controller 90 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength.
  • the design and implementation of a rotating magnetic field is well known to those skilled in the art.
  • the plasma processing system 1 c can be similar to the embodiment of FIG. 3 or FIG. 4 , and can further comprise an RF generator 70 configured to couple RF power to upper electrode 52 through an optional impedance match network 72 .
  • a typical frequency for the application of RF power to upper electrode 52 can range from about 0.1 MHz to about 200 MHz.
  • a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 MHz to about 100 MHz.
  • the RF frequency coupled to the upper electrode 52 can be relatively higher than the RF frequency coupled to the substrate holder 20 .
  • the RF power to the upper electrode 52 from RF generator 70 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated.
  • controller 90 is coupled to RF generator 70 and impedance match network 72 in order to control the application of RF power to upper electrode 70 .
  • the design and implementation of an upper electrode is well known to those skilled in the art.
  • the DC power supply 50 may be directly coupled to upper electrode 52 , or it may be coupled to the RF transmission line extending from an output end of impedance match network 72 to upper electrode 52 .
  • An electrical filter may be utilized to de-couple RF power from DC power supply 50 .
  • the plasma processing system 1 d can, for example, be similar to the embodiments of FIGS. 3 , 4 and 5 , and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through an optional impedance match network 84 .
  • RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma processing region 15 .
  • a typical frequency for the application of RF power to the inductive coil 80 can range from about 10 MHz to about 100 MHz.
  • a typical frequency for the application of power to the chuck electrode can range from about 0.1 MHz to about 100 MHz.
  • inductive coil 80 can be a “spiral” coil or “pancake” coil in communication with the plasma processing region 15 from above as in a transformer coupled plasma (TCP) reactor.
  • ICP inductively coupled plasma
  • TCP transformer coupled plasma
  • the plasma can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the plasma is formed from the launching of a Helicon wave.
  • the plasma is formed from a propagating surface wave.
  • the plasma processing system 1 e can, for example, be similar to the embodiments of FIGS. 3 , 4 and 5 , and can further comprise a second RF generator 44 configured to couple RF power to substrate holder 20 through another optional impedance match network 46 .
  • a typical frequency for the application of RF power to substrate holder 20 can range from about 0.1 MHz to about 200 MHz for either the first RF generator 40 or the second RF generator 44 or both.
  • the RF frequency for the second RF generator 44 can be relatively greater than the RF frequency for the first RF generator 40 .
  • the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 44 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated.
  • controller 90 is coupled to the second RF generator 44 and impedance match network 46 in order to control the application of RF power to substrate holder 20 .
  • the design and implementation of an RF system for a substrate holder is well known to those skilled in the art.
  • the plasma processing system can comprise various elements, such as described in FIGS. 1 through 8 , and combinations thereof.
  • FIG. 9 presents a flow chart of a method for etching a thin film using a plasma processing system having a ballistic electron beam according to an embodiment of the present invention.
  • Procedure 500 begins at 510 with disposing a substrate in a plasma processing system configured to form both plasma and a ballistic electron beam.
  • DC power is coupled to the plasma processing system.
  • the DC voltage applied to the plasma processing system by a DC power supply may range from approximately ⁇ 2000 volts (V) to approximately 1000 V.
  • the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V.
  • the DC voltage has a negative polarity.
  • the DC voltage is a negative voltage having an absolute value greater than that is a self-bias voltage generated on an electrode surface of the plasma processing system.
  • RF power is coupled to the plasma processing system and, in 540 , plasma is formed.
  • the amplitude of the RF power is modulated in order to adjust the spatial distribution of the generated ballistic electron beam flux.
  • the RF power can, for example, be modulated between approximately 100 W and 10000 W, and desirably, it can be modulated between approximately 400 W and approximately 5000 W. Additionally, the frequency of modulation can be varied between approximately 0.01 Hz and approximately 1 kHz.
  • the amplitude modulation, the frequency of modulation, or the duty cycle for amplitude modulation, or a combination of two or more thereof may be varied in order to achieve a desirable distribution of electron beam flux or process result.

Abstract

A method and system for treating a substrate using a ballistic electron beam is described, whereby the radial uniformity of the electron beam flux is adjusted by modulating the source radio frequency (RF) power. For example, a plasma processing system is described having a first RF power coupled to a lower electrode, which may support the substrate, a second RF power coupled to an upper electrode that opposes the lower electrode, and a negative high voltage direct current (DC) power coupled to the upper electrode to form the ballistic electron beam. The amplitude of the second RF power is modulated to affect changes in the uniformity of the ballistic electron beam flux.

Description

BACKGROUND OF THE INVENTION
1. Field of Invention
The present invention relates to a method and apparatus for plasma processing a substrate, and more particularly to a method and system for modulating power during plasma processing in order to adjust process uniformity.
2. Description of Related Art
During semiconductor processing, a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate. The plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure.
Thereafter, a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry. Once the plasma is formed, selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate. Such substrate materials where etching is required include silicon dioxide (SiO2), low-k and ultra low-k dielectric materials, poly-silicon, silicon carbide, and silicon nitride.
SUMMARY OF THE INVENTION
The present invention relates to a method and system for etching a substrate.
According to one embodiment, a method and system is described for etching a substrate using plasma enhanced by a ballistic electron beam.
According to another embodiment, a method and system is described for adjusting the spatial distribution of electron beam flux in a ballistic electron beam enhanced plasma etching process.
According to another embodiment, a method for treating, and a computer readable medium with program instructions to cause a computer system to control a plasma process system having a ballistic electron beam to etch a thin film on a substrate is described, comprising: disposing the substrate on a substrate holder in the plasma processing system; coupling direct current (DC) power to an electrode within the plasma processing system in order to create the ballistic electron beam; coupling alternating current (AC) power to the electrode or the substrate holder or both in order to form plasma in the plasma processing system; modulating the amplitude of the AC power in order to adjust the spatial distribution of electron beam flux for the ballistic electron beam; and etching the thin film with the plasma and the ballistic electron beam.
According to yet another embodiment, a plasma processing system configured to etch a substrate is described, comprising: a plasma processing chamber configured to facilitate the formation of plasma; a substrate holder coupled to the plasma processing chamber and configured to support the substrate; an electrode coupled to the plasma processing chamber and configured to contact the plasma; an AC power system coupled to the plasma processing chamber, and configured to couple at least one AC signal to the substrate holder or the electrode or both in order to form the plasma; a DC power system coupled to the plasma processing chamber and configured to couple a DC voltage to the electrode in order to form a ballistic electron beam through the plasma; and an AC power modulation system coupled to the AC power system and configured to modulate the amplitude of one or more of the at least one AC signal in order to adjust the spatial distribution of the electron beam flux for the ballistic electron beam.
According to yet another embodiment, a plasma processing system configured to etch a substrate is described, comprising: a plasma processing chamber configured to facilitate the formation of plasma; a substrate holder coupled to the plasma processing chamber and configured to support the substrate; means for coupling AC power to the plasma processing chamber in order to form the plasma; means for coupling DC power to the plasma processing system in order to form a ballistic electron beam through the plasma; and means for modulating the amplitude of the AC power in order to adjust the spatial distribution of the electron beam flux for the ballistic electron beam.
BRIEF DESCRIPTION OF THE DRAWINGS
In the accompanying drawings:
FIG. 1 presents a schematic representation of a plasma processing system according to an embodiment of the invention;
FIG. 2 presents exemplary radial distributions of power density for a capacitively coupled plasma processing system;
FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention;
FIG. 4 shows a schematic diagram of a plasma processing system according to another embodiment of the invention;
FIG. 5 shows a schematic diagram of a plasma processing system according to another embodiment of the invention;
FIG. 6 shows a schematic diagram of a plasma processing system according to another embodiment of the invention;
FIG. 7 shows a schematic diagram of a plasma processing system according to another embodiment of the invention;
FIG. 8 shows a schematic diagram of a plasma processing system according to another embodiment of the invention; and
FIG. 9 illustrates a method of treating a substrate using plasma according to another embodiment of the invention.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
In the following description, for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the plasma processing system and descriptions of various processes. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
In material processing methodologies, pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film on a substrate during etching. The patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent. Moreover, this mask layer may comprise multiple sub-layers.
During pattern etching, a dry plasma etching process is often utilized, wherein plasma is formed from a process gas by coupling electromagnetic (EM) energy, such as radio frequency (RF) power, to the process gas in order to heat electrons and cause subsequent ionization and dissociation of the atomic and/or molecular composition of the process gas. Furthermore, negative, high voltage direct current (DC) electrical power can be coupled to the plasma processing system in order to create a ballistic electron beam that strikes the substrate surface during a fraction of the RF cycle, i.e., the positive half-cycle of the coupled RF power. It has been observed that the ballistic electron beam can enhance the properties of the dry plasma etching process by, for example, improving the etch selectivity between the underlying thin film (to be etched) and the mask layer, reducing charging damage such as electron shading damage, etc. Additional details regarding the generation of a ballistic electron beam are disclosed in pending U.S. patent application Ser. No. 11/156,559, entitled “Plasma processing apparatus and method” and published as US patent application no. 2006/0037701 A1; the entire contents of which are herein incorporated by reference in their entirety.
Referring now to FIG. 1, a schematic illustration of a plasma processing system incorporating a ballistic electron beam is provided. The plasma processing system comprises a first electrode 120 and a second electrode 172 disposed opposite each other within a process chamber, wherein the first electrode 120 is configured to support a substrate 125. The first electrode 120 is coupled to a first RF generator 140 configured to provide RF power at a first RF frequency, while the second electrode 172 is coupled to a second RF generator 170 configured to provide RF power at a second RF frequency. For example, the second RF frequency can be at a relatively higher RF frequency than the first RF frequency. The coupling of RF power to the first and second electrodes facilitates the formation of plasma 130. Additionally, the plasma processing system comprises a DC power supply 150 configured to provide a DC voltage to the second electrode 172. Here, the coupling of a negative DC voltage to the second electrode 172 facilitates the formation of ballistic electron beam 135. The electron beam power is derived from the superposition of the negative DC voltage on the second electrode 172. As is described in published US patent application no. 2006/0037701A1, the application of negative DC power to the plasma processing system affects the formation of a ballistic (or collision-less) electron beam that strikes the surface of substrate 125.
In general, the ballistic electron beam can be implemented with any type of plasma processing system, as will be shown below. In this example, the negative DC voltage is superimposed on a RF powered capacitively coupled plasma (CCP) processing system. Hence, the invention is not to be limited by this example. It is merely utilized for illustration purposes.
While the ballistic electron beam is important for enhancing etch properties, the uniformity of the electron beam flux Ie(r) is also important. Although the electron beam is collision-less, it can transfer energy to the plasma via known physical phenomena, resulting in an increase in the bulk plasma density. One possible theory for the transfer of energy from the electron beam into the bulk plasma and its subsequent ionization may be a dual-stream plasma instability that couples the run-away electron beam energy into the ion wave. Therein, the bulk Boltzmann electrons of a particular energy group are electrostatically accelerated by the ion wave (gaining energy through Landau clamping) to a higher energy that subsequently ionizes neutral species. The source of electrons for the ballistic electron beam is secondary electrons generated from the ion bombardment of the second electrode 172. Therefore, the uniformity of the ballistic electron beam flux to substrate 125 depends upon the uniformity of the plasma and ion flux to electrode 172, as well as other parameters.
The collision-less electron beam flux Ie(r) can be expressed as:
I e(r)˜νB1 n e(r)[V p(r)−V(r)]3/2,  (1)
where νB1 represents the ion Bohm velocity at electrode 172, Vp(r) represents the radial variation of the plasma potential, V(r) represents the radial variation of the electrode potential (i.e., second electrode 172), and ne(r) represents the radial variation of the electron density (or bulk plasma density) at the edge of the sheath at the second electrode 172. Typically, it is a very reasonable to assume a radially constant Vp(r) at the edge of the sheath at the second electrode 172. Therefore, the electron beam flux Ie(r) is predominantly affected by the (sheath-edge) electron density ne(r) (which is driven by stochastic heating at the second electrode 172) and the electrode potential V(r).
As an example, the behavior of the electrode potential V(r), the RF current IRF(r) and the resultant electron density ne(r) in a high frequency capacitive discharge is described in “Standing wave and skin effects in large-area, high frequency capacitive discharges” (M. A. Lieberman, J. P. Booth, P. Chabert, J. M. Rax, & M. M. Turner, Plasma Sources Sci. Technol., 11, 2002, 283-293). This behavior is summarized in FIG. 2. At high VHF (very high frequency) power (i.e., high density), the inductive power (Er 2) dominates, whereas at low VHF power (i.e., lower density), the capacitive (Ez 2) power dominates.
Therefore, according to an embodiment, VHF (RF power) amplitude modulation is utilized to alternate between Er 2-domination and Ez 2-domination. In doing so, a prescribed distribution of ne(r) and V(r) can be achieved, while maintaining a substantially similar total power deposition into plasma 130 (per the process recipe). RF power modulation can provide a means for adjusting the spatial uniformity of the plasma density ne(r) and, hence, the electron beam flux Ie(r).
Referring now to FIG. 3, a plasma processing system configured to provide means for adjusting the spatial uniformity of a ballistic electron beam flux is presented according to one embodiment. Plasma processing system 1 comprises a plasma processing chamber 8 configured to facilitate the formation of plasma, a substrate holder 2 coupled to the plasma processing chamber 8 and configured to support the substrate 3, and an electrode coupled to the plasma processing chamber 8 and configured to contact the plasma. Additionally, plasma processing system 1 comprises an AC power system 4 coupled to the plasma processing chamber 8 and configured to couple at least one AC signal to the substrate holder 2 or the electrode or both in order to form the plasma, and a DC power system 5 coupled to the plasma processing chamber 8 and configured to couple a DC voltage to the electrode in order to form a ballistic electron beam through the plasma. Furthermore, plasma processing system 1 comprises an AC power modulation system 6 coupled to the AC power system 5 and configured to modulate the amplitude of one or more of the at least one AC signal in order to adjust the spatial distribution of the electron beam flux for the ballistic electron beam. Optionally, plasma processing system 1 further comprises a controller 7 coupled to plasma processing chamber 8, substrate holder 2, AC power system 4, DC power system 5 and AC power modulation system 6, and configured to exchange data with each of these components in order to execute a process within the plasma processing chamber 8 to treat substrate 3.
FIG. 4 illustrates a plasma processing system according to another embodiment. Plasma processing system 1 a comprising a plasma processing chamber 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, and vacuum pumping system 30. Substrate 25 can be a semiconductor substrate, a wafer or a liquid crystal display. Plasma processing chamber 10 can be configured to facilitate the generation of plasma in processing region 15 adjacent a surface of substrate 25. An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted. For example, a control mechanism (not shown) can be used to throttle the vacuum pumping system 30. Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 25. The plasma processing system 1 a can be configured to process a substrate of any size, such as 200 mm substrates, 300 mm substrates, or larger.
Substrate 25 can be affixed to the substrate holder 20 via an electrostatic clamping system. Furthermore, substrate holder 20 can further include a cooling system or heating system that includes a re-circulating fluid flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the fluid flow when heating. Moreover, gas can be delivered to the back-side of substrate 25 via a backside gas system to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a two-zone gas distribution system, wherein the backside gas (e.g., helium) pressure can be independently varied between the center and the edge of substrate 25. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 20, as well as the chamber wall of the plasma processing chamber 10 and any other component within the plasma processing system 1 a.
In the embodiment shown in FIG. 4, substrate holder 20 can comprise an electrode through which RF power is coupled to the processing plasma in process space 15. For example, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 40 through an optional impedance match network 42 to substrate holder 20. The RF bias can serve to heat electrons to form and maintain plasma, or affect the ion energy distribution function within the sheath, or both. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and an upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art.
Furthermore, the amplitude of the RF power coupled to substrate holder 20 is modulated in order to affect changes in the spatial distribution of the electron beam flux to substrate 25. RF generator 40 can comprise an oscillator configured to generate an RF signal (or oscillator signal) at an RF frequency as described above, and an amplifier configured to amplify the RF signal and modulate the amplitude of the RF signal according to an amplitude modulation signal from a waveform signal generator. For example, the amplifier can include a linear RF amplifier suitable for receiving an oscillator signal from the oscillator and an amplitude modulation signal from the waveform signal generator. One example of an amplitude modulation signal output from the waveform signal generator is a pulse waveform. Another example of an amplitude modulation signal output from the waveform signal generator is a sinusoidal waveform.
An exemplary system including the amplifier and an internal signal generator is a commercially available linear RF amplifier (Model line LPPA) from Dressier (2501 North Rose Drive, Placentia, Calif. 92670). The above amplifier is capable of operating in continuous mode as well as pulse mode with RF powers ranging from 400 to 8000 watts (W) at frequencies ranging from 10 to 500 MHz. Moreover, the above amplifier can achieve pulse widths as short as 20 milliseconds.
Furthermore, impedance match network 42 serves to improve the transfer of RF power to plasma in plasma processing chamber 10 by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
Referring still to FIG. 4, plasma processing system 1 a further comprises a direct current (DC) power supply 50 coupled to an upper electrode 52 opposing substrate 25. The upper electrode 52 may comprise an electrode plate. The electrode plate may comprise a silicon-containing electrode plate. Moreover, the electrode plate may comprise a doped silicon electrode plate. The DC power supply can include a variable DC power supply. Additionally, the DC power supply can include a bipolar DC power supply. The DC power supply 50 can further include a system configured to perform at least one of monitoring adjusting, or controlling the polarity, current, voltage, or on/off state of the DC power supply 50. Once plasma is formed, the DC power supply 50 facilitates the formation of a ballistic electron beam. An electrical filter may be utilized to de-couple RF power from the DC power supply 50.
For example, the DC voltage applied to electrode 52 by DC power supply 50 may range from approximately −2000 volts (V) to approximately 1000 V. Desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V. Additionally, it is desirable that the DC voltage has a negative polarity. Furthermore, it is desirable that the DC voltage is a negative voltage having an absolute value greater than the self-bias voltage generated on a surface of the upper electrode 52. The surface of the upper electrode 52 facing the substrate holder 20 may be comprised of a silicon-containing material.
Vacuum pump system 30 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP can be employed. TMPs can be used for low pressure processing, typically less than 50 mTorr. For high pressure processing (i.e., greater than 100 mTorr), a mechanical booster pump and dry roughing pump can be used. Furthermore, a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, Mass.).
Referring still to FIG. 4, plasma processing system 1 a further comprises a controller 90 that comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 a as well as monitor outputs from plasma processing system 1 a. Moreover, controller 90 can be coupled to and can exchange information with RF generator 40, impedance match network 42, DC power supply 50, the gas injection system (not shown), vacuum pumping system 30, as well as the backside gas delivery system (not shown), the substrate/substrate holder temperature measurement system (not shown), and/or the electrostatic clamping system (not shown). A program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 1 a according to a process recipe in order to perform the method of etching a thin film. One example of controller 90 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.
Controller 90 may be locally located relative to the plasma processing system 1 a, or it may be remotely located relative to the plasma processing system 1 a via an internet or intranet. Thus, controller 90 can exchange data with the plasma processing system 1 a using at least one of a direct connection, an intranet, or the internet. Controller 90 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 90 to exchange data via at least one of a direct connection, an intranet, or the internet.
In the embodiment shown in FIG. 5, the plasma processing system 1 b can be similar to the embodiment of FIG. 3 or 4 and further comprise either a stationary, or mechanically or electrically rotating magnetic field 60, in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 3. Moreover, controller 90 can be coupled to magnetic field system 60 in order to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
In the embodiment shown in FIG. 6, the plasma processing system 1 c can be similar to the embodiment of FIG. 3 or FIG. 4, and can further comprise an RF generator 70 configured to couple RF power to upper electrode 52 through an optional impedance match network 72. A typical frequency for the application of RF power to upper electrode 52 can range from about 0.1 MHz to about 200 MHz. Additionally, a typical frequency for the application of power to the substrate holder 20 (or lower electrode) can range from about 0.1 MHz to about 100 MHz. For example, the RF frequency coupled to the upper electrode 52 can be relatively higher than the RF frequency coupled to the substrate holder 20. Furthermore, the RF power to the upper electrode 52 from RF generator 70 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated. Moreover, controller 90 is coupled to RF generator 70 and impedance match network 72 in order to control the application of RF power to upper electrode 70. The design and implementation of an upper electrode is well known to those skilled in the art.
Referring still to FIG. 6, the DC power supply 50 may be directly coupled to upper electrode 52, or it may be coupled to the RF transmission line extending from an output end of impedance match network 72 to upper electrode 52. An electrical filter may be utilized to de-couple RF power from DC power supply 50.
In the embodiment shown in FIG. 7, the plasma processing system 1 d can, for example, be similar to the embodiments of FIGS. 3, 4 and 5, and can further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through an optional impedance match network 84. RF power is inductively coupled from inductive coil 80 through a dielectric window (not shown) to plasma processing region 15. A typical frequency for the application of RF power to the inductive coil 80 can range from about 10 MHz to about 100 MHz. Similarly, a typical frequency for the application of power to the chuck electrode can range from about 0.1 MHz to about 100 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, controller 90 is coupled to RF generator 82 and impedance match network 84 in order to control the application of power to inductive coil 80. In an alternate embodiment, inductive coil 80 can be a “spiral” coil or “pancake” coil in communication with the plasma processing region 15 from above as in a transformer coupled plasma (TCP) reactor. The design and implementation of an inductively coupled plasma (ICP) source, or transformer coupled plasma (TCP) source, is well known to those skilled in the art.
Alternately, the plasma can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each plasma source described above is well known to those skilled in the art.
In the embodiment shown in FIG. 8, the plasma processing system 1 e can, for example, be similar to the embodiments of FIGS. 3, 4 and 5, and can further comprise a second RF generator 44 configured to couple RF power to substrate holder 20 through another optional impedance match network 46. A typical frequency for the application of RF power to substrate holder 20 can range from about 0.1 MHz to about 200 MHz for either the first RF generator 40 or the second RF generator 44 or both. The RF frequency for the second RF generator 44 can be relatively greater than the RF frequency for the first RF generator 40. Furthermore, the RF power to the substrate holder 20 from RF generator 40 can be amplitude modulated, or the RF power to the substrate holder 20 from RF generator 44 can be amplitude modulated, or both RF powers can be amplitude modulated. Desirably, the RF power at the higher RF frequency is amplitude modulated. Moreover, controller 90 is coupled to the second RF generator 44 and impedance match network 46 in order to control the application of RF power to substrate holder 20. The design and implementation of an RF system for a substrate holder is well known to those skilled in the art.
In the following discussion, a method of etching a thin film utilizing a plasma processing system with a ballistic electron beam is presented. For example, the plasma processing system can comprise various elements, such as described in FIGS. 1 through 8, and combinations thereof.
FIG. 9 presents a flow chart of a method for etching a thin film using a plasma processing system having a ballistic electron beam according to an embodiment of the present invention. Procedure 500 begins at 510 with disposing a substrate in a plasma processing system configured to form both plasma and a ballistic electron beam.
In 520, DC power is coupled to the plasma processing system. For example, the DC voltage applied to the plasma processing system by a DC power supply may range from approximately −2000 volts (V) to approximately 1000 V. Desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V. Additionally, it is desirable that the DC voltage has a negative polarity. Furthermore, it is desirable that the DC voltage is a negative voltage having an absolute value greater than that is a self-bias voltage generated on an electrode surface of the plasma processing system.
In 530, RF power is coupled to the plasma processing system and, in 540, plasma is formed. In 550, the amplitude of the RF power is modulated in order to adjust the spatial distribution of the generated ballistic electron beam flux. The RF power can, for example, be modulated between approximately 100 W and 10000 W, and desirably, it can be modulated between approximately 400 W and approximately 5000 W. Additionally, the frequency of modulation can be varied between approximately 0.01 Hz and approximately 1 kHz. The amplitude modulation, the frequency of modulation, or the duty cycle for amplitude modulation, or a combination of two or more thereof may be varied in order to achieve a desirable distribution of electron beam flux or process result.
Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (17)

1. A method of etching a thin film on a substrate using a plasma processing system having a ballistic electron beam, comprising:
disposing said substrate on a substrate holder in said plasma processing system;
coupling direct current (DC) power to an electrode within said plasma processing system in order to create said ballistic electron beam from secondary electrons generated from ions bombarding said electrode during plasma generation;
coupling alternating current (AC) power to said electrode having DC power coupled thereto and to said substrate holder, in order to form plasma in said plasma processing system;
modulating two or more of a frequency, a duty cycle and an amplitude of said AC power to said electrode having DC power coupled thereto in order to control uniformity of the ions bombarding said electrode and thereby adjust the spatial distribution of said secondary electrons emitted from said electrode and the electron beam flux for said ballistic electron beam; and
etching the thin film with the plasma and the ballistic electron beam having an adjusted spatial distribution of electron beam flux.
2. The method of claim 1, wherein said coupling DC power comprises coupling DC power ranging in voltage from −2000 V to 1000 V.
3. The method of claim 1, wherein said coupling DC power comprises coupling DC power having a negative polarity, wherein the absolute value of the DC voltage is greater than or equal to 500 V.
4. The method of claim 1, wherein said coupling DC power to said electrode comprises coupling DC power to an upper electrode opposing said substrate on said substrate holder.
5. The method of claim 4, wherein said coupling DC power to an upper electrode comprises coupling DC power to a silicon-containing electrode plate opposing said substrate on said substrate holder.
6. The method of claim 5, wherein said coupling DC power to a silicon-containing electrode plate comprises coupling DC power to a doped silicon electrode plate opposing said substrate on said substrate holder.
7. The method of claim 4, wherein said coupling AC power comprises coupling radio frequency (RF) power to said electrode or said substrate holder or both.
8. The method of claim 7, wherein said coupling RF power comprises coupling a first RF power to said upper electrode or said substrate holder at a first RF frequency and coupling a second RF power to said substrate holder at a second RF frequency, and wherein said first RF power is adjusted during said modulating.
9. The method of claim 8, wherein said second RF frequency is less than said first RF frequency.
10. The method of claim 1, wherein said coupling AC power comprises coupling radio frequency (RF) power to said electrode or said substrate holder or both.
11. The method of claim 10, wherein said modulating the amplitude of said AC power comprises modulating said RF power between an RF power level ranging from 100 W to 10000 W.
12. The method of claim 11, wherein said modulating said RF power comprises modulating said RF power at a modulation frequency ranging from 0.01 Hz to 1 kHz.
13. The method of claim 10, where said modulating the amplitude of said AC power comprises pulse modulating said RF power between a first RF power level and a second RF power level.
14. The method of claim 13, wherein said pulse modulating RF power comprises setting a duty cycle to define the fraction of time said plasma processing system is operated at said first RF power level and the fraction of time said plasma processing system is operated at said second RF power level.
15. The method of claim 1, further comprising:
adjusting said modulating of AC power by varying one or more of the range of amplitude modulation, the frequency of amplitude modulation, or the duty cycle of amplitude modulation, or a combination of two or more thereof.
16. The method of claim 1, further comprising:
forming plasma by coupling radio frequency (RF) power to an inductive coil.
17. The method of claim 1, further comprising:
providing a magnetic field in the plasma processing system with a magnetic field system; and
controlling the speed of rotation or the field strength of the magnetic field with a controller.
US11/495,726 2006-07-31 2006-07-31 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation Active 2028-10-04 US8083961B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/495,726 US8083961B2 (en) 2006-07-31 2006-07-31 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2009522908A JP5205378B2 (en) 2006-07-31 2007-06-08 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
PCT/US2007/070759 WO2008016747A2 (en) 2006-07-31 2007-06-08 Method and system for controlling the uniformity of a ballistic electron beam by rf modulation
KR1020097003997A KR101333924B1 (en) 2006-07-31 2007-06-08 Method and system for controlling the uniformity of a ballistic electron beam by rf modulation
TW096127931A TWI360844B (en) 2006-07-31 2007-07-31 Method and system for controlling the uniformity o

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/495,726 US8083961B2 (en) 2006-07-31 2006-07-31 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Publications (2)

Publication Number Publication Date
US20080023440A1 US20080023440A1 (en) 2008-01-31
US8083961B2 true US8083961B2 (en) 2011-12-27

Family

ID=38985113

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/495,726 Active 2028-10-04 US8083961B2 (en) 2006-07-31 2006-07-31 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Country Status (5)

Country Link
US (1) US8083961B2 (en)
JP (1) JP5205378B2 (en)
KR (1) KR101333924B1 (en)
TW (1) TWI360844B (en)
WO (1) WO2008016747A2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100329838A1 (en) * 2007-10-26 2010-12-30 David Greenblatt Aerodynamic performance enhancements using discharge plasma actuators
US20150002018A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Controlling Ion Energy Within A Plasma Chamber
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US20210296131A1 (en) * 2019-10-30 2021-09-23 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101346897B1 (en) * 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 Etching method and plasma processing system
EP2199325A1 (en) 2008-12-22 2010-06-23 Basf Se Foamed particles of polystyrene
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5221403B2 (en) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus and storage medium
US8507855B2 (en) * 2011-07-28 2013-08-13 Applied Materials Israel, Ltd. Inductive modulation of focusing voltage in charged beam system
KR101300791B1 (en) * 2011-12-15 2013-08-29 한국생산기술연구원 Method for enhancing conductivity of molybdenum layer
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
TW201542682A (en) * 2014-02-27 2015-11-16 Sekisui Chemical Co Ltd In-situ foaming system for forming flame-retardant polyurethane foam in situ
US9824941B2 (en) * 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
KR20190014623A (en) * 2017-08-03 2019-02-13 삼성전자주식회사 Plasma processing apparatus and method of manufacturing a semiconductor device using the same
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11688586B2 (en) * 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
JP7236954B2 (en) * 2019-08-06 2023-03-10 東京エレクトロン株式会社 Plasma processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030667A (en) * 1996-02-27 2000-02-29 Matsushita Electric Industrial Co., Ltd. Apparatus and method for applying RF power apparatus and method for generating plasma and apparatus and method for processing with plasma
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20030207583A1 (en) * 2000-10-06 2003-11-06 Andras Kuthi Method for processing wafer using single frequency RF power in plasma processing chamber
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060037701A1 (en) * 2004-06-21 2006-02-23 Tokyo Electron Limited Plasma processing apparatus and method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013271B2 (en) * 1997-01-16 2007-11-28 日新電機株式会社 Article surface treatment method and apparatus
KR100429850B1 (en) * 1997-10-02 2004-09-18 삼성전자주식회사 Method for fabricating optical waveguide, especially increasing resolution of the waveguide
KR101247857B1 (en) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 Plasma processing device
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030667A (en) * 1996-02-27 2000-02-29 Matsushita Electric Industrial Co., Ltd. Apparatus and method for applying RF power apparatus and method for generating plasma and apparatus and method for processing with plasma
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20030207583A1 (en) * 2000-10-06 2003-11-06 Andras Kuthi Method for processing wafer using single frequency RF power in plasma processing chamber
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060037701A1 (en) * 2004-06-21 2006-02-23 Tokyo Electron Limited Plasma processing apparatus and method

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8708651B2 (en) * 2007-10-26 2014-04-29 David Greenblatt Aerodynamic performance enhancements using discharge plasma actuators
US20100329838A1 (en) * 2007-10-26 2010-12-30 David Greenblatt Aerodynamic performance enhancements using discharge plasma actuators
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10424461B2 (en) * 2013-06-28 2019-09-24 Lam Research Corporation Controlling ion energy within a plasma chamber
US20150002018A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Controlling Ion Energy Within A Plasma Chamber
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US20160379804A1 (en) * 2013-06-28 2016-12-29 Lam Research Corporation Controlling ion energy within a plasma chamber
US10141163B2 (en) * 2013-06-28 2018-11-27 Lam Research Corporation Controlling ion energy within a plasma chamber
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
WO2020056397A1 (en) * 2018-09-14 2020-03-19 Amen Dhyllon Plasma power generator
US20200092976A1 (en) * 2018-09-14 2020-03-19 Amen Dhyllon PLASMA POWER GENERATOR (Z-box and Z-tower)
US10869379B2 (en) * 2018-09-14 2020-12-15 Serendipity Technologies Llc Plasma power generator (Z-box and Z-tower)
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US20220028659A1 (en) * 2019-09-17 2022-01-27 Tokyo Electron Limited Broadband Plasma Processing Systems and Methods
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11830709B2 (en) * 2019-09-17 2023-11-28 Tokyo Electron Limited Broadband plasma processing systems and methods
US20210296131A1 (en) * 2019-10-30 2021-09-23 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
US20080023440A1 (en) 2008-01-31
JP5205378B2 (en) 2013-06-05
WO2008016747A2 (en) 2008-02-07
WO2008016747A3 (en) 2008-11-20
TW200814189A (en) 2008-03-16
TWI360844B (en) 2012-03-21
JP2009545890A (en) 2009-12-24
KR101333924B1 (en) 2013-11-27
KR20090037486A (en) 2009-04-15

Similar Documents

Publication Publication Date Title
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US7638759B2 (en) Hyperthermal neutral beam source and method of operating
US8409459B2 (en) Hollow cathode device and method for using the device to control the uniformity of a plasma process
KR101592613B1 (en) Mono-energetic neutral beam activated chemical processing system and method of using
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
US20090095714A1 (en) Method and system for low pressure plasma processing
US9697993B2 (en) Non-ambipolar plasma ehncanced DC/VHF phasor
US7772544B2 (en) Neutral beam source and method for plasma heating
JP2008244479A (en) Method and system for dry-etching metal nitride
KR101346897B1 (en) Etching method and plasma processing system
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
JP2634313B2 (en) Plasma processing method for semiconductor wafer production
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JPH11260596A (en) Plasma processing device and plasma processing method
JPH08255782A (en) Plasma surface treating apparatus
TWI645440B (en) Plasma processing device, thermal electron generator, plasma ignition device and method
WO2024070578A1 (en) Plasma processing device and power supply system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHEN, LEE;REEL/FRAME:018365/0336

Effective date: 20060912

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:JIANG, PING;REEL/FRAME:018365/0352

Effective date: 20060830

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12