KR101346897B1 - Etching method and plasma processing system - Google Patents

Etching method and plasma processing system Download PDF

Info

Publication number
KR101346897B1
KR101346897B1 KR1020097004272A KR20097004272A KR101346897B1 KR 101346897 B1 KR101346897 B1 KR 101346897B1 KR 1020097004272 A KR1020097004272 A KR 1020097004272A KR 20097004272 A KR20097004272 A KR 20097004272A KR 101346897 B1 KR101346897 B1 KR 101346897B1
Authority
KR
South Korea
Prior art keywords
plasma
mask layer
power
etching
electron beam
Prior art date
Application number
KR1020097004272A
Other languages
Korean (ko)
Other versions
KR20090037495A (en
Inventor
피터 엘.지. 벤체크
리 첸
아키라 고시이시
이쿠오 사와다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/499,678 external-priority patent/US7449414B2/en
Priority claimed from US11/499,680 external-priority patent/US7642193B2/en
Priority claimed from US11/499,679 external-priority patent/US7572386B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090037495A publication Critical patent/KR20090037495A/en
Application granted granted Critical
Publication of KR101346897B1 publication Critical patent/KR101346897B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

본 발명은 기부의 박막을 에칭하기 전에 마스크층을 사전 처리하는 방법을 개시한다. 탄도 전자 빔에 의해 지원되는 플라즈마를 이용하여 유전체 막과 같은 박막을 에칭하고 있다. 라인 에지 러프니스(LER; Line Edge Roughness) 효과와 같은 패턴 선명도의 손실을 줄이기 위하여, 에칭 공정을 실시하기에 앞서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 의해 마스크층을 처리한다. The present invention discloses a method of pretreating the mask layer prior to etching the thin film of the base. Thin films such as dielectric films are etched using plasma supported by ballistic electron beams. To reduce the loss of pattern clarity, such as the Line Edge Roughness (LER) effect, prior to performing the etching process, the oxygen-containing plasma, or halogen-containing plasma, or rare gas plasma, or a combination of two or more thereof. The mask layer is processed.

Description

에칭 방법 및 플라즈마 처리 시스템{ETCHING METHOD AND PLASMA PROCESSING SYSTEM}Etching Method and Plasma Treatment System {ETCHING METHOD AND PLASMA PROCESSING SYSTEM}

본 발명은 플라즈마 처리 시스템 내에서 기판 상의 박막을 에칭하는 방법에 관한 것으로, 보다 구체적으로 탄도 전자 빔에 의해 지원되는 플라즈마를 이용하여 박막을 에칭하기 전에 박막 상의 마스크층을 처리하는 방법에 관한 것이다. The present invention relates to a method of etching a thin film on a substrate in a plasma processing system, and more particularly to a method of treating a mask layer on a thin film before etching the thin film using a plasma supported by a ballistic electron beam.

반도체 처리 중에, (건식) 플라즈마 에칭 공정을 활용하여 실리콘 기판 상에 패터닝된 비아(via) 또는 콘택트(contact) 내에서 또는 미세 라인을 따라 재료를 제거하거나 에칭할 수 있다. 플라즈마 에칭 공정은 일반적으로, 처리 챔버 내에서 보호층, 예컨대 포토레지스트층이 위에 패터닝되어 있는 반도체 기판을 위치 결정하는 것을 포함한다. 일단 챔버 내에서 기판이 위치 결정되면, 진공 펌프를 조절하여 주변 공정 압력을 달성하면서, 이온화 가능한 해리성 가스 혼합물을 미리 정한 유량으로 챔버 내로 도입한다. During semiconductor processing, a (dry) plasma etch process may be utilized to remove or etch the material in patterned vias or contacts on silicon substrates or along fine lines. Plasma etching processes generally involve positioning a semiconductor substrate on which a protective layer, such as a photoresist layer, is patterned within a processing chamber. Once the substrate is positioned in the chamber, the ionizable dissociable gas mixture is introduced into the chamber at a predetermined flow rate while adjusting the vacuum pump to achieve ambient process pressure.

그 후, 존재하는 가스종의 일부가, 고주파(RF) 파워의 유도형 또는 용량형 전달을 통해, 또는 예컨대 전자 사이클로트론 공명(ECR : Electron Cyclotron Resonance)을 이용한 마이크로파 파워의 전달을 통해 가열된 전자에 의해 이온화될 때, 플라즈마가 형성된다. 또한, 가열된 전자는, 주변 가스 종의 일부 종을 해리 하고 노출된 표면 에칭 화학반응(chemistry)에 적합한 반응 종을 생성하는 작용을 한다. 일단 플라즈마가 형성되면, 그 플라즈마에 의해 기판의 선택 표면이 에칭된다. 이 공정은, 기판의 선택 영역에서 다양한 피처(예컨대, 트렌치, 비아, 콘택트 등)를 에칭하기 위해, 바람직한 반응물과 이온 집단의 적절한 농도를 포함한, 적절한 상태를 달성하도록 조정된다. 에칭이 필요할 수 있는 예시적인 기판 재료로는, 실리콘 이산화물(SiO2), 저유전율(low-k) 재료, 폴리실리콘 및 실리콘 질화물이 있다. Then, some of the gas species present may be transferred to the heated electrons through inductive or capacitive transfer of high frequency (RF) power, or through the transfer of microwave power using, for example, Electron Cyclotron Resonance (ECR). When ionized by the plasma, a plasma is formed. The heated electrons also serve to dissociate some species of surrounding gas species and produce reactive species suitable for exposed surface etching chemistry. Once the plasma is formed, the selected surface of the substrate is etched by the plasma. This process is adjusted to achieve the proper state, including the appropriate concentration of the desired reactant and ion population, to etch various features (eg, trenches, vias, contacts, etc.) in selected regions of the substrate. Exemplary substrate materials that may require etching include silicon dioxide (SiO 2 ), low-k materials, polysilicon, and silicon nitride.

본 발명의 목적은, 유전체를 에칭하기 위한 개선된 방법 및 시스템을 제공하는 것이다. It is an object of the present invention to provide an improved method and system for etching a dielectric.

본 발명의 다른 목적은, 에칭 공정을 용이하게 하도록 패터닝된 마스크층을 처리하는 개선된 방법 및 시스템을 제공하는 것이다. Another object of the present invention is to provide an improved method and system for treating a patterned mask layer to facilitate an etching process.

본 발명의 이들 및/또는 다른 목적은, 기판 상에 형성되고 위에 패터닝된 마스크층을 갖는 박막을 에칭하는 방법에 의하여 달성된다. 이러한 방법은, 마스크층을 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 마스크층을 처리하는 처리 단계와, 이 마스크층을 처리하는 처리 단계에 이어서, 마스크층의 패턴을 박막에 전사하도록 박막을 에칭하는 에칭 단계를 포함한다. 상기 에칭 단계는, 공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 단계와, 플라즈마 처리 시스템 내에 에칭 단계 중의 플라즈마를 지원하는 전자 빔을 형성하도록 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 단계와, 기판을 플라즈마 및 전자 빔에 노출시키는 단계를 포함한다. These and / or other objects of the present invention are achieved by a method of etching a thin film formed on a substrate and having a patterned mask layer thereon. This method comprises the following steps of treating the mask layer by exposing the mask layer to an oxygen containing plasma, a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof, followed by a treating step of treating the mask layer: And etching the thin film to transfer the pattern of the mask layer to the thin film. The etching step includes forming a plasma from the process gas in the plasma processing system and coupling direct current (DC) power to an electrode in the plasma processing system to form an electron beam supporting the plasma during the etching step in the plasma processing system. And exposing the substrate to a plasma and an electron beam.

본 발명의 다른 양태는, 기판 상에 형성되고 위에 마스크층이 패터닝되어 있는 박막을 에칭하는 방법을 포함한다. 이러한 방법은, 플라즈마 및 탄도 전자 빔을 형성하도록 구성된 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 제공하는 제공 단계와, 마스크층을 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 플라즈마 처리 시스템 내에서 마스크층을 처리하는 처리 단계를 포함한다. 또한, 이러한 방법은, 마스크층을 처리하는 처리 단계에 이어서, 박막을 에칭하여 박막에 패터닝된 마스크층의 패턴을 전사하도록 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 포함한다. Another aspect of the invention includes a method of etching a thin film formed on a substrate and having a mask layer patterned thereon. This method includes providing a substrate on a substrate holder in a plasma processing system configured to form a plasma and a ballistic electron beam, and an oxygen-containing plasma, or a halogen-containing plasma, without the mask layer forming a ballistic electron beam. Or, treating the mask layer in the plasma processing system by exposure to the noble gas plasma, or a combination of two or more thereof. The method also includes a forming step that, following the processing step of processing the mask layer, forms a plasma and a ballistic electron beam in the plasma processing system to etch the thin film to transfer the pattern of the patterned mask layer to the thin film.

본 발명의 또 다른 양태에 있어서, 기판을 에칭하도록 구성된 플라즈마 처리 시스템은, 처리 챔버와, 이 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과, 처리 챔버에 결합되어 기판을 지지하도록 구성된 기판 홀더와, 처리 챔버의 내부에 설치된 전극을 포함한다. AC 파워 시스템이 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 기판 홀더 또는 전극, 또는 양쪽에 결합하여 처리 챔버 내에 플라즈마를 형성하도록 구성되며, DC 파워 시스템이 처리 챔버에 결합되고, DC 전압을 전극에 결합하여 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된다. 컨트롤러가 가스 공급 시스템을 제어하도록 구성되며, AC 파워 시스템과 DC 파워 시스템은, 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 플라즈마 처리 시스템 내에서 마스크층을 처리하는 처리 단계와, 이 마스크층을 처리하는 처리 단계에 이어서, 박막을 에칭하여 박막에 패터닝된 마스크층의 패턴을 전사하도록 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 실행한다. In another aspect of the invention, a plasma processing system configured to etch a substrate comprises: a processing chamber, a gas supply system configured to supply gas to the processing chamber, a substrate holder coupled to the processing chamber and configured to support the substrate; And an electrode provided inside the processing chamber. An AC power system is coupled to the processing chamber and configured to couple at least one AC signal to the substrate holder or electrode, or both, to form a plasma within the processing chamber, the DC power system is coupled to the processing chamber, and the DC voltage is applied to the electrode. And to form a ballistic electron beam through the plasma. The controller is configured to control the gas supply system, wherein the AC power system and the DC power system have a mask layer, without forming a ballistic electron beam, an oxygen-containing plasma, or a halogen-containing plasma, or a rare gas plasma, or a combination thereof. By exposing to a combination of two or more, the processing step of processing the mask layer in the plasma processing system and the processing step of processing the mask layer, followed by etching the thin film to transfer the pattern of the patterned mask layer onto the thin film. A forming step of forming a plasma and a ballistic electron beam is performed.

도 1은 본 발명의 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시하고, 1 shows a schematic diagram of a plasma processing system according to an embodiment of the present invention,

도 2는, 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 2 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 3은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 3 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 4는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 4 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 5는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 5 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 6은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 6 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 7은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고, 7 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention,

도 8은 본 발명의 실시예에 따라 플라즈마를 이용하여 기판을 처리하는 방법을 나타낸다. 8 illustrates a method of treating a substrate using plasma in accordance with an embodiment of the present invention.

이하의 설명에서는, 한정의 의도가 없는 설명을 목적으로, 플라즈마 처리 시스템의 특정 기하형상 및 각종 공정과 같은 특정의 세부 사항을 설명하고 있다. 그러나 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 실행할 수도 있다는 것을 이해해야 한다. In the following description, for purposes of explanation without limitation, specific details such as specific geometries and various processes of the plasma processing system are described. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.

재료 처리 방법에 있어서, 패턴 에칭은 포토레지스트와 같은 감광성 재료의 박층을 기판의 상면에 도포하는 것을 포함하고, 후속하여 이 박층을 패터닝하여 에칭 중에 기판 상의 기부의 박막에 패턴을 전사하기 위한 마스크를 제공한다. 일반적으로 감광성 재료의 패터닝은, 예컨대 마이크로-리소그래피 시스템을 이용하여 감광성 재료를 레티클(관련 광학 기구)을 통하여 복사원에 의해 노출시키는 것을 포함하며, 후속하여 (포지티브 포토레지스트의 경우에서와 같이) 감광성 재료의 조사 영역을 제거하거나, 현상 용매를 이용하여 (네거티브 레지스트의 경우에서와 같이) 비조사 영역을 제거한다. 또한, 이 마스크층은 복수의 서브층(sublayer)을 포함할 수 있다. 예컨대, 마스크층은 포토레지스트와 같은 감광성 재료의 층과, 기부의 반사 방지 코팅(ARC; anti-reflective coating) 층을 포함할 수도 있다. In a material processing method, pattern etching includes applying a thin layer of photosensitive material, such as photoresist, to a top surface of a substrate, and subsequently patterning the thin layer to form a mask for transferring the pattern to a thin film of the base on the substrate during etching. to provide. In general, the patterning of the photosensitive material includes exposing the photosensitive material by means of a radiation source through a reticle (related optics), for example using a micro-lithography system, followed by photosensitive (as in the case of positive photoresist) The irradiated area of the material is removed, or the non-irradiated area (as in the case of negative resist) is removed using a developing solvent. In addition, the mask layer may include a plurality of sublayers. For example, the mask layer may include a layer of photosensitive material, such as a photoresist, and an anti-reflective coating (ARC) layer of the base.

패턴 에칭 중에는, 주로 건식 플라즈마 에칭 공정을 활용하며, 이 공정에서는, 전자를 가열하고 공정 가스의 원자 및/또는 분자 조성물의 후속 이온화 및 해 리를 유도하도록 고주파(RF) 파워와 같은 전자기(EM) 에너지를 공정 가스에 결합함으로써 공정 가스로부터 플라즈마를 형성하고 있다. 또한, 음의 고전압 직류(DC) 파워를 플라즈마 처리 시스템에 결합하여, RF 사이클의 일부, 즉 결합된 RF 파워의 양의 1/2 사이클 중에 기판 표면에 부딪히는 활동적인(탄도) 전자 빔을 생성할 수 있다. 탄도 전자 빔은, 예컨대 (에칭되는) 기부의 박막과 마스크층 사이의 에칭 선택도를 향상시킴으로써 건식 플라즈마 에칭 공정의 특성을 개선하여, 전자 쉐이딩 손상(electron shading damage) 등과 같은 대전에 의한 손상(charging damage)을 줄일 수 있는 것을 관찰하였다. 마스크층을 개질시키는 탄도 전자에 기인하여 건식 플라즈마 에칭 공정이 강화되는 것으로 생각되며, 예컨대 에칭 공정에 대한 저항성이 강하게 되어 에칭 선택도가 개선된다. 탄도 전자 빔의 생성에 관한 추가의 상세 내용은, 발명의 명칭이 "Plasma processing apparatus and method"이고 미국 특허 출원 공개 번호가 2006/0037701A1인 계류 중의 미국 특허 출원 제11/156,559호에 개시되어 있으며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. During pattern etching, mainly a dry plasma etching process is used, in which electromagnetic (EM), such as high frequency (RF) power, is used to heat the electrons and induce subsequent ionization and dissociation of the atomic and / or molecular composition of the process gas. Plasma is formed from the process gas by combining energy with the process gas. In addition, negative high voltage direct current (DC) power may be coupled to the plasma processing system to generate an active (ballistic) electron beam that strikes the substrate surface during a portion of the RF cycle, i.e., one-half cycle of the combined RF power. Can be. The ballistic electron beam improves the characteristics of the dry plasma etching process by, for example, improving the etch selectivity between the thin film of the (etched) base and the mask layer, thereby charging by charging such as electron shading damage and the like. It is observed that the damage can be reduced. It is believed that the dry plasma etching process is enhanced due to the ballistic electrons to modify the mask layer, for example, the resistance to the etching process is enhanced, and the etching selectivity is improved. Further details regarding the generation of ballistic electron beams are disclosed in pending US patent application Ser. No. 11 / 156,559, entitled "Plasma processing apparatus and method," and US Patent Application Publication No. 2006 / 0037701A1, The entire contents of this patent application are incorporated herein by reference.

이제 도 1을 참조하면, 탄도 전자 빔을 채용하는 플라즈마 처리 시스템의 개략도가 도시되어 있다. 플라즈마 처리 시스템은 처리 챔버 내에 서로 대향하게 배치된 제1 전극(120) 및 제2 전극(172)을 구비하며, 제1 전극(120)은 기판(125)을 지지하도록 구성되어 있다. 제1 전극(120)은 제1 RF 주파수의 RF 파워를 제공하도록 구성된 제1 RF 발생기(140)에 결합되어 있고, 제2 전극(172)은 제1 RF 주파수와 동일할 수도 있고 상이할 수도 있는 제2 RF 주파수의 RF 파워를 제공하도록 구성된 제2 RF 발생기(170)에 결합되어 있다. 예컨대, 제2 RF 주파수는 제1 RF 주파수보다 비교적 높은 RF 주파수일 수 있다. 제1 및 제2 전극에 RF 파워를 결합함으로써, 플라즈마(130)의 생성이 용이하게 된다. Referring now to FIG. 1, a schematic diagram of a plasma processing system employing a ballistic electron beam is shown. The plasma processing system includes a first electrode 120 and a second electrode 172 disposed opposite each other in the processing chamber, and the first electrode 120 is configured to support the substrate 125. The first electrode 120 is coupled to a first RF generator 140 configured to provide RF power of the first RF frequency, and the second electrode 172 may be the same as or different from the first RF frequency. Coupled to a second RF generator 170 configured to provide RF power at a second RF frequency. For example, the second RF frequency may be a RF frequency that is relatively higher than the first RF frequency. By coupling RF power to the first and second electrodes, generation of the plasma 130 is facilitated.

또한, 플라즈마 처리 시스템은 제2 전극(172)에 DC 전압을 공급하도록 구성된 DC 파워 서플라이(150)를 구비한다. 제2 전극(172)에 (예컨대) 음의 DC 전압을 결합함으로써, 탄도 전자 빔(135)의 생성이 용이하게 된다. 전자 빔 파워는 제2 전극(172) 상에서의 음의 DC 전압의 중첩으로부터 유도된다. 미국 특허 출원 공개 2006/0037701A1에 개시되어 있는 바와 같이, 플라즈마 처리 시스템에 음의 DC 파워를 인가하는 것은, 기판(125)의 표면에 부딪히는 탄도(또는 비충돌) 전자 빔의 형성에 영향을 끼친다. The plasma processing system also includes a DC power supply 150 configured to supply a DC voltage to the second electrode 172. By coupling (eg) a negative DC voltage to the second electrode 172, generation of the ballistic electron beam 135 is facilitated. The electron beam power is derived from the superposition of the negative DC voltage on the second electrode 172. As disclosed in US Patent Application Publication 2006 / 0037701A1, applying negative DC power to a plasma processing system affects the formation of a ballistic (or non-collision) electron beam that strikes the surface of the substrate 125.

일반적으로, 탄도 전자 빔은 이하에서 설명하는 바와 같이, 임의의 유형의 플라즈마 처리 시스템으로 실현될 수 있다. 본 예에서는, RF 파워식의 용량 결합형 플라즈마(CCP: Capacitively Coupled Plasma) 처리 시스템 상에서 음의 DC 전압이 중첩되고 있다. 그러므로 본 발명은 이러한 예로 제한되지 않는다. 이 예는 단지 예시 목적으로 활용되는 것이다. In general, ballistic electron beams can be realized with any type of plasma processing system, as described below. In this example, negative DC voltages are superimposed on an RF power capacitively coupled plasma (CCP) processing system. Therefore, the present invention is not limited to this example. This example is for illustrative purposes only.

탄도 전자 빔이 에칭 특성을 개선시키는 데에 있어서 중요하기는 하지만, 본원의 발명자들은, 많은 경우에 탄도 전자 빔을 이용하면 찰은 또는 비정상 패턴[주로 "라인 에지 러프니스(LER; line edge roughness)"]이 초래되어 마스크층 내에서 전개되는 것을 관찰하였다. 특히, 본원의 발명자들은, 비교적 적은 폴리머를 형성 하는(예컨대, 비교적 낮은 CF2 라디칼 함량의) 에칭 화학물질(예컨대, CF4 화학물질)에서 LER이 가장 빈번하게 발생하고, 비교적 많은 폴리머를 형성하는(예컨대, 비교적 높은 CF2 라디칼 함량의) 에칭 화학물질(예컨대, C4F8 또는 C5F8 화학물질)에서는 LER이 그다지 발생하지 않는 것을 관찰하였다. 이러한 비정상 패턴 및 측벽 거칠음은, 현재의 에칭 공정 및/또는 후속 에칭 공정 중에 기부의 층에 전사될 수 있다. 예컨대, 탄도 전자 빔 지원 플라즈마와 같은 결합 파괴 여기(bond-breaking excitation)를 갖는 에칭 공정에 기판이 처음 노출되는 때에, 마스크층이 개질되어 마스크층에 형성된 패턴에 측벽 거칠음(또는 비정상 패턴)이 나타날 수 있으며, 이러한 측벽 거칠음은 에칭 공정이 진행됨에 따라 에칭 막에 전사된다. 이로 인하여, 제조 수율의 저하 및/또는 장치 성능 및 신뢰성의 저하가 초래될 수 있다. Although ballistic electron beams are important in improving the etching properties, the inventors of the present invention have found that in many cases, ballistic electron beams can lead to scratched or abnormal patterns (mainly " line edge roughness (LER) "). Was observed to develop in the mask layer. In particular, the inventors of the present application find that LER occurs most frequently in etching chemicals (eg, CF 4 chemicals) that form relatively low polymers (eg, relatively low CF 2 radical content), and form relatively many polymers. It has been observed that LER does not occur very much in etching chemicals (eg, C 4 F 8 or C 5 F 8 chemicals) of relatively high CF 2 radical content. Such abnormal patterns and sidewall roughness may be transferred to the layer of the base during the current etching process and / or subsequent etching processes. For example, when the substrate is first exposed to an etching process with a bond-breaking excitation, such as a ballistic electron beam assisted plasma, the mask layer is modified to show sidewall roughness (or abnormal patterns) in the pattern formed on the mask layer. Such sidewall roughness may be transferred to the etching film as the etching process proceeds. This may lead to a decrease in manufacturing yield and / or a decrease in device performance and reliability.

본원의 발명자들은, 전술한 LER 문제의 원인을 규명하기 위한 노력으로 탄도 전자 빔 지원 플라즈마의 특성을 연구하였다. 본원의 발명자들은, 포토레지스트층과 같은 마스크층을 활동적인 전자 빔(예컨대, 약 100 eV를 넘는 전자 에너지)에 장기간 노출시키면 마스크층이 개질되어 전술한 바와 같이 에칭 공정을 개선할 수 있지만, 전자 빔에 대한 초기 노출로 인하여 전자 유도 결함을 비롯한 손상이 초래될 수 있는 것으로 믿고 있는데, 그러한 손상은, 원자 할로겐 종이 존재할 때에 마스크층에 (LER로서 지칭되는) 찰은의 형성을 초래할 수도 있는 것이다. 예컨대, 마스크층이 전술한 불소 함유 에칭 화학물질에 노출되면, 마스크층의 표면층에서의 화학 결합의 분열로 인하여, (원자 불소에 의한) 불소 산화와, 마스크층의 표면으 로부터 (입사 전자의 에너지에 의해 결정되는 깊이로) 탄소, 수소 및 산소의 제거가 초래되는 것으로 생각된다. 일반적으로, 본원의 발명자들은, 통상의 탄도 전자 빔에 의한 에칭 공정에 있어서는, 탄도 전자 빔의 존재 상태에서 마스크층을 원자 할로겐 종에 후속 노출시키는 것은 에칭 공정에 유리할 수도 있지만, 탄도 전자 빔의 존재 상태에서 원자 할로겐 종에 마스크층을 초기에 노출시키는 것은 LER을 초래할 수 있다고 생각하고 있다. The inventors of the present application studied the properties of ballistic electron beam assisted plasma in an effort to identify the cause of the aforementioned LER problem. The inventors of the present application have found that long-term exposure of a mask layer, such as a photoresist layer, to an active electron beam (e.g., electron energy above about 100 eV) may modify the mask layer to improve the etching process as described above. It is believed that the initial exposure to the beam can result in damage, including electron induced defects, which may result in the formation of a scratch (referred to as LER) in the mask layer when atomic halogen species are present. For example, when the mask layer is exposed to the above-described fluorine-containing etching chemical, due to the cleavage of chemical bonds in the surface layer of the mask layer, fluorine oxidation (by atomic fluorine) and the energy of incident electrons (from the surface of the mask layer) To a depth determined by < RTI ID = 0.0 >). ≪ / RTI > In general, the inventors of the present application, in the conventional ballistic electron beam etching process, the subsequent exposure of the mask layer to atomic halogen species in the presence of the ballistic electron beam may be advantageous for the etching process, but the presence of the ballistic electron beam It is believed that initial exposure of the mask layer to atomic halogen species in the state can result in LER.

따라서 본원의 발명자들은, 에칭 공정을 실시하기 이전에 마스크층을 처리하는 것이 에칭 공정 중의 LER의 전개를 줄일 수 있을 것으로 기대하고 있다. 마스크층은 실리콘 함유층을 포함할 수도 있고 실리콘 비함유층을 포함할 수도 있다. 또한, 마스크층은 포토레지스트와 같은 감광성 재료를 포함할 수도 있다. 예컨대, 마스크층은 248 나노미터(㎚)의 포토레지스트, 193 ㎚의 포토레지스트, 157 ㎚의 포토레지스트, 또는 EUV(extreme ultraviolet) 포토레지스트, 또는 이들의 2 이상의 조합을 포함할 수도 있다. Accordingly, the inventors of the present application expect that treating the mask layer prior to performing the etching process can reduce the development of LER during the etching process. The mask layer may comprise a silicon containing layer or may comprise a silicon free layer. The mask layer may also include a photosensitive material such as a photoresist. For example, the mask layer may comprise a 248 nanometer (nm) photoresist, a 193 nm photoresist, a 157 nm photoresist, or an extreme ultraviolet (EUV) photoresist, or a combination of two or more thereof.

일 실시예에 따르면, 마스크층에 형성된 패턴을 기부의 박막에 전사하는 에칭 공정을 실시하기에 앞서, 패터닝된 마스크층을, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킨다. 마스크층을, 활동적인 전자 및 활동적인 광자와 같이 결합 파괴 여기가 없는 상태에서 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 의해 처리한다. 바람직하게는, 처리 플라즈마는 활동적인 이온(즉, 기판 상의 저에너지 이온)이 패터닝된 마스크에 거의 또는 전혀 충돌하지 않게 되는 플라즈마이다. 이에 따라, 플라즈마 소스에 공급되는 고주파(RF) 또는 마이크로파 파워는 산소 또는 할로겐 가스를 해리 및 이온화시키고, 희가스를 이온화시키기에 충분한 파워 레벨로 제공되는 것이 바람직하다. 일 실시예에서, 플라즈마 소스에 공급되는 파워는 약 2000 W 이하이다. 바람직하게는, 플라즈마 소스에 공급되는 파워는 약 500 W 이하이다. 또한, 기판 전극에 공급되는 바이어스 파워는 약 500 W 미만이고, 바람직하게는 약 100 W 미만이다. 더 바람직하게는, 바이어스 파워는, 기판 전극에 실질적으로 파워가 인가되지 않게 하는 파워이다. 또한, 플라즈마 처리는 약 1초 내지 30초 동안, 바람직하게는 약 2초 내지 20초, 예컨대 약 10초 동안 실시된다. According to one embodiment, prior to performing the etching process of transferring the pattern formed on the mask layer to the base thin film, the patterned mask layer is subjected to oxygen-containing plasma, halogen-containing plasma, or rare gas plasma, or two or more thereof. Expose to combination. The mask layer is treated by an oxygen-containing plasma, or a halogen-containing plasma, or a rare gas plasma, or a combination of two or more thereof in the absence of bond breaking excitation, such as active electrons and active photons. Preferably, the treatment plasma is a plasma in which active ions (ie, low energy ions on the substrate) hardly or never collide with the patterned mask. Accordingly, the high frequency (RF) or microwave power supplied to the plasma source is preferably provided at a power level sufficient to dissociate and ionize the oxygen or halogen gas and to ionize the rare gas. In one embodiment, the power supplied to the plasma source is about 2000 W or less. Preferably, the power supplied to the plasma source is about 500 W or less. In addition, the bias power supplied to the substrate electrode is less than about 500 W, preferably less than about 100 W. More preferably, the bias power is power such that substantially no power is applied to the substrate electrode. In addition, the plasma treatment is performed for about 1 second to 30 seconds, preferably about 2 to 20 seconds, such as about 10 seconds.

도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정에 사용되는 플라즈마 처리 시스템 내에서 마스크층의 노출을 실행할 수도 있고, 에칭 공정을 실행하는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 마스크층의 노출을 실행할 수도 있다. 에칭 공정 중에 플라즈마의 생성을 용이하게 하는 플라즈마 발생 시스템을 이용하여 현장에서 플라즈마를 생성할 수도 있고, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 또는 별도의 기판 처리 시스템에 결합되는 원격 플라즈마 발생 시스템을 이용하여 원격 위치에서 플라즈마를 생성할 수도 있다. The mask layer may be exposed in a plasma processing system used for the etching process, such as the plasma processing system shown in FIG. 1, or the mask layer may be exposed in a substrate processing system other than the plasma processing system for performing the etching process. It may be. Plasma can be generated on-site using a plasma generation system that facilitates the generation of plasma during the etching process, or using a remote plasma generation system in which the etching process is coupled to a plasma processing system or a separate substrate processing system performed in-house. To generate a plasma at a remote location.

산소 함유 플라즈마는 O2, CO, CO2, NO, N2O, 또는 NO2, 또는 이들의 2 이상의 조합으로 형성될 수도 있다. 산소 함유 가스의 유량은 약 10 sccm(standard cubic centimeters per minute) 내지 약 1000 sccm, 예컨대 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 100 mTorr 내지 약 500 mTorr일 수 있다. 산소 함유 플라즈마는 불활성 가스, 희가스, N2, H2 또는 CN을 더 포함할 수 있다. 본원의 발명자들은, 산소 함유 플라즈마를 사용함으로써 산소의 농도가 증가한 마스크층 내에 서브층의 형성을 촉진시킬 수 있는 것으로 믿고 있다. 본원의 발명자들은, 이와 같이 처리된 마스크층이 후속 에칭 공정에서 마스크층 내에서의 LER을 저감시키는 것을 도울 것으로 기대하고 있다. 예컨대, 실리콘 함유 마스크층의 경우에, "유리 형태(glassy)"(즉, SiOx) 서브층이 형성될 수 있는데, 이는 LER의 형성에 특히 탄력적인 것으로 기대되고 있다. The oxygen containing plasma may be formed of O 2 , CO, CO 2 , NO, N 2 O, or NO 2 , or a combination of two or more thereof. The flow rate of the oxygen containing gas may be from about 10 sccm (standard cubic centimeters per minute) to about 1000 sccm, such as 100 sccm to 300 sccm. The chamber pressure may be about 1 mTorr to about 1000 mTorr, preferably about 50 mTorr to about 500 mTorr, more preferably about 100 mTorr to about 500 mTorr. The oxygen containing plasma may further comprise an inert gas, rare gas, N 2 , H 2 or CN. The inventors of the present application believe that the use of an oxygen-containing plasma can promote the formation of a sublayer in a mask layer in which the concentration of oxygen is increased. The inventors of the present application expect that the mask layer thus treated will help to reduce the LER in the mask layer in subsequent etching processes. For example, in the case of a silicon-containing mask layer, a "glassy" (ie SiO x ) sublayer can be formed, which is expected to be particularly elastic in the formation of the LER.

일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 산소 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 산소 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W; 그리고 약 10초의 처리 시간으로 한다. 다른 예로서, 마이크로파 파워 플라즈마 소스와 같은 원거리(원격) 플라즈마 소스를 이용하여, 산소 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 산소 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 약 1000 W의 마이크로파 파워; 그리 고 약 10초의 처리 시간으로 한다. As an example, the mask layer is treated with an oxygen-containing plasma in a plasma processing system in which an etching step is performed inside. Process conditions include a flow rate of an oxygen containing gas of about 100 sccm to about 500 sccm; Chamber pressure of at least about 100 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 500 W; The processing time is about 10 seconds. As another example, using a remote (remote) plasma source such as a microwave power plasma source, the mask layer is processed by an oxygen-containing plasma. Process conditions include a flow rate of an oxygen containing gas of about 100 sccm to about 500 sccm; Chamber pressure of at least about 100 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); Microwave power of about 1000 W; Then, the processing time is about 10 seconds.

할로겐 함유 플라즈마는 Cl2, Br2, F2, HBr, HCl, HF, C2H4Br2, ClF3, NF3, SiCl4 또는 SF6, 또는 이들의 2 이상의 조합으로 형성될 수 있다. 할로겐 함유 가스의 유량은 약 10 sccm 내지 약 1000 sccm, 예컨대 약 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 20 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 20 mTorr 내지 약 100 mTorr일 수 있다. 할로겐 함유 플라즈마는 불활성 가스, 희가스, N2, H2, 또는 CN을 더 포함할 수 있다. 또한, 할로겐 함유 플라즈마는 산소 함유 가스를 더 포함할 수 있다. 본원의 발명자들은, 활동적인 전자 빔이 없는 상태에서 할로겐 함유 플라즈마에 마스크층을 노출시키면, 마스크층의 표면층이 부동태화되고, 이에 따라 후속 에칭 공정에서 마스크층 내에서의 LER의 저감을 도울 것으로 기대하고 있다. The halogen containing plasma may be formed of Cl 2 , Br 2 , F 2 , HBr, HCl, HF, C 2 H 4 Br 2 , ClF 3 , NF 3 , SiCl 4 or SF 6 , or a combination of two or more thereof. The flow rate of the halogen containing gas may be about 10 sccm to about 1000 sccm, such as about 100 sccm to 300 sccm. The chamber pressure may be about 1 mTorr to about 1000 mTorr, preferably about 20 mTorr to about 500 mTorr, more preferably about 20 mTorr to about 100 mTorr. The halogen containing plasma may further comprise an inert gas, rare gas, N 2 , H 2 , or CN. In addition, the halogen-containing plasma may further include an oxygen-containing gas. The inventors expect that exposing the mask layer to a halogen-containing plasma in the absence of an active electron beam will passivate the surface layer of the mask layer, thereby helping to reduce the LER in the mask layer in subsequent etching processes. Doing.

일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 할로겐 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 할로겐 함유 가스의 유량; 약 25 mTorr 내지 약 50 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 100 W 내지 약 500 W; 그리고 약 10초의 처리 시간으로 한다. 다른 예로서, 마이크로파 파워 플라즈마 소스와 같은 원거리(원격) 플라즈마 소스를 이용하여, 할로겐 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 할로겐 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 약 1000 W의 마이크로파 파워; 그리고 약 10초의 처리 시간으로 한다. As an example, the mask layer is treated with a halogen-containing plasma in a plasma processing system in which an etching process is performed inside. Process conditions include a flow rate of halogen containing gas from about 100 sccm to about 500 sccm; A chamber pressure of about 25 mTorr to about 50 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 100 W to about 500 W; The processing time is about 10 seconds. As another example, processing of the mask layer by halogen-containing plasma is performed using a remote (remote) plasma source such as a microwave power plasma source. Process conditions include a flow rate of halogen containing gas from about 100 sccm to about 500 sccm; Chamber pressure of at least about 100 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); Microwave power of about 1000 W; The processing time is about 10 seconds.

희가스 플라즈마는 희가스, 예컨대 He, Ne, Ar, Xe, Kr 또는 이들의 2 이상의 조합으로 형성될 수 있다. 희가스의 유량은 약 10 sccm 내지 약 1000 sccm, 예컨대 약 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 50 mTorr 내지 약 200 mTorr일 수 있다. 본원의 발명자들은, 희가스 플라즈마를 사용함으로써, 마스크층 상에 탄소 농후, 또는 "탄화(carbonized)"[즉, 예컨대 O 및 H가 결여된] 표면층의 형성을 촉진시킬 수 있는 것으로 믿고 있다. "탄화" 표면층은, 마스크층에 부딪히는 이온의 이온 에너지에 따라, 마스크층 내로 수 나노미터(㎚)(예컨대 1 ㎚ 내지 10 ㎚) 연장할 수 있다. 예컨대, 약 25 eV 내지 약 50 eV 범위의 에너지를 갖는 이온은 약 1 ㎚ 내지 약 2 ㎚로 관통한다. 본원의 발명자들은, 이렇게 처리된 마스크층이, 후속 에칭 공정에서 마스크층 내에서 LER을 저감시키는 것에 도움이 될 것으로 기대하고 있다. The rare gas plasma may be formed of a rare gas such as He, Ne, Ar, Xe, Kr or a combination of two or more thereof. The flow rate of the rare gas may be about 10 sccm to about 1000 sccm, such as about 100 sccm to 300 sccm. The chamber pressure may be about 1 mTorr to about 1000 mTorr, preferably about 50 mTorr to about 500 mTorr, more preferably about 50 mTorr to about 200 mTorr. The inventors believe that using a rare gas plasma can facilitate the formation of carbon rich, or “carbonized” (ie, lacking O and H) surface layers on the mask layer. The “carbonized” surface layer can extend several nanometers (nm) (eg, 1 nm to 10 nm) into the mask layer, depending on the ion energy of the ions striking the mask layer. For example, ions having an energy ranging from about 25 eV to about 50 eV penetrate from about 1 nm to about 2 nm. The inventors of the present application expect that this treated mask layer will help to reduce the LER in the mask layer in a subsequent etching process.

일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 희가스 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 300 sccm의 희가스의 유량; 약 25 mTorr 내지 약 50 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1000 W; 그리고 약 10초의 처리 시간으로 한다. As an example, the mask layer is processed by the rare gas plasma in the plasma processing system in which the etching step is performed. Process conditions include a flow rate of rare gas of about 100 sccm to about 300 sccm; A chamber pressure of about 25 mTorr to about 50 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 500 W to about 1000 W; The processing time is about 10 seconds.

다른 실시예에 따르면, 마스크층에 형성된 패턴을 기부의 박막에 전사하는 에칭 공정을 실행하기 전에 마스크층에 보호층을 형성하고 있다. 마스크층에 형성되는 보호층은 에칭 공정 중에 소모되거나 부분적으로 소모되는 재료의 층을 포함할 수 있고, 이로써 에칭 공정의 초기 단계 중에 마스크층을 보호할 수 있다. 대안으로, 마스크층에 형성되는 보호층은 에칭 공정 중의 에칭 저항을 증가시킬 수 있는데, 특히 에칭 공정의 초기 단계 중의 에칭 저항을 증가시킬 수 있다. According to another embodiment, a protective layer is formed on the mask layer before performing an etching process for transferring the pattern formed on the mask layer to the thin film of the base. The protective layer formed on the mask layer may include a layer of material consumed or partially consumed during the etching process, thereby protecting the mask layer during the initial stages of the etching process. Alternatively, the protective layer formed on the mask layer can increase the etch resistance during the etching process, in particular during the initial stage of the etching process.

도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템에서 마스크층에 보호층을 형성할 수 있고, 또는 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 노출을 실행할 수 있다. 에칭 공정 중에 플라즈마의 생성을 용이하게 하는 플라즈마 발생 시스템을 이용하여 현장에서 플라즈마를 생성할 수도 있고, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 또는 별도의 기판 처리 시스템에 결합되는 원격 플라즈마 발생 시스템을 이용하여 원격 위치에서 플라즈마를 생성할 수도 있다. In a plasma processing system in which an etching process is performed inside, such as the plasma processing system shown in FIG. 1, a protective layer may be formed on the mask layer, or in a substrate processing system other than the plasma processing system in which the etching process is performed in-house. You can perform the exposure. Plasma can be generated on-site using a plasma generation system that facilitates the generation of plasma during the etching process, or using a remote plasma generation system in which the etching process is coupled to a plasma processing system or a separate substrate processing system performed in-house. To generate a plasma at a remote location.

마스크층에 보호층을 형성할 때에는, 증착 가스 플라즈마를 활용하고, 이때에 마스크층을 증착 가스 플라즈마에 노출시키면, 기판 표면에 재료의 순 증착이 발생한다. 마스크층 상에 보호층을 형성하는 데에는, 하이드로카본 함유 플라즈마(즉, CxHy 함유 플라즈마, 여기서 x 및 y는 1 이상의 정수를 나타냄), 또는 플루오로카본 함유 플라즈마(CxFz 함유 플라즈마, 여기서 x 및 z는 1 이상의 정수를 나 타냄), 또는 하이드로플루오로카본 함유 플라즈마(CxHyFz 함유 플라즈마, 여기서 x, y 및 z는 1 이상의 정수를 나타냄), 또는 이들의 2 이상의 조합과 같은 증착 가스 플라즈마에 마스크층을 노출시키는 것을 포함할 수 있다. 마스크층은, 활동적인 전자 또는 활동적인 광자와 같은 결합 파괴 여기가 없는 상태에서 증착 가스 플라즈마에 의해 처리된다. CxHy 함유 플라즈마는, C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다. CxFz 함유 플라즈마는, C2F6, CF4, C3F8, C4F8, C5F8, 또는 C4F6, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다. CxHyFz 함유 플라즈마는, CH3F, C2HF5, CH2F2, 또는 CHF3, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다. When the protective layer is formed on the mask layer, the deposition gas plasma is utilized, and if the mask layer is exposed to the deposition gas plasma at this time, net deposition of material occurs on the substrate surface. To form a protective layer on the mask layer, a hydrocarbon-containing plasma (ie, a C x H y- containing plasma, where x and y represent an integer of 1 or more), or a fluorocarbon-containing plasma (C x F z- containing plasma) , Wherein x and z represent an integer of 1 or more), or a hydrofluorocarbon containing plasma (C x H y F z containing plasma, where x, y and z represent an integer of 1 or more), or two or more thereof Exposing the mask layer to a deposition gas plasma, such as a combination. The mask layer is treated by the deposition gas plasma in the absence of bond breaking excitation such as active electrons or active photons. C x H y -containing plasma is C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 , or C 6 H 12 , or a combination of two or more thereof. The C x F z containing plasma may be formed using C 2 F 6 , CF 4 , C 3 F 8 , C 4 F 8 , C 5 F 8 , or C 4 F 6 , or a combination of two or more thereof. . The C x H y F z containing plasma may be formed using CH 3 F, C 2 HF 5 , CH 2 F 2 , or CHF 3 , or a combination of two or more thereof.

전술한 증착 가스 중 하나 이상을 이용하여 마스크층 상에 하이드로카본, 플루오로카본, 또는 이들의 조합의 보호층을 형성하기 위하여 공정 조건을 선택한다. 공정 조건은, 마스크층에 형성된 패턴이 고립되거나 또는 가늘어지지 않도록 선택되어야 한다. 보호층은 플랫-필드(flat-field)를 덮을 수 있다. 또한, 보호층은 패턴 상에 약간의 오버행(overhang)을 포함할 수고, 마스크층 내에 패턴의 측벽의 약간의 커버리지(coverage)를 더 포함할 수 있다. 예컨대, 공정 조건은 스퍼터링이 거의 또는 전혀 없이(즉, 기판 표면에서의 이온 에너지가 작게) 이온에 의한 구동력을 이용한 증착 플라즈마(ion-driven depositing plasma)(즉, 이온화 종의 증 착)를 형성하도록 선택되어야 한다. 증착 가스의 유량은 약 10 sccm 내지 약 1000 sccm, 바람직하게는 약 100 sccm 내지 약 300 sccm, 예컨대 약 200 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 50 mTorr 내지 약 200 mTorr일 수 있다. 또한, 증착 가스 플라즈마는 희가스와 같은 희석 가스를 더 포함할 수 있다. 예컨대, 증착 가스의 유량은 가스 혼합물의 약 1% 내지 약 20%의 범위일 수 있고, 그 나머지를 희석 가스의 유량이 점유한다. 또한, 예컨대, 증착 가스의 유량은 가스 혼합물의 약 5% 내지 약 10%의 범위일 수 있고, 그 나머지를 희석 가스의 유량이 점유한다. 아울러, 증착 가스는 H2, O2, CO, CO2, NO, N2O, NO2, N2, CN, 또는 불활성 가스, 또는 이들의 2 이상의 조합을 또한 포함할 수도 있다. Process conditions are selected to form a protective layer of hydrocarbon, fluorocarbon, or a combination thereof on the mask layer using one or more of the foregoing deposition gases. Process conditions should be chosen so that the pattern formed on the mask layer is not isolated or tapered. The protective layer may cover a flat-field. In addition, the protective layer may include some overhang on the pattern, and may further include some coverage of the sidewalls of the pattern in the mask layer. For example, process conditions may be used to form an ion-driven depositing plasma (i.e., deposition of ionized species) using driving forces by ions with little or no sputtering (i.e., low ionic energy at the substrate surface). Should be chosen. The flow rate of the deposition gas may be about 10 sccm to about 1000 sccm, preferably about 100 sccm to about 300 sccm, such as about 200 sccm. The chamber pressure may be about 1 mTorr to about 1000 mTorr, preferably about 50 mTorr to about 500 mTorr, more preferably about 50 mTorr to about 200 mTorr. In addition, the deposition gas plasma may further include a diluent gas, such as a rare gas. For example, the flow rate of the deposition gas may range from about 1% to about 20% of the gas mixture, with the remainder occupied by the flow rate of the diluent gas. Also, for example, the flow rate of the deposition gas may range from about 5% to about 10% of the gas mixture, with the remainder occupied by the flow rate of the diluent gas. In addition, the deposition gas may also include H 2 , O 2 , CO, CO 2 , NO, N 2 O, NO 2 , N 2 , CN, or an inert gas, or a combination of two or more thereof.

일례로서, CF(즉, CxFz) 폴리머를 증착할 때에는, CF4와 함께 또는 CF4는 없이, C4F8 또는 C4F6과 같은 증착 가스를 사용할 수 있다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 희석 가스의 유량; 희석 가스의 유량의 약 1% 내지 약 20%의 증착 가스의 유량; 약 50 mTorr 내지 약 200 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1500 W; 그리고 약 수 ㎚ 내지 약 200 ㎚ 범위의 두께를 갖는 막을 형성하기에 충분한 처리 시간으로 한다. As one example, when depositing the CF (i.e., C x F z) polymer, without with CF 4 or CF 4, it is possible to use a deposition gas, such as C 4 F 8 or C 4 F 6. Process conditions include flow rates of diluent gas from about 100 sccm to about 500 sccm; A flow rate of the deposition gas from about 1% to about 20% of the flow rate of the dilution gas; A chamber pressure of about 50 mTorr to about 200 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 500 W to about 1500 W; And a processing time sufficient to form a film having a thickness in the range of about several nm to about 200 nm.

다른 예로서, CH(즉, CxHy) 폴리머를 증착할 때에, 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 희석 가스의 유량; 희석 가스의 유량의 약 1% 내지 약 20%의 증착 가스의 유량; 약 50 mTorr 내지 약 200 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1500 W; 그리고 약 수 ㎚ 내지 약 200 ㎚ 범위의 두께를 갖는 막을 형성하기에 충분한 처리 시간으로 한다. As another example, when depositing a CH (ie, C x H y ) polymer, process conditions include: a flow rate of diluent gas of about 100 sccm to about 500 sccm; A flow rate of the deposition gas from about 1% to about 20% of the flow rate of the dilution gas; A chamber pressure of about 50 mTorr to about 200 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 500 W to about 1500 W; And a processing time sufficient to form a film having a thickness in the range of about several nm to about 200 nm.

본원의 발명자들은 CF 막이 에칭 공정 중에 상대적으로 큰 에칭 저항을 제공할 수 있는 것으로 생각하기 때문에, CH 막에 대한 보호층의 필요 두께가 CF 막에 대한 보호층의 필요 두께에 비하여 클 수 있다. 보호층의 최소 두께는, 에칭 공정 중에 대전된 종의 관통 깊이에 따라 선택되어야 한다. 예컨대, 1 keV 전자 빔에 대해서는 약 50 ㎚ 두께의 막이 필요할 수 있고, 1.5 keV의 전자 빔에 대해서는 약 100 ㎚ 두께의 막이 필요할 수 있다. The inventors of the present application believe that the CF film can provide a relatively large etching resistance during the etching process, so that the required thickness of the protective layer for the CH film may be larger than the required thickness of the protective layer for the CF film. The minimum thickness of the protective layer should be selected according to the penetration depth of the charged species during the etching process. For example, a film about 50 nm thick may be needed for a 1 keV electron beam, and a film about 100 nm thick may be needed for a 1.5 keV electron beam.

또 다른 예로서, 마스크층 상에 보호층을 형성하는 데에는, 메탄올 또는 에탄올과 같은 알코올 중에 마스크층을 침지하는 것을 포함할 수 있다. As another example, forming the protective layer on the mask layer may include immersing the mask layer in alcohol such as methanol or ethanol.

본원의 발명자들은, 하이드로카본계 화학물질 또는 하이드로플루오로카본계 화학물질을 이용하여 마스크층 상에 보호층을 형성하면, 마스크층의 표면에서 수소의 함량이 증가하여, 에칭 공정의 초기 단계 중에 활동적인 전자를 약하게 하는 것으로 기대하고 있다. 에칭 공정의 이들 초기 단계 중에 활동적인 전자 빔으로 인한 손상 효과를 완화시킴으로써, 희생층은 후속 에칭 공정에서 마스크층에서의 LER의 저감을 도울 수 있다. 또한, 본원의 발명자들은, 하이드로플루오로카본계 화학 물질 또는 플루오로카본계 화학물질을 이용하여 마스크층 상에 보호층을 형성하는 것이 에칭 공정 중에 마스크층에 추가의 에칭 저항을 제공하는 폴리머 막의 형성을 용이하게 하는 것으로 기대하고 있다. 개질된 마스크층에 대한 에칭 선택도의 향상은, 에칭 공정 후의 마스크층에서의 LER의 저감을 도울 수 있다. The inventors of the present application, when forming a protective layer on the mask layer using a hydrocarbon-based chemical or a hydrofluorocarbon-based chemical, the content of hydrogen increases on the surface of the mask layer, thereby acting during the initial stage of the etching process. It is expected to weaken the former electron. By mitigating the damaging effects of active electron beams during these early stages of the etching process, the sacrificial layer can help reduce the LER in the mask layer in subsequent etching processes. In addition, the inventors have found that forming a protective film on a mask layer using a hydrofluorocarbon-based chemical or a fluorocarbon-based chemical provides the formation of a polymer film that provides additional etching resistance to the mask layer during the etching process. I hope to facilitate this. Improving the etching selectivity with respect to the modified mask layer can help reduce the LER in the mask layer after the etching process.

또 다른 실시예에 따르면, 에칭 공정을 실시하기 전에, 원자 할로겐 종(즉, F, Cl, Br 등)이 없는 상태에서 전자 빔에 의해 마스크층을 처리한다. 본원의 발명자들은, 원자 할로겐 종이 없는 상태에서 마스크층을 전자 빔에 노출시키면, 마스크층의 표면층이 "경화(cure)"되거나 단단하게 되어, 에칭 공정 중에 마스크층이 LER의 형성에 덜 민감하게 한다. According to another embodiment, the mask layer is treated with an electron beam in the absence of atomic halogen species (ie, F, Cl, Br, etc.) prior to performing the etching process. The inventors of the present application, exposing the mask layer to an electron beam in the absence of atomic halogen species make the surface layer of the mask layer "cure" or hard, making the mask layer less sensitive to the formation of LER during the etching process. .

마스크층을 전자 빔에 노출시키는 노출 과정을 도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템에서 실행할 수도 있고, 그러한 노출 과정을, 에칭 공정이 내부에 실행되는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 실행할 수도 있다. 예컨대, 전자 빔 소스가 (에칭 공정용의) 플라즈마 처리 시스템 또는 다른 기판 처리 시스템에 결합될 수 있고, 마스크층을 처리하는 전자 빔을 발생시키도록 구성될 수 있다. The exposure process of exposing the mask layer to the electron beam may be performed in a plasma processing system in which an etching process is performed inside, such as the plasma processing system shown in FIG. 1, and such exposure process may be performed in a plasma process in which the etching process is performed inside. It may be executed in a substrate processing system other than the system. For example, an electron beam source can be coupled to a plasma processing system (for an etching process) or other substrate processing system and can be configured to generate an electron beam for processing a mask layer.

대안으로, 예컨대, (도 1과, 이하의 도 2 내지 도 7에서 설명하는 바와 같은) 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하여 플라즈마를 형성함으로써 플라즈마 처리 시스템 내에 전자 빔을 발생시킬 수 있다. 도 1을 참조하면, 고주파(RF) 파워와 같은 교류(AC) 파워를 제1 전극(120), 또는 제2 전극(172), 또는 이들 양자에 결합함으로써 프리 에칭용 플라즈마를 형성할 수 있고, DC 파워 를 제2 전극(172)에 결합함으로써 프리 에칭용 전자 빔을 형성할 수 있다. Alternatively, electron beams may be generated within the plasma processing system, for example, by forming a plasma by combining direct current (DC) power to an electrode in the plasma processing system (as described in FIGS. 1 and 2 to 7 below). Can be. Referring to FIG. 1, the plasma for pre-etching may be formed by combining alternating current (AC) power, such as high frequency (RF) power, with the first electrode 120, the second electrode 172, or both. By coupling the DC power to the second electrode 172, an electron beam for preetching may be formed.

프리 에칭용 전자 빔을 이용하여, 에칭 공정 이전에 마스크층의 표면층을 처리할 수 있다. 처리 깊이는 약 1 ㎚ 내지 약 100 ㎚, 바람직하게는 약 5 ㎚ 내지 약 50 ㎚, 예컨대 10 ㎚일 수 있다. 이들 관통 범위는, 약 500 eV 내지 약 1.5 keV 범위의 전자 빔 에너지를 이용하여 얻을 수 있는 것이다. 프리 에칭용 전자 빔 에너지는 약 1.5 keV에 이를 수 있고, 바람직하게는 약 200 eV 내지 약 1.5 keV의 범위, 예컨대 500 eV일 수 있다. 프리 에칭용 전자 빔에 대한 노출은 약 1014 전자/㎠ 내지 약 1016 전자/㎠ 범위의 선량(線量)을 생성하도록 선택될 수 있다. The pre-etched electron beam may be used to treat the surface layer of the mask layer prior to the etching process. The treatment depth may be about 1 nm to about 100 nm, preferably about 5 nm to about 50 nm, such as 10 nm. These penetration ranges are obtainable using electron beam energy in the range of about 500 eV to about 1.5 keV. The electron beam energy for pre-etching can reach about 1.5 keV and preferably range from about 200 eV to about 1.5 keV, such as 500 eV. The exposure to the pre-etched electron beam may be selected to produce a dose in the range of about 10 14 electrons / cm 2 to about 10 16 electrons / cm 2.

일례로서, 도 1의 플라즈마 처리 시스템 내에서 프리 에칭용 전자 빔을 형성한다. 공정 조건으로는, 약 100 sccm 내지 약 300 sccm의 희가스의 유량; 약 20 mTorr 내지 약 100 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1000 W; 상부 전극에 공급되는 DC 전압이 약 -500 V 내지 약 -1000 V; 그리고 약 10초의 처리 시간으로 한다. As an example, an electron beam for pre-etching is formed in the plasma processing system of FIG. Process conditions include a flow rate of rare gas of about 100 sccm to about 300 sccm; A chamber pressure of about 20 mTorr to about 100 mTorr; Little or no RF bias power is supplied to the bottom electrode (on which the substrate is placed); RF power supplied to the upper electrode (or induction coil) is about 500 W to about 1000 W; A DC voltage supplied to the upper electrode is about -500 V to about -1000 V; The processing time is about 10 seconds.

희가스(예컨대, He, Ne, Ar, Xe, Kr)와 같은 불활성 가스를 이용하여 프리 에칭용 플라즈마를 형성할 수 있다. 또한, 프리 에칭용 플라즈마는 CHF3를 더 포함할 수 있다. 플라즈마의 존재 하에서, CHF3의 해리는 CF2(예컨대, 폴리머 형성 라디칼) 및 (이온 결합된) HF의 집단을 생성하는 경향이 있다. 폴리머 형성 라디칼 은 전술한 바와 같은 희생층을 제공함으로써 마스크층의 처리에 유리할 수 있다. 그러나 전술한 LER 문제를 줄이면서 마스크층을 처리하기 위하여 플라즈마의 존재 하에서 원자 할로겐 종이 존재하지 않도록 (불활성 플라즈마 형성 가스에 첨가되는) 첨가 가스를 선택하는 것이 중요하다. An inert gas such as a rare gas (eg, He, Ne, Ar, Xe, Kr) may be used to form a plasma for pre-etching. In addition, the plasma for pre-etching may further include CHF 3 . In the presence of plasma, dissociation of CHF 3 tends to produce a population of CF 2 (eg, polymer forming radicals) and (ion bonded) HF. The polymer forming radical may be advantageous for the treatment of the mask layer by providing a sacrificial layer as described above. However, it is important to select an additive gas (added to the inert plasma forming gas) such that atomic halogen species are not present in the presence of the plasma in order to treat the mask layer while reducing the LER problem described above.

소정의 시간 주기, 예컨대 약 10초 동안 프리 에칭용 전자 빔 및 프리 에칭용 플라즈마에 의해 마스크층을 처리할 수 있다. 또한, 프리 에칭용 전자 빔에 의한 처리를 약 1초 내지 30초, 바람직하게는 약 2초 내지 20초, 예컨대 약 10초 동안 실행한다. 이러한 처리 후에, 에칭용 가스를 이용하여 에칭용 플라즈마를 형성할 수 있고, 에칭용 전자 빔을 형성할 수 있으며, 에칭용 전자 빔 및 에칭용 플라즈마에 처리된 마스크층을 갖는 기판을 노출시킴으로써 에칭 공정을 진행할 수 있다. 프리 에칭용 전자 빔 에너지는 에칭용 전자 빔 에너지와 대략 균등하게 선택될 수도 있고, 대안으로, 프리 에칭용 전자 빔 에너지는 에칭용 전자 빔 에너지보다 작게 선택될 수도 있다. 예컨대, 프리 에칭용 전자 빔 에너지는 약 500 eV일 수 있는 반면에, 에칭용 전자 빔 에너지는 약 1500 eV일 수 있다. 전자 빔 에너지[또는 도 1의 제2 전극(172)에 인가되는 전압]는 프리 에칭 처리 중에 단계적으로 증가할 수도 있고, 이 전자 빔 에너지는 프리 에칭 처리 중에 기울기를 가질 수도 있다. 또한, 전자 빔 에너지[또는 도 1의 제2 전극(172)에 인가되는 전압]는 펄스 형태일 수도 있다. 예컨대, 제2 전극(172)에 인가되는 전압은 약 0 V 내지 약 -1500 V 사이에서, 바람직하게는 약 -100 V 내지 약 -1500 V 사이에서, 더 바람직하게는 약 -500 V 내지 약 -1500 V 사이에서 펄스 형태일 수 있다. The mask layer may be treated by a pre-etch electron beam and a pre-etch plasma for a predetermined time period, such as about 10 seconds. Further, the treatment with the electron beam for preetching is performed for about 1 second to 30 seconds, preferably about 2 seconds to 20 seconds, such as about 10 seconds. After such treatment, the etching gas can be formed using the etching gas, the etching electron beam can be formed, and the etching process is performed by exposing the substrate having the treated mask layer to the etching electron beam and the etching plasma. You can proceed. The electron beam energy for pre-etching may be selected approximately equal to the electron beam energy for etching, and alternatively, the electron beam energy for pre-etching may be selected smaller than the electron beam energy for etching. For example, the electron beam energy for pre-etching may be about 500 eV, while the electron beam energy for etching may be about 1500 eV. The electron beam energy (or the voltage applied to the second electrode 172 of FIG. 1) may increase step by step during the preetch process, and the electron beam energy may have a slope during the preetch process. In addition, the electron beam energy (or the voltage applied to the second electrode 172 of FIG. 1) may be in the form of a pulse. For example, the voltage applied to the second electrode 172 is between about 0 V and about -1500 V, preferably between about -100 V and about -1500 V, more preferably between about -500 V and about- It may be in the form of a pulse between 1500 V.

산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마를 이용한 마스크층의 처리에 선행하여, 마스크층을 프리 에칭용 전자 빔으로 처리할 수도 있다. 또한, 마스크층 상에 보호층을 형성하는 것에 선행하여, 마스크층을 프리 에칭용 전자 빔으로 처리할 수도 있다. 예컨대, 프리 에칭용 전자 빔은, 보호층의 형성 중의 폴리머 성장을 위하여 마스크층의 표면을 준비할 수도 있다.  Prior to the treatment of the mask layer using an oxygen-containing plasma, a halogen-containing plasma, or a rare gas plasma, the mask layer may be treated with an electron beam for preetching. Further, prior to forming the protective layer on the mask layer, the mask layer may be treated with an electron beam for preetching. For example, the electron beam for preetching may prepare the surface of a mask layer for polymer growth during formation of a protective layer.

이들 실시예는, 이하에서 나타내는 바와 같이, 임의의 타입의 플라즈마 처리 시스템으로 구현될 수 있다. These embodiments may be implemented with any type of plasma processing system, as shown below.

이제 도 2를 참조하면, 탄도 전자 빔에 의해 강화된 플라즈마를 이용하여 기부의 층을 에칭하기 이전에 마스크층을 처리하도록 구성된, 일 실시예에 따른 플라즈마 처리 시스템이 도시되어 있다. 플라즈마 처리 시스템(1)은 플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버(8)와, 플라즈마 처리 챔버(8)에 결합되고 기판(3)을 지지하도록 구성된 기판 홀더(2)와, 플라즈마 처리 챔버(8)에 결합되고 플라즈마와 접촉하도록 구성된 전극(9)을 포함한다. 또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되고 적어도 하나의 AC 신호를 기판 홀더(2), 또는 전극(9), 또는 이들 양쪽에 결합하여 플라즈마를 형성하도록 구성된 AC 파워 시스템(4)과, 플라즈마 처리 챔버(8)에 결합되고 DC 전압을 전극(9)에 결합하여 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템(5)을 구비한다.Referring now to FIG. 2, shown is a plasma processing system according to one embodiment, configured to process a mask layer prior to etching the layer of the base using plasma enhanced by a ballistic electron beam. The plasma processing system 1 includes a plasma processing chamber 8 configured to facilitate the formation of a plasma, a substrate holder 2 coupled to the plasma processing chamber 8 and configured to support the substrate 3, and a plasma processing chamber. An electrode 9 coupled to 8 and configured to be in contact with the plasma. In addition, the plasma processing system 1 is AC power coupled to the plasma processing chamber 8 and configured to couple at least one AC signal to the substrate holder 2, the electrode 9, or both to form a plasma. A system 4 and a DC power system 5 coupled to the plasma processing chamber 8 and configured to couple a DC voltage to the electrode 9 to form a ballistic electron beam through the plasma.

또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되고 전술한 실시예에서 설명한 가스 중 임의의 가스를 도입하도록 구성된 공정 가스 분배 시스템(6)을 포함한다. 또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되어 이 플라즈마 처리 챔버로부터 가스를 배기시키도록 구성된 진공 펌핑 시스템(도시 생략)을 포함한다. The plasma processing system 1 also includes a process gas distribution system 6 coupled to the plasma processing chamber 8 and configured to introduce any of the gases described in the foregoing embodiments. The plasma processing system 1 also includes a vacuum pumping system (not shown) coupled to the plasma processing chamber 8 and configured to exhaust gas from the plasma processing chamber.

선택적으로, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8), 기판 홀더(2), AC 파워 시스템(4), DC 파워 시스템(5) 및 공정 가스 분배 시스템(6)에 결합된 컨트롤러(7)를 더 포함하며, 이 컨트롤러는 상기 구성요소 각각과 데이터를 교환하여 플라즈마 처리 챔버(8) 내에서의 기판(3)을 처리하는 공정을 실행시키도록 구성된다. 플라즈마 처리 시스템(1)은 기판(3) 상의 마스크층의 처리, 또는 기판(3)의 에칭 공정, 또는 이들 양자를 용이하게 할 수 있다. Optionally, the plasma processing system 1 includes a controller coupled to the plasma processing chamber 8, the substrate holder 2, the AC power system 4, the DC power system 5, and the process gas distribution system 6. 7), wherein the controller is configured to exchange data with each of the above components to execute a process of processing the substrate 3 in the plasma processing chamber 8. The plasma processing system 1 can facilitate the treatment of the mask layer on the substrate 3, the etching process of the substrate 3, or both.

도 3은 다른 실시예에 따른 플라즈마 처리 시스템을 도시한다. 플라즈마 처리 시스템(1a)은, 플라즈마 처리 챔버(10), 처리 대상의 기판(25)이 부착되는 기판 홀더(20) 및 진공 펌핑 시스템(30)을 포함한다. 기판(25)은 반도체 기판, 웨이퍼, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 기판(25)의 표면에 인접한 처리 영역(15)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 가스의 혼합물을 가스 주입 시스템(도시 생략)을 통하여 도입하고, 공정 압력을 조정한다. 예컨대, 제어 메커니즘(도시 생략)을 사용하여 진공 펌핑 시스템(30)을 조절할 수 있다. 플라즈마를 활용하여 특정의 재료 공정에 특정한 재료를 형성할 수 있고, 및/또는 기판(25)의 노출면으로부터 재료를 제거하는 것을 도울 수 있다. 플라즈마 처리 시스템(1a)은, 200 ㎜ 기판, 300 ㎜ 기판, 또는 보다 대형의 기판과 같이 임의의 사이즈의 기판을 처리하도록 구성될 수 있다. 3 illustrates a plasma processing system according to another embodiment. The plasma processing system 1a includes a plasma processing chamber 10, a substrate holder 20 to which a substrate 25 to be processed is attached, and a vacuum pumping system 30. The substrate 25 may be a semiconductor substrate, a wafer, or a liquid crystal display. The plasma processing chamber 10 may be configured to facilitate the generation of plasma in the processing region 15 adjacent to the surface of the substrate 25. An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted. For example, a control mechanism (not shown) can be used to adjust the vacuum pumping system 30. The plasma may be utilized to form a particular material for a particular material process and / or assist in removing material from the exposed surface of the substrate 25. The plasma processing system 1a may be configured to process substrates of any size, such as 200 mm substrates, 300 mm substrates, or larger substrates.

기판(25)은 정전 클램핑 시스템을 통하여 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 냉각 시에 기판 홀더(20)로부터의 열을 수용하고, 그 열을 열교환기 시스템(도시 생략)에 전달하며, 가열 시에, 열교환기로부터의 열을 유체 흐름에 전달하는 재순환 유체 흐름을 갖는 냉각 시스템 또는 가열 시스템을 더 포함할 수 있다. 또한, 가스는 기판(25)과 기판 홀더(20) 사이의 가스-갭 열전도를 향상시키도록 이면측 가스 시스템을 통하여 기판(25)의 이면측에 공급될 수 있다. 상승된 온도 또는 하강된 온도에서 기판의 온도 제어가 필요할 때에, 그러한 시스템을 사용할 수 있다. 예컨대, 이면측 가스 시스템은 투 존(two-zone) 가스 분배 시스템을 포함할 수 있으며, 여기서 이면측 가스(예컨대, 헬륨)의 압력은 기판(25)의 중심과 가장자리 사이에서 독립적으로 변경될 수 있다. 다른 실시예에서, 저항성 가열 소자, 또는 열전 가열기/냉각기와 같은 가열/냉각 소자를, 플라즈마 처리 시스템(1a) 내의 임의의 다른 구성요소 및 플라즈마 처리 챔버(10)의 챔버 벽 뿐 아니라 기판 홀더(20)에 구비할 수 있다. Substrate 25 may be attached to substrate holder 20 via an electrostatic clamping system. The substrate holder 20 also receives heat from the substrate holder 20 upon cooling, transfers the heat to a heat exchanger system (not shown), and, upon heating, flows heat from the heat exchanger into the fluid flow. It may further comprise a cooling system or a heating system having a recirculating fluid flow to deliver to. In addition, gas may be supplied to the back side of the substrate 25 through the back side gas system to improve the gas-gap thermal conductivity between the substrate 25 and the substrate holder 20. Such systems can be used when temperature control of the substrate is required at elevated or lowered temperatures. For example, the backside gas system may comprise a two-zone gas distribution system wherein the pressure of the backside gas (eg, helium) may be changed independently between the center and the edge of the substrate 25. have. In another embodiment, a heating / cooling element, such as a resistive heating element, or a thermoelectric heater / cooler, may be applied to the substrate holder 20 as well as to any other components in the plasma processing system 1a and the chamber walls of the plasma processing chamber 10. ) Can be provided.

도 3에 도시된 실시예에서, 기판 홀더(20)는, RF 파워를 처리 공간(15) 내의 처리 플라즈마에 결합하는 전극을 포함할 수 있다. 예컨대, 기판 홀더(20)는, RF 파워가 RF 발생기(40)로부터 선택적 임피던스 매치 네트워크(42)를 통하여 기판 홀더(20)에 전달됨으로써 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 생성하고 유지하도록 전자를 가열하는 작용, 또는 시스(sheath) 내의 이온 에너지 분포 기능에 영향을 끼치는 작용, 또는 이들 양 작용을 모두 수행 할 수 있다. 이러한 구조에 있어서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 작용할 수 있고, 여기서 챔버는 접지면으로서 작용할 수 있다. RF 바이어스를 위한 통상의 주파수는 0.1 ㎒ 내지 100 ㎒의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 널리 알려져 있다. In the embodiment shown in FIG. 3, substrate holder 20 may include an electrode that couples RF power to a processing plasma in processing space 15. For example, the substrate holder 20 may be electrically biased with the RF voltage by transferring RF power from the RF generator 40 to the substrate holder 20 via an optional impedance match network 42. RF bias can perform the action of heating electrons to generate and maintain a plasma, or affect the ion energy distribution function in the sheath, or both. In this structure, the system can act as a reactive ion etching (RIE) reactor, where the chamber can act as a ground plane. Typical frequencies for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art.

또한, 기판 홀더(20)에 결합된 RF 파워의 진폭은, 기판(25)에 대한 전자 빔 플럭스의 공간 분포의 변화에 영향을 끼치도록 변조될 수 있다. 추가의 상세 사항은, 2006년 7월 31일자로 출원되고 발명의 명칭이 "Method and system for controlling the uniformity of a ballistic electron beam by RF modulation"인, 공동 계류 중의 미국 특허 출원 제11/XXX,XXX호로부터 알 수 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참조로 인용된다. In addition, the amplitude of the RF power coupled to the substrate holder 20 may be modulated to affect a change in the spatial distribution of the electron beam flux with respect to the substrate 25. Further details are disclosed in co-pending US patent application Ser. No. 11 / XXX, XXX, filed Jul. 31, 2006 and entitled "Method and system for controlling the uniformity of a ballistic electron beam by RF modulation". And the entire contents of this patent application are incorporated herein by reference in their entirety.

또한, 임피던스 매치 네트워크(42)는, 반사 파워를 줄임으로써 플라즈마 처리 챔버(10) 내의 플라즈마로의 RF 파워의 전달을 개선시키는 작용을 한다. 매치 네트워크 토폴로지(예컨대, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 널리 알려져 있다. In addition, the impedance match network 42 serves to improve the transfer of RF power to the plasma in the plasma processing chamber 10 by reducing the reflected power. Match network topologies (eg, L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.

여전히 도 3을 참조하면, 플라즈마 처리 시스템(1a)은 기판(25)에 대향하는 상부 전극(52)에 결합된 직류(DC) 파워 서플라이(50)를 더 포함한다. 상부 전극은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 파워 서플라이는 가변 DC 파워 서플라이를 포함할 수 있다. 또한, DC 파워 서플라이는 양극(bipolar) DC 파워 서플라이를 포함할 수 있다. DC 파워 서플라이(50)는, DC 파워 서플라이(50) 의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하는 기능, 조정하는 기능 또는 제어하는 기능 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 파워 서플라이(50)는 탄도 전자빔의 형성을 용이하게 한다. 전기 필터를 활용하여 DC 파워 서플라이(50)로부터 RF 파워를 결합 해제시킬 수 있다. Still referring to FIG. 3, the plasma processing system 1a further includes a direct current (DC) power supply 50 coupled to the upper electrode 52 opposite the substrate 25. The upper electrode may comprise an electrode plate. The electrode plate may comprise a silicon-containing electrode plate. In addition, the electrode plate may include a doped silicon electrode plate. The DC power supply may include a variable DC power supply. In addition, the DC power supply may comprise a bipolar DC power supply. The DC power supply 50 may further include a system configured to perform at least one of the function of monitoring, adjusting, or controlling the polarity, current, voltage, or on / off state of the DC power supply 50. have. Once the plasma is formed, the DC power supply 50 facilitates the formation of a ballistic electron beam. An electrical filter may be utilized to decouple the RF power from the DC power supply 50.

예컨대, DC 파워 서플라이(50)에 의해 전극(52)에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는다. 또한, DC 전압이 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은, 상부 전극(52)의 표면에서 생성된 셀프 바이어스 전압보다 높은 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(20)와 마주하는 상부 전극(52)의 표면은 실리콘 함유 재료로 이루어질 수 있다. For example, the DC voltage applied to the electrode 52 by the DC power supply 50 may range from about -2000 volts (V) to about 1000 volts. Preferably, the absolute value of the DC voltage has a value of about 100 V or more, and more preferably the absolute value of the DC voltage has a value of about 500 V or more. It is also desirable that the DC voltage has a negative polarity. In addition, the DC voltage is preferably a negative voltage having an absolute value higher than the self-bias voltage generated on the surface of the upper electrode 52. The surface of the upper electrode 52 facing the substrate holder 20 may be made of a silicon containing material.

진공 펌핑 시스템(30)은, 5000 리터/초(그 이상)에 이르는 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP : Turbo Molecular vacuum Pump)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 통상의 플라즈마 처리 장치에서는, 1000 리터/초 내지 3000 리터/초의 TMP를 채용할 수 있다. TMP는 통상적으로 50 mTorr 미만의 저압력 처리에 사용될 수 있다. 고압력 처리(즉, 1000 mTorr 초과)의 경우, 기계식 부스터 펌프 및 건식 러핑 펌프(roughing pump)를 이용할 수 있다. 또한, 챔버 압력을 모니터링하는 장치(도시 생략)가 플라즈마 처리 챔버(10)에 결합될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 매사추세츠주 앤도버 소재)에서 시판하는 Type 628B Baratron 절대 정전용량 마노미터(manometer)일 수 있다. The vacuum pumping system 30 may include a Turbo Molecular vacuum Pump (TMP) capable of pumping speeds of up to 5000 liters / second (or more) and a gate valve to regulate chamber pressure. In a conventional plasma processing apparatus used for dry plasma etching, a TMP of 1000 liters / second to 3000 liters / second can be employed. TMP can typically be used for low pressure treatments of less than 50 mTorr. For high pressure treatments (ie greater than 1000 mTorr), mechanical booster pumps and dry roughing pumps can be used. In addition, an apparatus (not shown) for monitoring chamber pressure may be coupled to the plasma processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitive manometer available from MKS Instruments, Inc. (Andover, Mass.).

여전히 도 3을 참조하면, 플라즈마 처리 시스템(1a)은, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 구비하는 컨트롤러(90)를 더 포함하며, 상기 디지털 I/O 포트는, 플라즈마 처리 시스템(1a)과 통신하여, 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터할 뿐 아니라, 처리 시스템(1a)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(90)는, RF 발생기(40), 임피던스 매치 네트워크(42), DC 파워 서플라이(50), 가스 주입 시스템(도시 생략), 진공 펌핑 시스템(30), 그리고 이면측 가스 전달 시스템(도시 생략), 기판/기판 홀더 온도 측정 시스템(도시 생략), 및/또는 정전 클램핑 시스템(도시 생략)에 결합되어, 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은, 박막을 에칭하는 방법을 수행하기 위하여 공정 레시피에 따라 플라즈마 처리 시스템(1a)의 전술한 구성요소로의 입력을 작동시키는데 이용될 수 있다. 컨트롤러(90)의 일례로는, 미국 텍사스주 오스틴에 소재하는 DELL Corporation에서 시판하는 DELL PRECISION WORKSTATION 610TM이 있다. Still referring to FIG. 3, the plasma processing system 1a further includes a controller 90 having a microprocessor, a memory, and a digital I / O port, wherein the digital I / O port is a plasma processing system 1a. In addition to monitoring the output from the plasma processing system 1a, it is possible to generate a control voltage sufficient to actuate an input to the processing system 1a. The controller 90 also includes an RF generator 40, an impedance match network 42, a DC power supply 50, a gas injection system (not shown), a vacuum pumping system 30, and a backside gas delivery system ( Shown), substrate / substrate holder temperature measurement system (not shown), and / or electrostatic clamping system (not shown) to exchange information with them. The program stored in the memory can be used to actuate the inputs to the aforementioned components of the plasma processing system 1a according to the process recipe to perform the method of etching the thin film. An example of the controller 90 is DELL PRECISION WORKSTATION 610 ™, which is commercially available from DELL Corporation, Austin, Texas.

컨트롤러(90)는 플라즈마 처리 시스템(1a)에 대해 근거리에 위치할 수도 있고, 인터넷이나 인트라넷을 통해 플라즈마 처리 시스템(1a)에 대해 원거리에 위치할 수도 있다. 이에 따라, 컨트롤러(90)는 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 이용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(90)는 커스토모 사이트(즉, 디바이스 메이커 등)의 인트라넷에 결합될 수도 있고, 벤더 사이트(즉, 장비 제작자)의 인트라넷에 결합될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(90)에 액세스하여 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 통하여 데이터를 교환할 수 있다. The controller 90 may be located close to the plasma processing system 1a or may be located remote to the plasma processing system 1a via the Internet or an intranet. Accordingly, the controller 90 may exchange data with the plasma processing system 1a using at least one of a direct connection, an intranet, or the Internet. The controller 90 may be coupled to an intranet of a customer site (ie, a device manufacturer, etc.) or may be coupled to an intranet of a vendor site (ie, an equipment manufacturer). In addition, other computers (ie, controllers, servers, etc.) may access the controller 90 to exchange data over at least one of a direct connection, an intranet, or the Internet.

도 4에 도시하는 실시예에 있어서, 플라즈마 처리 시스템(1b)은 도 2 또는 도 3의 실시예와 유사할 수 있으며, 플라즈마 밀도를 잠재적으로 상승시키고 및/또는 플라즈마 처리 균일성을 향상시키기 위하여, 도 2를 참고로 하여 설명한 구성요소들에 더하여, 고정식, 또는 기계식, 또는 전기식 회전 자기장 시스템(60)을 더 포함하고 있다. 또한, 컨트롤러(90)는 회전 속도 및 자기장 세기를 조절하기 위하여 자기장 시스템(60)에 결합될 수 있다. 회전식 자기장 시스템의 설계 및 구현은 당업자에게 널리 알려져 있다. In the embodiment shown in FIG. 4, the plasma processing system 1b may be similar to the embodiment of FIG. 2 or 3, in order to potentially increase the plasma density and / or improve the plasma processing uniformity. In addition to the components described with reference to FIG. 2, it further includes a fixed, mechanical, or electrical rotating magnetic field system 60. The controller 90 can also be coupled to the magnetic field system 60 to adjust the rotational speed and the magnetic field strength. Design and implementation of rotating magnetic field systems are well known to those skilled in the art.

도 5에 도시된 실시예에 있어서, 플라즈마 처리 시스템(1c)은 도 2 또는 도 3의 실시예와 유사할 수 있으며, 선택적 임피던스 매치 네트워크(72)를 통하여 RF 파워를 상부 전극(52)에 결합하도록 구성된 RF 발생기(70)를 더 포함할 수 있다. In the embodiment shown in FIG. 5, the plasma processing system 1c may be similar to the embodiment of FIG. 2 or 3, coupling RF power to the upper electrode 52 through an optional impedance match network 72. It may further comprise an RF generator 70 configured to.

상부 전극(52)에 RF 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 또한, 기판 홀더(20)(또는 하부 전극)에 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 예컨대, 상부 전극(52)에 결합된 RF 주파수는 기판 홀더(20)에 결합된 RF 주파수보다 상대적으로 높을 수 있다. 또한, RF 발생기(70)로부터 상부 전극(52)으로의 RF 파워는 진폭 변조될 수도 있고, RF 발생기(40)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 양 RF 파워가 진폭 변조될 수도 있다. 바람직하게는, 보다 높은 RF 주파수의 RF 파워가 진폭 변조된다. 또한, 컨트롤러(90)는 상부 전극(52)에 RF 파워를 인가하는 것을 제어하기 위하여 RF 발생기(70) 및 임피던스 매치 네트워크(72)에 결합되어 있다. 상부 전극의 설계 및 구현은 당업자에게 널리 알려져 있다. Typical frequencies for applying RF power to the upper electrode 52 may range from about 0.1 MHz to about 200 MHz. Also, a typical frequency for applying power to the substrate holder 20 (or lower electrode) may range from about 0.1 MHz to about 100 MHz. For example, the RF frequency coupled to the upper electrode 52 may be relatively higher than the RF frequency coupled to the substrate holder 20. Also, the RF power from the RF generator 70 to the upper electrode 52 may be amplitude modulated, the RF power from the RF generator 40 to the substrate holder 20 may be amplitude modulated, and both RF powers are amplitude modulated. It may be modulated. Preferably, the RF power of the higher RF frequency is amplitude modulated. The controller 90 is also coupled to the RF generator 70 and the impedance match network 72 to control the application of RF power to the upper electrode 52. The design and implementation of the upper electrode is well known to those skilled in the art.

여전히 도 5를 참조하면, DC 파워 서플라이(50)가 상부 전극(52)에 직접 결합될 수도 있고, 임피던스 매치 네트워크(72)의 출력단으로부터 상부 전극(52)까지 연장되는 RF 전달 라인에 결합될 수도 있다. 전기 필터를 활용하여 DC 파워 서플라이(50)로부터 RF 파워를 결합 해제시킬 수 있다. Still referring to FIG. 5, the DC power supply 50 may be coupled directly to the upper electrode 52, or may be coupled to an RF transmission line extending from the output end of the impedance match network 72 to the upper electrode 52. have. An electrical filter may be utilized to decouple the RF power from the DC power supply 50.

도 6에 도시된 실시예에 있어서, 플라즈마 처리 시스템(1d)은 예컨대 도 2, 도 3 및 도 4의 실시예와 유사할 수 있으며, RF 발생기(82)로부터 선택적 임피던스 매치 네트워크(84)를 통해 RF 파워가 결합되는 유도 코일(80)을 더 포함할 수 있다. RF 파워는 유도 코일(80)로부터 절연체 창(도시 생략)을 통해 플라즈마 처리 영역(15)에 유도 결합된다. 유도 코일(80)에 RF 파워를 인가하기 위한 통상의 주파수는 약 10 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 마찬가지로, 기판 홀더(20)(또는 하부 전극)에 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 또한, 슬롯형 패러데이 실드(slotted Faraday shield; 도시 생략)를 채용하여 유도 코일(80)과 플라즈마 사이의 용량 결합을 감소시킬 수 있다. 또한, 컨트롤러(90)는 유도 코일(80)로의 파워 인가를 제어하기 위하여 RF 발생기(82) 및 임피던스 매치 네트워크(84)에 결합되어 있다. 변형예에서, 유도 코일(80)은, TCP(Transformer Coupled Plasma) 반응기에서와 같이 위로부터 플라즈마 처리 영역(15)과 통하는 "스파이럴" 코일 또는 "팬케이크(pancake)" 코일일 수 있다. 유도 결합 플라즈마(ICP : Inductively Coupled Plasma) 소스 또는 TCP 소스의 설계 및 구현은 당업자에게 널리 알려져 있다. In the embodiment shown in FIG. 6, the plasma processing system 1d may be similar to the embodiment of FIGS. 2, 3, and 4, for example, and may be connected from the RF generator 82 via an optional impedance match network 84. It may further include an induction coil 80 to which the RF power is coupled. RF power is inductively coupled from the induction coil 80 to the plasma processing region 15 through an insulator window (not shown). Typical frequencies for applying RF power to induction coil 80 may range from about 10 MHz to about 100 MHz. Likewise, typical frequencies for applying power to the substrate holder 20 (or lower electrode) may range from about 0.1 MHz to about 100 MHz. In addition, a slotted Faraday shield (not shown) may be employed to reduce capacitive coupling between the induction coil 80 and the plasma. The controller 90 is also coupled to the RF generator 82 and the impedance match network 84 to control the application of power to the induction coil 80. In a variant, the induction coil 80 may be a "spiral" coil or a "pancake" coil that communicates with the plasma processing region 15 from above, such as in a Transformer Coupled Plasma (TCP) reactor. The design and implementation of an Inductively Coupled Plasma (ICP) source or TCP source is well known to those skilled in the art.

대안으로, 전자 사이클로트론 공명(ECR: Electron Cyclotron Resonance)을 이용하여 플라즈마를 형성할 수도 있다. 또 다른 실시예에서는, 헬리콘파의 론칭(launching)으로부터 플라즈마가 형성된다. 또 다른 실시예에서는, 전달 표면파로부터 플라즈마가 형성된다. 전술한 각각의 플라즈마 소스는 당업자에게 널리 알려져 있다. Alternatively, plasma may be formed using Electron Cyclotron Resonance (ECR). In another embodiment, a plasma is formed from launching the helicon wave. In yet another embodiment, a plasma is formed from the transfer surface wave. Each plasma source described above is well known to those skilled in the art.

도 7에 도시한 실시예에서, 플라즈마 처리 시스템(1e)은 예컨대 도 3, 도 4 및 도 5의 실시예와 유사할 수 있으며, 다른 선택적 임피던스 매치 네트워크(46)를 통하여 기판 홀더(20)에 RF 파워를 결합하도록 구성된 제2 RF 발생기(44)를 더 포함할 수 있다. 기판 홀더(20)에 RF 파워를 인가하기 위한 통상의 주파수는, 제1 RF 발생기(40), 또는 제2 RF 발생기(44), 또는 이들 모두에 대해 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 제2 RF 발생기(44)의 RF 주파수는 제1 RF 발생기(40)의 RF 주파수보다 상대적으로 높을 수 있다. 또한, 제1 RF 발생기(40)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 제2 RF 발생기(44)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 이들 모두의 RF 파워가 진폭 변조될 수도 있다. 바람직하게는 더 높은 RF 주파수의 RF 파워가 진폭 변조된다. 또한, 컨트롤러(90)는 기판 홀더(20)로의 RF 파워의 인가를 제어하기 위하여 제2 RF 발생기(44) 및 임피던스 매치 네트워크(46)에 결합된다. 기판 홀더를 위한 RF 시스템의 설계 및 구현은 당업자에게 널리 알려져 있다. In the embodiment shown in FIG. 7, the plasma processing system 1e may be similar to the embodiment of FIGS. 3, 4 and 5, for example, and may be connected to the substrate holder 20 via another optional impedance match network 46. It may further comprise a second RF generator 44 configured to couple the RF power. Typical frequencies for applying RF power to the substrate holder 20 may range from about 0.1 MHz to about 200 MHz for the first RF generator 40, the second RF generator 44, or both. have. The RF frequency of the second RF generator 44 may be relatively higher than the RF frequency of the first RF generator 40. In addition, the RF power from the first RF generator 40 to the substrate holder 20 may be amplitude modulated, and the RF power from the second RF generator 44 to the substrate holder 20 may be amplitude modulated, both of which are The RF power of may be amplitude modulated. Preferably the RF power of the higher RF frequency is amplitude modulated. The controller 90 is also coupled to the second RF generator 44 and the impedance match network 46 to control the application of RF power to the substrate holder 20. Design and implementation of RF systems for substrate holders are well known to those skilled in the art.

다음의 설명에서는, 탄도 전자빔을 채용한 플라즈마 처리 시스템을 활용하여 박막을 에칭하는 방법을 제시한다. 예컨대, 플라즈마 처리 시스템은 도 1 내지 도 7에서 설명한 바와 같은 다양한 요소들과, 이들의 조합을 포함할 수 있다. In the following description, a method of etching a thin film using a plasma processing system employing a ballistic electron beam is presented. For example, the plasma processing system may include various elements as described in FIGS. 1-7 and combinations thereof.

도 8은 본 발명의 실시예에 따른 박막 에칭 방법의 흐름도를 도시한다. 과정(500)은, 패턴이 형성되어 있고 기판 상의 박막을 덮고 있는 마스크층을 처리하는 처리 단계 510에서 시작한다. 8 shows a flowchart of a thin film etching method according to an embodiment of the present invention. Process 500 begins at processing step 510 where the pattern is formed and the mask layer covering the thin film on the substrate is processed.

전술한 실시예 중 임의의 것을 이용하여 마스크층을 처리할 수 있다. 예컨대, 마스크층의 처리 단계에는, 마스크층을, 산소 함유 플라즈마 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시키는 것을 포함할 수 있다. 대안으로, 마스크층의 처리 단계에는, 마스크층 상에 보호층을 형성하는 것을 포함할 수 있다. 다른 대안으로, 마스크층의 처리 단계에는, 원자 할로겐 종이 없는 상태에서 마스크층을 전자 빔에 노출시키는 것을 포함할 수 있다. 또 다른 대안으로, 마스크층의 처리 단계에는, 전술한 처리들의 임의의 조합을 포함할 수도 있다. The mask layer can be processed using any of the embodiments described above. For example, the step of treating the mask layer may include exposing the mask layer to an oxygen containing plasma or a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof. Alternatively, processing the mask layer may include forming a protective layer on the mask layer. Alternatively, the processing of the mask layer may include exposing the mask layer to an electron beam in the absence of atomic halogen species. Alternatively, the processing step of the mask layer may include any combination of the aforementioned processes.

단계 520에서는, 활동적인(탄도) 전자 빔에 의해 지원되는 건식 에칭 플라즈마에 상기 마스크층이 처리된 기판을 노출시켜, LER과 같은 비정상 패턴을 줄이면서, 마스크층에 형성된 패턴을 기부의 박막에 전사한다. 플라즈마 처리 시스템에 있어서는, 공정 가스에 파워를 결합시킴으로써 (공정 가스 분자의 이온화 및 해리 를 초래하여) 공정 가스로부터 (공정) 플라즈마가 형성된다. 플라즈마 처리 시스템 내의 전극에 DC 파워를 결합하고 플라즈마를 형성함으로써, 전극에 인가되는 DC 전압의 크기에 의존하는 에너지 레벨을 갖는 활동적인(탄도) 전자 빔이 생성된다.In step 520 , the mask layer-treated substrate is exposed to a dry etch plasma supported by an active (ballistic) electron beam, thereby reducing the abnormal pattern such as LER, while transferring the pattern formed on the mask layer to the base film. do. In the plasma processing system, (process) plasma is formed from the process gas by causing power to be coupled to the process gas (which causes ionization and dissociation of the process gas molecules). By coupling the DC power to the electrode in the plasma processing system and forming the plasma, an active (ballistic) electron beam having an energy level that depends on the magnitude of the DC voltage applied to the electrode is produced.

DC 파워가 플라즈마 처리 시스템에 결합된다. 예컨대, DC 파워 서플라이에 의해 플라즈마 처리 시스템에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는다. 또한, DC 전압은 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은 플라즈마 처리 시스템의 전극 표면에서 생성된 셀프 바이어스 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다. DC power is coupled to the plasma processing system. For example, the DC voltage applied to the plasma processing system by the DC power supply may range from about -2000 volts (V) to about 1000 volts. Preferably, the absolute value of the DC voltage has a value of about 100 V or more, and more preferably the absolute value of the DC voltage has a value of about 500 V or more. In addition, the DC voltage preferably has a negative polarity. In addition, the DC voltage is preferably a negative voltage having an absolute value greater than the self bias voltage generated at the electrode surface of the plasma processing system.

이상, 본 발명의 특정의 예시적인 실시예만을 상세하게 설명하였지만, 당업자는 이러한 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 실시예에 있어서 많은 변형이 가능하다는 것을 쉽게 이해할 수 있다. 따라서 이러한 모든 변형예는 본 발명의 범위 내에 포함되는 것으로 한다. While only specific exemplary embodiments of the invention have been described in detail, those skilled in the art can readily appreciate that many modifications can be made to the embodiments without substantially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (67)

기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film formed on a substrate and patterned with a mask layer thereon, 상기 마스크층을 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 마스크층을 처리하는 처리 단계와, Treating the mask layer by exposing the mask layer to an oxygen-containing plasma, a halogen-containing plasma, or a rare gas plasma, or a combination of two or more thereof; 상기 마스크층을 처리하는 처리 단계에 이어서, 상기 마스크층의 패턴을 상기 박막에 전사하도록 상기 박막을 에칭하는 에칭 단계를 포함하며, A processing step of processing the mask layer, followed by an etching step of etching the thin film to transfer the pattern of the mask layer to the thin film, 상기 에칭 단계는, The etching step, 공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 형성 단계와, A forming step of forming a plasma in the plasma processing system from the process gas; 상기 에칭 단계 중에 상기 플라즈마를 지원하는 전자 빔을 상기 플라즈마 처리 시스템 내에 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와, Coupling a direct current (DC) power to an electrode in the plasma processing system to form an electron beam supporting the plasma in the plasma processing system during the etching step; 상기 기판을 상기 플라즈마 및 상기 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법. And exposing the substrate to the plasma and the electron beam. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, O2, CO, CO2, NO, N2O, 또는 NO2, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The mask layer of claim 1, wherein the processing of the mask layer comprises: forming the mask layer in a plasma formed using O 2 , CO, CO 2 , NO, N 2 O, or NO 2 , or a combination of two or more thereof. Etching step of exposing the light. 제2항에 있어서, 상기 처리 단계는, N2, H2, CN, 불활성 가스, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법. The method of claim 2, wherein the treating step further comprises exposing the mask layer to N 2 , H 2 , CN, inert gas, or a combination of two or more thereof. 제2항에 있어서, 상기 처리 단계는, 상기 마스크층을 할로겐 함유 가스에 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법. 3. The method of claim 2, wherein said treating step further comprises exposing said mask layer to a halogen containing gas. 제1항에 있어서, 상기 마스크 층을 처리하는 상기 처리 단계는, Cl2, Br2, F2, HBr, HCl, HF, C2H4Br2, SiCl4, NF3, SF6, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The method of claim 1, wherein the treating step of treating the mask layer comprises Cl 2 , Br 2 , F 2 , HBr, HCl, HF, C 2 H 4 Br 2 , SiCl 4 , NF 3 , SF 6 , or these And exposing the mask layer to a plasma formed using a combination of two or more of the following. 제5항에 있어서, 상기 처리 단계는, N2, H2, CN, 희가스, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법. The method of claim 5, wherein the treating step further comprises exposing the mask layer to N 2 , H 2 , CN, rare gas, or a combination of two or more thereof. 제5항에 있어서, 상기 처리 단계는, 상기 마스크층을 산소 함유 가스에 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법. 6. The method of claim 5, wherein said treating step further comprises exposing said mask layer to an oxygen containing gas. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 기판 홀더, 이들의 2 이상의 조합에 AC 파워를 결합함으로써 상기 플라즈마 처리 시스템 내에 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The plasma processing system of claim 1, wherein the processing step of processing the mask layer is performed by coupling AC power to the electrode or another electrode other than the electrode, or a substrate holder, a combination of two or more thereof. And exposing the mask layer. 제8항에 있어서, 상기 마스크 층을 처리하는 상기 처리 단계는, 500 W 이하의 파워 레벨을 이용하여 형성된 저파워 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. 9. The method of claim 8, wherein said processing step of processing said mask layer comprises exposing said mask layer to a low power plasma formed using a power level of 500 W or less. 제1항에 있어서, 상기 처리 단계는, 상기 플라즈마 처리 시스템에 결합된 원격 플라즈마 소스에 형성된 플라즈마에 상기 마스크 층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The method of claim 1, wherein the processing step comprises exposing the mask layer to a plasma formed in a remote plasma source coupled to the plasma processing system. 제1항에 있어서, DC 파워를 결합하는 상기 결합 단계는 -2000 V 내지 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 2. The method of claim 1, wherein said combining step of combining DC power comprises combining a DC power in a voltage range of -2000 V to 1000 V. 제1항에 있어서, DC 파워를 결합하는 상기 결합 단계는 음의 극성을 갖는 DC 파워를 결합하는 단계를 포함하고, DC 파워의 절대값은 500 V 이상인 것인 에칭 방법. 2. The method of claim 1, wherein said combining step of combining DC power comprises combining DC power having a negative polarity, wherein an absolute value of the DC power is at least 500V. 제1항에 있어서, 상기 전극에 DC 파워를 결합하는 상기 결합 단계는, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. The etching method according to claim 1, wherein the coupling step of coupling the DC power to the electrode comprises coupling the DC power to an upper electrode opposite to the substrate installed in the substrate holder. 제13항에 있어서, 플라즈마를 형성하는 상기 형성 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 기판 홀더, 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. The method of claim 13, wherein the forming of the plasma comprises coupling a high frequency (RF) power to the electrode or another electrode other than the electrode, or a substrate holder, a combination of two or more thereof. Etching method. 제14항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하고, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법. 15. The method of claim 14, wherein the combining step of combining the RF power comprises: coupling a first RF power of a first RF frequency to the upper electrode and applying a second RF power of a second RF frequency that is less than the first RF frequency. And a bonding step of coupling to the substrate holder. 제14항에 있어서, The method of claim 14, 상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법. And a modulating step of modulating the amplitude of the RF power to adjust the spatial distribution of the electron beam flux relative to the electron beam. 제1항에 있어서, 상기 에칭 단계 이전에, 상기 마스크층을 상기 산소 함유 플라즈마, 또는 상기 할로겐 함유 플라즈마에 노출시키는 것은, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER(line edge roughness)을 감소시키는 것인 에칭 방법. The method of claim 1, wherein exposing the mask layer to the oxygen containing plasma or the halogen containing plasma prior to the etching step reduces line edge roughness (LER) formed in the mask layer during the etching step. Etching method. 제1항에 있어서, 상기 처리 단계는, 패터닝된 마스크 층이 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER에 대한 저항성을 갖도록 하기 위하여 정해진 시간 동안 실행되는 것인 에칭 방법. The method of claim 1, wherein the processing step is performed for a predetermined time period so that the patterned mask layer has resistance to LER formed in the mask layer during the etching step. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, He, Ne, Ar, Xe, Kr 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The method of claim 1, wherein the processing of the mask layer comprises exposing the mask layer to a plasma formed using He, Ne, Ar, Xe, Kr or a combination of two or more thereof. Phosphorus etching method. 제1항에 있어서, The method of claim 1, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시킴으로써 상기 마스크층을 처리하는 상기 처리 단계 이전에, 상기 마스크층을 개질시키기 위하여 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔에 의해 상기 마스크층을 사전 처리하는 사전 처리 단계를 더 포함하는 에칭 방법. Prior to the processing step of treating the mask layer by exposing the mask layer to an oxygen containing plasma, or a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof, a state without atomic halogen species to modify the mask layer. And a pretreatment step of pretreating the mask layer with a pre-etched electron beam. 제1항에 있어서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시킴으로써 상기 마스크층을 처리하는 상기 처리 단계는, 제1 RF 주파수의 제1 RF 파워를 상부 전극에 결합하는 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합하는 단계를 포함하고, 상기 제2 RF 파워는 100 W 이하인 것인 에칭 방법. The method of claim 1, wherein the processing step of treating the mask layer by exposing the mask layer to an oxygen containing plasma, a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof, comprises: a first of a first RF frequency; Coupling RF power to an upper electrode and coupling a second RF power of a second RF frequency less than the first RF frequency to a substrate holder, wherein the second RF power is 100 W or less. Way. 제21항에 있어서, 상기 제2 RF 파워는 제로인 것인 에칭 방법. The method of claim 21, wherein the second RF power is zero. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film formed on a substrate and patterned with a mask layer thereon, 플라즈마 및 탄도 전자 빔을 형성하도록 구성된 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 제공하는 기판 제공 단계와, Providing a substrate on a substrate holder in a plasma processing system configured to form a plasma and a ballistic electron beam; 상기 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 플라즈마 처리 시스템 내에서 상기 마스크층을 처리하는 처리 단계와, Treating the mask layer in the plasma processing system by exposing the mask layer to an oxygen containing plasma, a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof, without forming a ballistic electron beam. Processing steps, 상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계Subsequent to the processing step of processing the mask layer, forming a plasma and a ballistic electron beam in the plasma processing system to etch the thin film and transfer the pattern of the patterned mask layer to the thin film. 를 포함하는 에칭 방법. Etching method comprising a. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하도록 구성된 플라즈마 처리 시스템으로서, A plasma processing system configured to etch a thin film formed on a substrate and having a mask layer patterned thereon, the method comprising: 처리 챔버와, A processing chamber, 상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과, A gas supply system configured to supply gas to the processing chamber; 상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와, A substrate holder coupled to the processing chamber and configured to support the substrate; 상기 처리 챔버의 내부에 설치된 전극과, An electrode provided inside the processing chamber; 상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과, An AC power system coupled to the processing chamber and configured to couple at least one AC signal to the substrate holder or the electrode, or both, to form a plasma within the processing chamber; 상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과, A DC power system coupled to the processing chamber and configured to couple a DC voltage to the electrode to form a ballistic electron beam through the plasma; 상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러A controller configured to control the gas supply system 를 포함하며, / RTI > 상기 AC 파워 시스템과 상기 DC 파워 시스템은, The AC power system and the DC power system, 상기 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 플라즈마 처리 시스템 내에서 상기 마스크층을 처리하는 처리 단계와, Treating the mask layer in the plasma processing system by exposing the mask layer to an oxygen containing plasma, a halogen containing plasma, or a rare gas plasma, or a combination of two or more thereof, without forming a ballistic electron beam. Processing steps, 상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 패터닝된 마스크층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계Subsequent to the processing step of processing the mask layer, forming a plasma and a ballistic electron beam in the plasma processing system to etch the thin film and transfer the pattern of the patterned mask layer to the thin film. 를 실행하는 것인 플라즈마 처리 시스템. Plasma processing system. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film formed on a substrate and patterned with a mask layer thereon, 상기 마스크층을 보호하도록 상기 마스크층 상에 보호층을 형성하는 형성 단계와, Forming a protective layer on the mask layer to protect the mask layer; 상기 보호층을 형성하는 상기 형성 단계에 이어서, 상기 박막에 상기 마스크층의 패턴을 전사하도록 상기 박막을 에칭하는 에칭 단계An etching step of etching the thin film to transfer the pattern of the mask layer to the thin film following the forming step of forming the protective layer 를 포함하고, Including, 상기 에칭 단계는, The etching step, 공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 단계와, Forming a plasma in the plasma processing system from the process gas; 상기 에칭 단계 중에 상기 플라즈마를 지원하는 전자 빔을 상기 플라즈마 처리 시스템 내에 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와, Coupling a direct current (DC) power to an electrode in the plasma processing system to form an electron beam supporting the plasma in the plasma processing system during the etching step; 상기 플라즈마 및 상기 전자 빔에 상기 기판을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. And exposing the substrate to the plasma and the electron beam. 제25항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 상기 마스크층을 증착 가스 플라즈마에 노출시키는 단계를 포함하는 것인 에칭 방법. 27. The method of claim 25, wherein forming said protective layer comprises exposing said mask layer to a deposition gas plasma. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 하이드로카본 함유 플라즈마 또는 플루오로카본 함유 플라즈마, 또는 하이드로플루오로카본 함유 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. 27. The method of claim 26, wherein the forming of the protective layer comprises exposing the mask layer to a hydrocarbon-containing plasma or a fluorocarbon-containing plasma, or a hydrofluorocarbon-containing plasma, or a combination of two or more thereof. Etching method. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, C6H12, C2F6, CF4, C3F8, C4F8, C5F8, C4F6, CH2F2, CHF3, CH3F, C2HF5, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. The method of claim 26, wherein the forming of the protective layer comprises C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 , C 6 H 12 , C 2 F 6 , CF 4 , C 3 The mask layer in a plasma formed using F 8 , C 4 F 8 , C 5 F 8 , C 4 F 6 , CH 2 F 2 , CHF 3 , CH 3 F, C 2 HF 5 , or a combination of two or more thereof Etching step of exposing the light. 제28항에 있어서, 상기 형성 단계는 H2, O2, CO, CO2, NO, N2O, NO2, N2, CN, 불활성 가스 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법. The method of claim 28, wherein the forming step comprises exposing the mask layer to H 2 , O 2 , CO, CO 2 , NO, N 2 O, NO 2 , N 2 , CN, an inert gas, or a combination of two or more thereof. And further comprising an exposure step. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 상기 마스크층을 알코올에 침지시키는 침지 단계를 포함하는 것인 에칭 방법. 27. The method of claim 26, wherein said forming step of forming said protective layer comprises an immersion step of immersing said mask layer in alcohol. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 상기 마스크층을 에탄올, 메탄올, 또는 이들 양자에 침지시키는 침지 단계를 포함하는 것인 에칭 방법. 27. The method of claim 26, wherein said forming step of forming said protective layer comprises an immersion step of immersing said mask layer in ethanol, methanol, or both. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 기판 홀더, 또는 이들의 2 이상의 조합에 AC 파워를 결합함으로써 상기 플라즈마 처리 시스템 내에 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. 27. The plasma forming system of claim 26, wherein the forming step of forming the protective layer comprises: forming a plasma formed in the plasma processing system by coupling AC power to the electrode or another electrode other than the electrode, the substrate holder, or a combination of two or more thereof. And exposing the mask layer to an exposure step. 제32항에 있어서, 플라즈마에 상기 마스크층을 노출시키는 상기 노출 단계는, 500 W 이상의 파워 레벨을 이용하여 형성된 저파워 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. 33. The method of claim 32, wherein exposing the mask layer to a plasma comprises exposing the mask layer to a low power plasma formed using a power level of at least 500 W. 제26항에 있어서, 상기 플라즈마에 상기 마스크층을 노출시키는 단계는, 상기 플라즈마 처리 시스템에 결합된 원격 플라즈마 소스에서 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법. 27. The method of claim 26, wherein exposing the mask layer to the plasma comprises exposing the mask layer to a plasma formed at a remote plasma source coupled to the plasma processing system. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는, -2000 V 내지 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 27. The method of claim 25, wherein said combining step of combining DC power comprises combining a DC power in a voltage range of -2000 V to 1000 V. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, DC 파워의 절대값은 500 V 이상인 것인 에칭 방법. 27. The method of claim 25, wherein the coupling step of coupling the DC power comprises a coupling step of coupling a DC power having a negative polarity, wherein the absolute value of the DC power is at least 500V. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 단계를 포함하는 것인 에칭 방법. 27. The method of claim 25, wherein said coupling step of coupling the DC power comprises coupling the DC power to an upper electrode opposite the substrate installed in the substrate holder. 제37항에 있어서, 상기 플라즈마를 형성하는 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 38. The method of claim 37, wherein forming the plasma comprises coupling a high frequency (RF) power to the electrode or another electrode other than the electrode, or a substrate holder, or a combination of two or more thereof. Etching method. 제38항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하는 결합 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법. 39. The method of claim 38, wherein the combining step of combining RF power comprises: combining a first RF power of a first RF frequency to the upper electrode, and a second of a second RF frequency less than the first RF frequency. And a coupling step of coupling the RF power to the substrate holder. 제38항에 있어서, 39. The method of claim 38, 상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법. And a modulating step of modulating the amplitude of the RF power to adjust the spatial distribution of the electron beam flux relative to the electron beam. 제25항에 있어서, 상기 에칭 단계 이전에 상기 마스크층 상에 상기 보호층을 형성하는 상기 형성 단계는, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER을 감소시키는 것인 에칭 방법. 27. The method of claim 25, wherein said forming step of forming said protective layer on said mask layer prior to said etching step reduces LER formed in said mask layer during said etching step. 제25항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 제1 RF 주파수의 제1 RF 파워를 상부 전극에 결합하고, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합함으로써 증착용 플라즈마를 형성하는 형성 단계를 포함하고, 상기 제1 RF 파워는 500 W 이상이고, 상기 제2 RF 파워는 100 W 이하인 것인 에칭 방법. 27. The method of claim 25, wherein the forming of the protective layer comprises: coupling a first RF power of a first RF frequency to an upper electrode and receiving a second RF power of a second RF frequency that is less than the first RF frequency. Forming a deposition plasma by coupling to a substrate holder, wherein the first RF power is at least 500 W and the second RF power is at most 100 W. 제42항에 있어서, 상기 제2 RF 파워는 제로인 것인 에칭 방법. 43. The method of claim 42 wherein the second RF power is zero. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film formed on a substrate and patterned with a mask layer thereon, 패터닝된 마스크층 상에 보호층을 형성하는 형성 단계로서, 상기 보호층은 탄도 전자 빔 지원 플라즈마 에칭 공정 중에 상기 마스크층을 보호하도록 정해진 두께를 갖는 것인 형성 단계와, Forming a protective layer on the patterned mask layer, wherein the protective layer has a predetermined thickness to protect the mask layer during a ballistic electron beam assisted plasma etching process; 상기 보호층을 형성하는 상기 형성 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 상기 마스크층의 패턴을 전사하도록 상기 기판에 상기 탄도 전자 빔 지원 플라즈마 에칭 공정을 실행하는 단계Following the forming step of forming the protective layer, performing the ballistic electron beam assisted plasma etching process on the substrate to etch the thin film and transfer the pattern of the mask layer to the thin film. 를 포함하며, / RTI > 상기 정해진 두께의 범위는 1 ㎚ 내지 200 ㎚인 것인 에칭 방법. The predetermined thickness ranges from 1 nm to 200 nm. 제44항에 있어서, 상기 정해진 두께의 범위는 50 ㎚ 내지 100 ㎚인 것인 에칭 방법. 45. The method of claim 44, wherein the predetermined thickness ranges from 50 nm to 100 nm. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하도록 구성된 플라즈마 처리 시스템으로서, A plasma processing system configured to etch a thin film formed on a substrate and having a mask layer patterned thereon, the method comprising: 처리 챔버와, A processing chamber, 상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과, A gas supply system configured to supply gas to the processing chamber; 상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와, A substrate holder coupled to the processing chamber and configured to support the substrate; 상기 처리 챔버의 내부에 설치된 전극과, An electrode provided inside the processing chamber; 상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더, 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과, An AC power system coupled to the processing chamber and configured to couple at least one AC signal to the substrate holder, the electrode, or both to form a plasma within the processing chamber; 상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과, A DC power system coupled to the processing chamber and configured to couple a DC voltage to the electrode to form a ballistic electron beam through the plasma; 상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러A controller configured to control the gas supply system 를 포함하며, / RTI > 상기 AC 파워 시스템과 상기 DC 파워 시스템은, The AC power system and the DC power system, 상기 마스크층을 보호하도록 상기 마스크층 상에 보호층을 형성하는 형성 단계와, Forming a protective layer on the mask layer to protect the mask layer; 상기 보호층을 형성하는 상기 형성 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 실행하는 것인 플라즈마 처리 시스템. Following the forming step of forming the protective layer, performing a forming step of etching the thin film and forming a plasma and a ballistic electron beam in the plasma processing system to transfer a pattern of a patterned mask layer to the thin film. Processing system. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film formed on a substrate and patterned with a mask layer thereon, 상기 마스크층을 개질시키도록 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 처리 단계와,Treating the mask layer with a pre-etched electron beam in the absence of an atomic halogen species to modify the mask layer; 상기 마스크층을 처리하는 상기 처리 단계에 이어서, 마스크 층의 패턴을 상기 박막에 전사하기 위하여 플라즈마 처리 시스템 내에서 상기 박막을 에칭하는 에칭 단계Following the processing step of processing the mask layer, an etching step of etching the thin film in a plasma processing system to transfer the pattern of the mask layer to the thin film. 를 포함하며, / RTI > 상기 에칭 단계는 에칭용 가스로부터 에칭용 플라즈마를 형성하는 단계와, The etching step may include forming an etching plasma from the etching gas; 상기 에칭 단계 중에 상기 에칭용 플라즈마를 지원하는 에칭용 전자 빔을 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와, Coupling a direct current (DC) power to an electrode in the plasma processing system to form an etch electron beam supporting the etch plasma during the etch step; 상기 기판을 상기 에칭용 플라즈마 및 상기 에칭용 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법. And exposing the substrate to the etching plasma and the etching electron beam. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는, 상기 플라즈마 처리 시스템 내에 상기 기판을 배치하는 배치 단계와, 상기 플라즈마 처리 시스템에 결합된 전자 빔 소스를 이용하여 상기 마스크층을 처리하는 처리 단계를 포함하는 것인 에칭 방법. 48. The method of claim 47, wherein the processing step of processing the mask layer by the pre-etched electron beam comprises: placing the substrate in the plasma processing system; and generating an electron beam source coupled to the plasma processing system. And treating the mask layer using the mask. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는, 상기 플라즈마 처리 시스템 이외의 기판 처리 시스템 내에 상기 기판을 배치하는 단계와, 상기 기판 처리 시스템에 결합된 전자 빔 소스를 이용하여 상기 마스크층을 처리하는 처리 단계를 포함하는 것인 에칭 방법. 48. The method of claim 47, wherein processing the mask layer with the pre-etched electron beam comprises: placing the substrate in a substrate processing system other than the plasma processing system, and coupled to the substrate processing system. And treating said mask layer using an electron beam source. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는, 48. The method of claim 47, wherein the processing step of processing the mask layer by the pre-etched electron beam, 상기 플라즈마 처리 시스템 내의 기판 홀더 상에 상기 기판을 배치하는 배치 단계와, Placing the substrate on a substrate holder in the plasma processing system; 프리 에칭용 가스로부터 상기 플라즈마 처리 시스템 내에 프리 에칭용 플라즈마를 형성하는 형성 단계와, A forming step of forming a pre-etch plasma in the plasma processing system from the pre-etch gas; 상기 프리 에칭용 전자 빔을 형성하도록 상기 플라즈마 처리 시스템 내의 상기 전극에 DC 파워를 결합하는 결합 단계와, Coupling a DC power to the electrode in the plasma processing system to form the electron beam for pre-etching; 상기 기판을 상기 프리 에칭용 플라즈마 및 상기 프리 에칭용 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법. And exposing the substrate to the pre-etch plasma and the pre-etch electron beam. 제50항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는 하나 이상의 희가스로부터 상기 프리 에칭용 플라즈마를 형성하는 형성 단계를 포함하는 것인 에칭 방법. 51. The method of claim 50, wherein said forming of said pre-etching plasma comprises forming said pre-etching plasma from one or more rare gases. 제51항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는, 하나 이상의 희가스와 CHF3의 혼합물로부터 상기 프리 에칭용 플라즈마를 형성하는 형성 단계를 포함하는 것인 에칭 방법. 53. The method of claim 51, wherein said forming step of forming the pre-etch plasma includes forming the pre-etch plasma from a mixture of one or more rare gases and CHF 3 . 제50항에 있어서, 상기 프리 에칭용 전자 빔을 형성하는 상기 형성 단계는, 상기 기판 홀더 상의 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 51. The method of claim 50, wherein the forming step of forming the electron beam for pre-etching includes coupling a DC power to an upper electrode opposite the substrate on the substrate holder. 제50항에 있어서, 상기 프리 에칭용 전자 빔을 형성하는 상기 형성 단계는, 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, 상기 DC 파워의 절대값은 500 V 이상인 것인 에칭 방법. 51. The method of claim 50, wherein the forming step of forming the electron beam for preetching includes a coupling step of coupling a DC power having a negative polarity, wherein an absolute value of the DC power is at least 500 V. . 제50항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는, 상기 전극, 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하며, RF 파워의 총 파워 레벨이 500 W 이하인 것인 에칭 방법. 51. The method of claim 50, wherein the forming step of forming the plasma for pre-etching includes coupling a high frequency (RF) power to the electrode, another electrode other than the electrode, the substrate holder, or a combination of two or more thereof. And the total power level of the RF power is 500 W or less. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 것은, -2000 V 내지 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 48. The method of claim 47, wherein forming the etch electron beam comprises a coupling step of coupling a DC power in a voltage range of -2000 V to 1000 V. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 것은, 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, DC 파워의 절대값은 500 V 이상인 것인 에칭 방법. 48. The method of claim 47, wherein forming the etching electron beam comprises a coupling step of coupling a DC power having a negative polarity, wherein the absolute value of the DC power is at least 500V. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 것은, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 48. The method of claim 47, wherein forming the etch electron beam comprises a coupling step of coupling a DC power to an upper electrode opposite the substrate installed in the substrate holder. 제58항에 있어서, 상기 에칭용 플라즈마를 형성하는 것은, 상기 전극, 상기 전극 이외의 다른 전극, 상기 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법. 59. The method of claim 58, wherein forming the etching plasma comprises combining a high frequency (RF) power to the electrode, another electrode other than the electrode, the substrate holder, or a combination of two or more thereof. Phosphorus etching method. 제59항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하는 결합 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 상기 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법. 60. The method of claim 59, wherein the combining step of combining RF power comprises: combining a first RF power of a first RF frequency to the upper electrode, and a second of a second RF frequency that is less than the first RF frequency. And a coupling step of coupling the RF power to the substrate holder. 제59항에 있어서, 60. The method of claim 59, 상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법. And a modulating step of modulating the amplitude of the RF power to adjust the spatial distribution of the electron beam flux relative to the electron beam. 제47항에 있어서, 상기 에칭 단계 이전에 상기 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 상기 처리 단계는, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER을 감소시키는 것인 에칭 방법. 48. The method of claim 47, wherein said processing step of treating said mask layer with said pre-etched electron beam prior to said etching step reduces LER formed in said mask layer during said etching step. 제47항에 있어서, 상기 처리 단계는, 패터닝된 마스크 층이 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER에 대한 저항성을 갖도록 하기 위하여 정해진 시간 동안 실행되는 것인 에칭 방법. 48. The method of claim 47, wherein said processing step is performed for a predetermined time period so that a patterned mask layer is resistant to LER formed in said mask layer during said etching step. 제47항에 있어서, 상기 프리 에칭용 전자 빔의 전자 빔 에너지는 상기 에칭용 전자 빔의 전자 빔 에너지보다 작은 것인 에칭 방법. 48. The method of claim 47, wherein the electron beam energy of the electron beam for preetch is less than the electron beam energy of the electron beam for etching. 제47항에 있어서, 상기 프리 에칭용 전자 빔의 전자 빔 에너지는 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 처리 단계 중에 하나 이상의 스텝으로 증가하거나 기울기를 갖는 것인 에칭 방법. 48. The method of claim 47, wherein the electron beam energy of the pre-etched electron beam has an increase or slope in one or more steps during the processing step of processing the mask layer by the pre-etched electron beam. 탄도 전자 빔을 갖는 플라즈마 처리 시스템을 이용하여 기판 상의 박막을 에칭하는 에칭 방법으로서, An etching method for etching a thin film on a substrate using a plasma processing system having a ballistic electron beam, 상기 박막 상에 정해진 패턴을 갖는 마스크층을 형성하는 형성 단계와, A forming step of forming a mask layer having a predetermined pattern on the thin film; 원자 할로겐 종이 없는 상태에서 제1 전자 빔을 형성하는 형성 단계와, Forming a first electron beam in the absence of an atomic halogen species; 상기 마스크층을 처리하도록 상기 마스크층을 갖는 상기 기판을 상기 제1 전자 빔에 노출시키는 노출 단계와, Exposing the substrate having the mask layer to the first electron beam to treat the mask layer; 에칭용 가스로부터 상기 플라즈마 처리 시스템 내에 에칭용 플라즈마를 형성하는 단계와, Forming an etching plasma in said plasma processing system from an etching gas; 상기 플라즈마 처리 시스템 내에 제2 전자 빔을 형성하는 형성 단계와, Forming a second electron beam in the plasma processing system; 상기 패턴을 상기 박막에 전사하도록 상기 에칭용 플라즈마와 상기 제2 전자 빔에 상기 기판을 노출시키는 노출 단계An exposure step of exposing the substrate to the etching plasma and the second electron beam to transfer the pattern to the thin film 를 포함하는 에칭 방법. Etching method comprising a. 기판 상의 마스크층을 갖는 박막을 에칭하도록 구성된 플라즈마 처리 시스템으로서, A plasma processing system configured to etch a thin film having a mask layer on a substrate, the plasma processing system comprising: 처리 챔버와, A processing chamber, 상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과, A gas supply system configured to supply gas to the processing chamber; 상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와, A substrate holder coupled to the processing chamber and configured to support the substrate; 상기 처리 챔버의 내부에 설치된 전극과, An electrode provided inside the processing chamber; 상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과, An AC power system coupled to the processing chamber and configured to couple at least one AC signal to the substrate holder or the electrode, or both, to form a plasma within the processing chamber; 상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과, A DC power system coupled to the processing chamber and configured to couple a DC voltage to the electrode to form a ballistic electron beam through the plasma; 상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러A controller configured to control the gas supply system 를 포함하며, / RTI > 상기 AC 파워 시스템과 상기 DC 파워 시스템은, The AC power system and the DC power system, 상기 마스크층을 개질시키도록 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 처리 단계와, Treating the mask layer with a pre-etched electron beam in the absence of an atomic halogen species to modify the mask layer; 상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계Subsequent to the processing step of processing the mask layer, forming a plasma and a ballistic electron beam in the plasma processing system to etch the thin film and transfer the pattern of the patterned mask layer to the thin film. 를 실행하는 것인 플라즈마 처리 시스템. Plasma processing system.
KR1020097004272A 2006-08-07 2007-06-05 Etching method and plasma processing system KR101346897B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/499,678 US7449414B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,678 2006-08-07
US11/499,680 US7642193B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,679 US7572386B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,680 2006-08-07
US11/499,679 2006-08-07
PCT/US2007/070375 WO2008021609A1 (en) 2006-08-07 2007-06-05 Method of treating a mask layer prior to performing an etching process

Publications (2)

Publication Number Publication Date
KR20090037495A KR20090037495A (en) 2009-04-15
KR101346897B1 true KR101346897B1 (en) 2014-01-02

Family

ID=39082334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097004272A KR101346897B1 (en) 2006-08-07 2007-06-05 Etching method and plasma processing system

Country Status (4)

Country Link
JP (1) JP5271267B2 (en)
KR (1) KR101346897B1 (en)
TW (2) TWI443743B (en)
WO (1) WO2008021609A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578782B2 (en) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 Plasma processing method and computer-readable storage medium
JP5171683B2 (en) 2009-02-18 2013-03-27 東京エレクトロン株式会社 Plasma processing method
JP5662079B2 (en) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 Etching method
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
CN102543687B (en) * 2011-11-30 2015-08-05 中微半导体设备(上海)有限公司 The lithographic method of the lithographic method of mask layer, etching device and interlayer dielectric layer
JP6243722B2 (en) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 Etching method
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
JP6587580B2 (en) 2016-06-10 2019-10-09 東京エレクトロン株式会社 Etching method
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
JP2023170791A (en) * 2022-05-20 2023-12-01 東京エレクトロン株式会社 Modification method and modification device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2005072518A (en) 2003-08-28 2005-03-17 Hitachi Ltd Manufacturing method of semiconductor device and apparatus thereof
JP2006514783A (en) 2002-10-11 2006-05-11 ラム リサーチ コーポレーション How to improve plasma etching performance
KR20060086865A (en) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 Method for plasma etching a chromium layer suitable for photomask fabrication

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5641234A (en) * 1979-09-10 1981-04-17 Asahi Chem Ind Co Ltd Novel molding dope composition
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
JP4672456B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma processing equipment
JP4672455B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
JP5011782B2 (en) * 2006-03-28 2012-08-29 東京エレクトロン株式会社 Semiconductor device manufacturing method, plasma processing apparatus, and storage medium.
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2006514783A (en) 2002-10-11 2006-05-11 ラム リサーチ コーポレーション How to improve plasma etching performance
JP2005072518A (en) 2003-08-28 2005-03-17 Hitachi Ltd Manufacturing method of semiconductor device and apparatus thereof
KR20060086865A (en) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 Method for plasma etching a chromium layer suitable for photomask fabrication

Also Published As

Publication number Publication date
JP5271267B2 (en) 2013-08-21
TWI443743B (en) 2014-07-01
TW200828432A (en) 2008-07-01
TW201419411A (en) 2014-05-16
KR20090037495A (en) 2009-04-15
JP2010500758A (en) 2010-01-07
TWI445074B (en) 2014-07-11
WO2008021609A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
KR101346897B1 (en) Etching method and plasma processing system
KR101333924B1 (en) Method and system for controlling the uniformity of a ballistic electron beam by rf modulation
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7416677B2 (en) Exhaust assembly for plasma processing system and method
CN107431011B (en) Method for atomic layer etching
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
JP5674375B2 (en) Plasma processing method and plasma processing apparatus
JP5238704B2 (en) Method and system for dry etching hafnium-containing materials
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JP2008244479A (en) Method and system for dry-etching metal nitride
TWI423323B (en) Photoresist stripping chamber and methods of etching photoresist on substrates
KR101414307B1 (en) Method and apparatus for providing mask in semiconductor processing
JP2014512096A (en) Etching process to control pattern critical dimension and integrity of multilayer masks
KR20110018266A (en) Method for patterning an arc layer using sf6 and a hydrocarbon gas
KR20110013265A (en) Low damage method for ashing a substrate using co2/co-based process
KR101540816B1 (en) Plasma etching method, computer storage medium and plasma etching apparatus
KR20190011600A (en) Plasma processing apparatus and method, and method of manufacturing semiconductor device using the same
JP3172340B2 (en) Plasma processing equipment
Pu Plasma Etch Equipment
JP2003234328A (en) Etching method
JP2020177958A (en) Substrate processing method and substrate processing device
JP2003332317A (en) Method and apparatus for exfoliating resist using plasma

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 7