KR102513051B1 - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR102513051B1
KR102513051B1 KR1020160004684A KR20160004684A KR102513051B1 KR 102513051 B1 KR102513051 B1 KR 102513051B1 KR 1020160004684 A KR1020160004684 A KR 1020160004684A KR 20160004684 A KR20160004684 A KR 20160004684A KR 102513051 B1 KR102513051 B1 KR 102513051B1
Authority
KR
South Korea
Prior art keywords
gas
region
processing
processed
plasma
Prior art date
Application number
KR1020160004684A
Other languages
English (en)
Other versions
KR20160088816A (ko
Inventor
히카루 와타나베
아키히로 츠지
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160088816A publication Critical patent/KR20160088816A/ko
Application granted granted Critical
Publication of KR102513051B1 publication Critical patent/KR102513051B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05CBOLTS OR FASTENING DEVICES FOR WINGS, SPECIALLY FOR DOORS OR WINDOWS
    • E05C9/00Arrangements of simultaneously actuated bolts or other securing devices at well-separated positions on the same wing
    • E05C9/18Details of fastening means or of fixed retaining means for the ends of bars
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B15/00Other details of locks; Parts for engagement by bolts of fastening devices
    • E05B15/02Striking-plates; Keepers; Bolt staples; Escutcheons
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05CBOLTS OR FASTENING DEVICES FOR WINGS, SPECIALLY FOR DOORS OR WINDOWS
    • E05C19/00Other devices specially designed for securing wings, e.g. with suction cups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05YINDEXING SCHEME RELATING TO HINGES OR OTHER SUSPENSION DEVICES FOR DOORS, WINDOWS OR WINGS AND DEVICES FOR MOVING WINGS INTO OPEN OR CLOSED POSITION, CHECKS FOR WINGS AND WING FITTINGS NOT OTHERWISE PROVIDED FOR, CONCERNED WITH THE FUNCTIONING OF THE WING
    • E05Y2800/00Details, accessories and auxiliary operations not otherwise provided for
    • E05Y2800/10Additional functions
    • E05Y2800/12Sealing

Abstract

(과제) 개구의 폐색을 방지하면서, 질화실리콘으로 구성된 제 2 영역에 대하여, 산화실리콘으로 구성된 제 1 영역을 에칭한다.
(해결 수단) 일 실시 형태의 방법은, 피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정으로서, 피처리체상에 플루오로카본을 포함하는 퇴적물을 형성하는, 상기 제 1 공정과, 피처리체를 수용한 처리 용기 내에 있어서, 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정과, 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역을 에칭하는 제 3 공정을 포함한다. 이 방법에서는, 제 1 공정, 제 2 공정, 및 제 3 공정을 포함하는 시퀀스가 반복하여 실행된다.

Description

에칭 방법{ETCHING METHOD}
본 발명의 실시 형태는, 에칭 방법에 관한 것이고, 특히, 피처리체에 대한 플라즈마 처리에 의해, 산화실리콘으로 구성된 제 1 영역을, 질화실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 방법에 관한 것이다.
전자 디바이스의 제조에 있어서는, 산화실리콘(SiO2)으로 구성된 영역에 대하여 홀 또는 트렌치라고 하는 개구를 형성하는 처리가 행해지는 일이 있다. 이와 같은 처리에서는, 미국 특허 제 7708859호 명세서에 기재되어 있는 바와 같이, 일반적으로는, 플루오로카본 가스의 플라즈마에 피처리체가 노출되어, 해당 영역이 에칭된다.
또한, 산화실리콘으로 구성된 제 1 영역을, 질화실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 기술이 알려져 있다. 이와 같은 기술의 일례로서는, SAC(Self-Aligned Contact) 기술이 알려져 있다. SAC 기술에 대해서는, 일본 특허 공개 2000-307001호 공보에 기재되어 있다.
SAC 기술의 처리 대상인 피처리체는, 산화실리콘제의 제 1 영역, 질화실리콘제의 제 2 영역, 및 마스크를 갖고 있다. 제 2 영역은, 오목부를 구획하도록 마련되어 있고, 제 1 영역은, 해당 오목부를 메우고, 또한, 제 2 영역을 덮도록 마련되어 있고, 마스크는, 제 1 영역상에 마련되어 있고, 오목부의 위에 개구를 제공하고 있다. 종래의 SAC 기술에서는, 일본 특허 공개 2000-307001호 공보에 기재되어 있는 바와 같이, 제 1 영역의 에칭을 위해, 플루오로카본 가스, 산소 가스, 및 희가스를 포함하는 처리 가스의 플라즈마가 이용된다. 이 처리 가스의 플라즈마에 피처리체를 노출시키는 것에 의해, 마스크의 개구로부터 노출된 부분에 있어서 제 1 영역이 에칭되어 상부 개구가 형성된다. 또한, 처리 가스의 플라즈마에 피처리체가 노출되는 것에 의해, 제 2 영역에 의해 둘러싸인 부분, 즉 오목부 내의 제 1 영역이 자기 정합적으로 에칭된다. 이것에 의해, 상부 개구에 연속하는 하부 개구가 자기 정합적으로 형성된다.
(선행 기술 문헌)
(특허 문헌)
(특허 문헌 1) 미국 특허 제 7708859호 명세서
(특허 문헌 2) 일본 특허 공개 2000-307001호 공보
상술한 종래의 기술에서는, 제 1 영역의 에칭이 진행되면, 플루오로카본에 유래하는 퇴적물에 의해, 마스크의 개구, 및/또는, 제 1 영역의 에칭에 의해 형성된 개구가 좁아져, 경우에 따라서는, 이들의 개구가 폐색될 수 있다. 그 결과, 제 1 영역의 에칭 레이트가 저하하고, 경우에 따라서는, 제 1 영역의 에칭이 정지하는 일이 있다.
따라서, 개구의 폐색을 방지하면서, 질화실리콘으로 구성된 제 2 영역에 대하여, 산화실리콘으로 구성된 제 1 영역을 에칭하는 것이 요구되고 있다.
일 형태에서는, 피처리체에 대한 플라즈마 처리에 의해, 산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 방법이 제공된다. 피처리체는, 오목부를 구획하는 제 2 영역, 그 오목부를 메우고, 또한 제 2 영역을 덮도록 마련된 제 1 영역, 및, 제 1 영역상에 마련된 마스크를 갖는다. 이 방법은, (a) 피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정으로서, 피처리체상에 플루오로카본을 포함하는 퇴적물을 형성하는, 상기 제 1 공정과, (b) 피처리체를 수용한 처리 용기 내에 있어서, 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정과, (c) 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역을 에칭하는 제 3 공정을 포함한다. 이 방법에서는, 제 1 공정, 제 2 공정, 및 제 3 공정을 포함하는 시퀀스가 반복하여 실행된다.
상기 일 형태와 관련되는 방법은, 제 1 공정에 있어서 플루오로카본을 포함하는 퇴적물을 피처리체의 표면상에 형성하고, 제 3 공정에 있어서 해당 퇴적물 중의 플루오로카본의 라디칼에 의해 제 1 영역을 에칭하는 것이고, 이와 같은 제 1 공정 및 제 3 공정을 포함하는 시퀀스를 반복하여 실행하는 것이다. 그리고, 이 방법은, 제 2 공정을 실행하는 것에 의해, 산소의 활성종을 이용하여 퇴적물의 양을 적당히 감소시킨다. 따라서, 마스크의 개구, 및 제 1 영역의 에칭에 의해 형성되는 개구의 폐색을 방지하는 것이 가능하게 된다. 또한, 이 방법에서는, 처리 가스에 있어서 산소 함유 가스가 불활성 가스에 의해 희석되고 있으므로, 퇴적물이 과잉 제거되는 것을 억제할 수 있다.
일 실시 형태에서는, 마스크는, 유기 재료로 구성되어 있고, 마스크상에는, 실리콘 함유 반사 방지막이 마련되어 있다. 이 실시 형태의 방법은, (d) 처리 용기 내에 있어서, 플루오로카본을 포함하는 처리 가스의 플라즈마를 생성하는 제 4 공정으로서, 제 2 영역이 노출되기 직전까지 제 1 영역을 에칭하는, 상기 제 4 공정과, (e) 처리 용기 내에 있어서 산소 함유 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 5 공정을 더 포함한다. 상기 시퀀스는, 제 4 공정 및 제 5 공정의 실행 후에 실행된다. 이 실시 형태에서는, 제 4 공정에 있어서, 제 1 영역의 에칭과 동시에 실리콘 함유 반사 방지막이 제거된다. 그리고, 제 5 공정에 있어서 생성되는 산소의 활성종에 의해, 마스크의 개구의 폭이 넓혀진다. 이것에 의해, 개구를 구획하는 마스크의 면에 퇴적물이 부착되더라도, 개구의 폭의 축소량을 저감시키는 것이 가능하게 된다.
일 실시 형태에서는, 1회의 제 2 공정은 2초 이상 실행되고, 또한, 제 2 공정에 있어서 퇴적물이 1㎚/초 이하의 레이트로 에칭되더라도 좋다. 플라즈마 처리 장치를 이용하여 상기 시퀀스를 실행하려면, 제 1~제 3 공정의 각 공정 사이의 천이를 위한 가스의 전환에 시간을 필요로 한다. 따라서, 제 2 공정은 2초 이상 실행될 필요가 있지만, 이와 같은 시간 길이의 제 2 공정의 에칭의 레이트가 너무 높으면, 제 2 영역을 보호하기 위한 퇴적물이 과잉 제거될 수 있다. 제 2 공정에 있어서 1㎚/초 이하의 레이트로 퇴적물이 에칭되는 것에 의해, 피처리체상에 형성되어 있는 퇴적물의 양을 적당히 조정하는 것이 가능하게 된다.
일 실시 형태의 시퀀스에서는, 제 1 공정과 제 3 공정의 사이에 제 2 공정이 실행되고, 그 시퀀스는, 피처리체를 수용한 처리 용기 내에 있어서, 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 다른 공정을 더 포함하고 있더라도 좋다. 제 3 공정의 실행시에는, 피처리체상에 부착되어 있던 퇴적물을 구성하는 물질이 방출되고, 해당 물질이 피처리체에 재차 부착되어, 마스크의 개구, 및 제 1 영역의 에칭에 의해 형성되는 개구의 폭을 좁히도록 퇴적물을 형성하고, 경우에 따라서는, 해당 퇴적물이 이들 개구를 폐색시키는 일도 있다. 이 실시 형태에 의하면, 제 3 공정의 실행 후에, 피처리체가 산소의 활성종에 노출되므로, 개구의 폭을 좁히는 퇴적물을 감소시킬 수 있고, 개구의 폐색을 보다 확실히 방지하는 것이 가능하게 된다.
이상 설명한 바와 같이, 개구의 폐색을 방지하면서, 질화실리콘으로 구성된 제 2 영역에 대하여, 산화실리콘으로 구성된 제 1 영역을 에칭하는 것이 가능하게 된다.
도 1은 일 실시 형태와 관련되는 에칭 방법을 나타내는 흐름도이다.
도 2는 일 실시 형태와 관련되는 에칭 방법의 적용 대상인 피처리체를 예시하는 단면도이다.
도 3은 도 1에 나타내는 방법의 실시에 이용하는 것이 가능한 플라즈마 처리 장치의 일례를 개략적으로 나타내는 도면이다.
도 4는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 5는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 6은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 7은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 8은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 9는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 10은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 11은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 12는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 13은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 14는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 15는 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 16은 도 1에 나타내는 방법의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다.
도 17은 다른 실시 형태와 관련되는 에칭 방법을 나타내는 흐름도이다.
도 18은 도 17에 나타내는 방법의 공정 ST14의 실행 후의 피처리체를 나타내는 단면도이다.
도 19는 도 17에 나타내는 방법의 공정 ST14의 실행 후의 피처리체를 나타내는 단면도이다.
이하, 도면을 참조하여 여러 가지의 실시 형태에 대하여 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.
이하, 도면을 참조하여 여러 가지의 실시 형태에 대하여 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은 일 실시 형태와 관련되는 에칭 방법을 나타내는 흐름도이다. 도 1에 나타내는 방법 MT는, 피처리체에 대한 플라즈마 처리에 의해, 산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 방법이다.
도 2는 일 실시 형태와 관련되는 에칭 방법의 적용 대상인 피처리체를 예시하는 단면도이다. 도 2에 나타내는 바와 같이, 피처리체, 즉 웨이퍼 W는, 기판 SB, 제 1 영역 R1, 제 2 영역 R2, 및, 이후에 마스크를 구성하는 유기막 OL을 갖고 있다. 일례에서는, 웨이퍼 W는, 핀형 전계 효과 트랜지스터의 제조 도중에 얻어지는 것이고, 또한, 융기 영역 RA, 실리콘 함유의 반사 방지막 AL, 및, 레지스트 마스크 RM을 갖고 있다.
융기 영역 RA는, 기판 SB로부터 융기하도록 마련되어 있다. 이 융기 영역 RA는, 예컨대, 게이트 영역을 구성할 수 있다. 제 2 영역 R2는, 질화실리콘(Si3N4)으로 구성되어 있고, 융기 영역 RA의 표면, 및, 기판 SB의 표면상에 마련되어 있다. 이 제 2 영역 R2는, 도 2에 나타내는 바와 같이, 오목부를 구획하도록 연장되고 있다. 일례에서는, 오목부의 깊이는, 약 150㎚이고, 오목부의 폭은, 약 20㎚이다.
제 1 영역 R1은, 산화실리콘(SiO2)으로 구성되어 있고, 제 2 영역 R2상에 마련되어 있다. 구체적으로, 제 1 영역 R1은, 제 2 영역 R2에 의해 구획되는 오목부를 메우고, 해당 제 2 영역 R2를 덮도록 마련되어 있다.
유기막 OL은, 제 1 영역 R1상에 마련되어 있다. 유기막 OL은, 유기 재료, 예컨대, 어모퍼스 카본으로 구성될 수 있다. 반사 방지막 AL은, 유기막 OL상에 마련되어 있다. 레지스트 마스크 RM은, 반사 방지막 AL상에 마련되어 있다. 레지스트 마스크 RM은, 제 2 영역 R2에 의해 구획되는 오목부상에 해당 오목부의 폭보다 넓은 폭을 갖는 개구를 제공하고 있다. 레지스트 마스크 RM의 개구의 폭은, 예컨대, 60㎚이다. 이와 같은 레지스트 마스크 RM의 패턴은, 포토리소그래피 기술에 의해 형성된다.
방법 MT에서는, 도 2에 나타내는 웨이퍼 W와 같은 피처리체가 플라즈마 처리 장치 내에 있어서 처리된다. 도 3은 도 1에 나타내는 방법의 실시에 이용하는 것이 가능한 플라즈마 처리 장치의 일례를 개략적으로 나타내는 도면이다. 도 3에 나타내는 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이고, 대략 원통 형상의 처리 용기(12)를 구비하고 있다. 처리 용기(12)의 내벽면은, 예컨대, 양극 산화 처리된 알루미늄으로 구성되어 있다. 이 처리 용기(12)는 보안 접지되어 있다.
처리 용기(12)의 저부상에는, 대략 원통 형상의 지지부(14)가 마련되어 있다. 지지부(14)는, 예컨대, 절연 재료로 구성되어 있다. 지지부(14)는, 처리 용기(12) 내에 있어서, 처리 용기(12)의 저부로부터 연직 방향으로 연장되고 있다. 또한, 처리 용기(12) 내에는, 탑재대 PD가 마련되어 있다. 탑재대 PD는, 지지부(14)에 의해 지지되고 있다.
탑재대 PD는, 그 상면에 있어서 웨이퍼 W를 유지한다. 탑재대 PD는, 하부 전극 LE 및 정전 척 ESC를 갖고 있다. 하부 전극 LE는, 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함하고 있다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예컨대 알루미늄이라고 하는 금속으로 구성되어 있고, 대략 원반 형상을 이루고 있다. 제 2 플레이트(18b)는, 제 1 플레이트(18a)상에 마련되어 있고, 제 1 플레이트(18a)에 전기적으로 접속되어 있다.
제 2 플레이트(18b)상에는, 정전 척 ESC가 마련되어 있다. 정전 척 ESC는, 도전막인 전극을 한 쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있다. 정전 척 ESC의 전극에는, 직류 전원(22)이 스위치(23)를 거쳐서 전기적으로 접속되어 있다. 이 정전 척 ESC는, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 웨이퍼 W를 흡착한다. 이것에 의해, 정전 척 ESC는, 웨이퍼 W를 유지할 수 있다.
제 2 플레이트(18b)의 주연부상에는, 웨이퍼 W의 에지 및 정전 척 ESC를 둘러싸도록 포커스 링 FR이 배치되어 있다. 포커스 링 FR은, 에칭의 균일성을 향상시키기 위해 마련되어 있다. 포커스 링 FR은, 에칭 대상의 막의 재료에 의해 적절하게 선택되는 재료로 구성되어 있고, 예컨대, 석영으로 구성될 수 있다.
제 2 플레이트(18b)의 내부에는, 냉매 유로(24)가 마련되어 있다. 냉매 유로(24)는, 온도 조절 기구를 구성하고 있다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 마련된 칠러 유닛으로부터 배관(26a)을 거쳐서 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는, 배관(26b)을 거쳐서 칠러 유닛에 되돌려진다. 이와 같이, 냉매 유로(24)와 칠러 유닛의 사이에서는, 냉매가 순환된다. 이 냉매의 온도를 제어하는 것에 의해, 정전 척 ESC에 의해 지지된 웨이퍼 W의 온도가 제어된다.
또한, 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전 척 ESC의 상면과 웨이퍼 W의 이면의 사이에 공급한다.
또한, 플라즈마 처리 장치(10)는, 상부 전극(30)을 구비하고 있다. 상부 전극(30)은, 탑재대 PD의 위쪽에 있어서, 해당 탑재대 PD와 대향 배치되어 있다. 하부 전극 LE와 상부 전극(30)은, 서로 대략 평행하게 마련되어 있다. 상부 전극(30)과 하부 전극 LE의 사이에는, 웨이퍼 W에 플라즈마 처리를 행하기 위한 처리 공간 S가 제공되고 있다.
상부 전극(30)은, 절연성 차폐 부재(32)를 사이에 두고, 처리 용기(12)의 상부에 지지되고 있다. 일 실시 형태에서는, 상부 전극(30)은, 탑재대 PD의 상면, 즉, 웨이퍼 탑재면으로부터의 연직 방향에 있어서의 거리가 가변이도록 구성될 수 있다. 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간 S에 면하고 있고, 해당 전극판(34)에는 복수의 가스 토출 구멍(34a)이 마련되어 있다. 이 전극판(34)은, 일 실시 형태에서는, 실리콘으로 구성되어 있다.
전극 지지체(36)는, 전극판(34)을 착탈이 자유롭게 지지하는 것이고, 예컨대 알루미늄이라고 하는 도전성 재료로 구성될 수 있다. 이 전극 지지체(36)는, 수랭 구조를 가질 수 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 아래쪽으로 연장되고 있다. 또한, 전극 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있고, 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 거쳐서, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수의 가스 소스를 포함하고 있다. 일례에서는, 가스 소스군(40)은, 하나 이상의 플루오로카본 가스의 소스, 희가스의 소스, 질소 가스(N2 가스)의 소스, 수소 가스(H2 가스)의 소스, 및, 산소 함유 가스의 소스를 포함하고 있다. 하나 이상의 플루오로카본 가스의 소스는, 일례에서는, C4F8 가스의 소스, CF4 가스의 소스, 및, C4F6 가스의 소스를 포함할 수 있다. 희가스의 소스는, He 가스, Ne 가스, Ar 가스, Kr 가스, Xe 가스라고 하는 임의의 희가스의 소스일 수 있고, 일례에서는, Ar 가스의 소스이다. 또한, 산소 함유 가스의 소스는, 일례에서는, 산소 가스(O2 가스)의 소스일 수 있다. 또, 산소 함유 가스는, 산소를 함유하는 임의의 가스이더라도 좋고, 예컨대, CO 가스 또는 CO2 가스라고 하는 산화탄소 가스이더라도 좋다.
밸브군(42)은 복수의 밸브를 포함하고 있고, 유량 제어기군(44)은 매스 플로우 컨트롤러라고 하는 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는 각각, 밸브군(42)의 대응 밸브 및 유량 제어기군(44)의 대응 유량 제어기를 거쳐서, 가스 공급관(38)에 접속되어 있다.
또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라서 퇴적물 실드(46)가 착탈이 자유롭게 마련되어 있다. 퇴적물 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 퇴적물 실드(46)는, 처리 용기(12)에 에칭 부생물(퇴적물)이 부착되는 것을 방지하는 것이고, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다.
처리 용기(12)의 저부측, 또한, 지지부(14)와 처리 용기(12)의 측벽의 사이에는 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다. 이 배기 플레이트(48)의 아래쪽, 또한, 처리 용기(12)에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 거쳐서 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 처리 용기(12) 내의 공간을 소망하는 진공도까지 감압할 수 있다. 또한, 처리 용기(12)의 측벽에는 웨이퍼 W의 반입출구(12g)가 마련되어 있고, 이 반입출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
또한, 플라즈마 처리 장치(10)는, 제 1 고주파 전원(62) 및 제 2 고주파 전원(64)을 더 구비하고 있다. 제 1 고주파 전원(62)은, 플라즈마 생성용의 고주파 전력을 발생시키는 전원이고, 예컨대, 27~100㎒의 주파수의 고주파 전력을 발생시키는 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 상부 전극(30)에 접속되어 있다. 정합기(66)는, 제 1 고주파 전원(62)의 출력 임피던스와 부하측(상부 전극(30)측)의 입력 임피던스를 정합시키기 위한 회로이다. 또, 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 하부 전극 LE에 접속되어 있더라도 좋다.
제 2 고주파 전원(64)은, 웨이퍼 W에 이온을 끌어들이기 위한 고주파 바이어스 전력을 발생시키는 전원이고, 예컨대, 400㎑~13.56㎒의 범위 내의 주파수의 고주파 바이어스 전력을 발생시킨다. 제 2 고주파 전원(64)은, 정합기(68)를 거쳐서 하부 전극 LE에 접속되어 있다. 정합기(68)는, 제 2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극 LE측)의 입력 임피던스를 정합시키기 위한 회로이다.
또한, 플라즈마 처리 장치(10)는, 전원(70)을 더 구비하고 있다. 전원(70)은, 상부 전극(30)에 접속되어 있다. 전원(70)은, 처리 공간 S 내에 존재하는 양이온을 전극판(34)으로 끌어들이기 위한 전압을, 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은, 음의 직류 전압을 발생시키는 직류 전원이다. 다른 일례에 있어서, 전원(70)은, 비교적 저주파의 교류 전압을 발생시키는 교류 전원이더라도 좋다. 전원(70)으로부터 상부 전극에 인가되는 전압은, -150V 이하의 전압일 수 있다. 즉, 전원(70)에 의해 상부 전극(30)에 인가되는 전압은, 절대치가 150 이상인 음의 전압일 수 있다. 이와 같은 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간 S에 존재하는 양이온이, 전극판(34)에 충돌한다. 이것에 의해, 전극판(34)으로부터 2차 전자 및/또는 실리콘이 방출된다. 방출된 실리콘은, 처리 공간 S 내에 존재하는 불소의 활성종과 결합하여, 불소의 활성종의 양을 저감시킨다.
또한, 일 실시 형태에 있어서는, 플라즈마 처리 장치(10)는, 제어부 Cnt를 더 구비할 수 있다. 이 제어부 Cnt는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이고, 플라즈마 처리 장치(10)의 각 부를 제어한다. 이 제어부 Cnt에서는, 입력 장치를 이용하여, 오퍼레이터가 플라즈마 처리 장치(10)를 관리하기 위해 커맨드의 입력 조작 등을 행할 수 있고, 또한, 표시 장치에 의해, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시할 수 있다. 또한, 제어부 Cnt의 기억부에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 프로세서에 의해 제어하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치(10)의 각 부에 처리를 실행시키기 위한 프로그램, 즉, 처리 레시피가 저장된다.
이하, 다시 도 1을 참조하여, 방법 MT에 대하여 상세하게 설명한다. 이하의 설명에서는, 도 2, 도 4~도 16을 적절하게 참조한다. 도 4~도 16은 방법 MT의 실시의 도중 단계에 있어서의 피처리체를 나타내는 단면도이다. 또, 이하의 설명에서는, 방법 MT에 있어서 도 2에 나타내는 웨이퍼 W가 도 3에 나타내는 하나의 플라즈마 처리 장치(10)를 이용하여 처리되는 예에 대하여 설명한다.
우선, 방법 MT에서는, 플라즈마 처리 장치(10) 내에 도 2에 나타내는 웨이퍼 W가 반입되고, 해당 웨이퍼 W가 탑재대 PD상에 탑재되어, 해당 탑재대 PD에 의해 유지된다.
방법 MT에서는, 그 다음에, 공정 ST1이 실행된다. 공정 ST1에서는, 반사 방지막 AL이 에칭된다. 이를 위해, 공정 ST1에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 플루오로카본 가스를 포함한다. 플루오로카본 가스는, 예컨대, C4F8 가스 및 CF4 가스 중 1종 이상을 포함할 수 있다. 또한, 이 처리 가스는, 희가스, 예컨대, Ar 가스를 더 포함할 수 있다. 또한, 공정 ST1에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST1에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 공급되고, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 대하여 공급된다.
이하에, 공정 ST1에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 10mTorr(1.33㎩)~50mTorr(6.65㎩)
ㆍ처리 가스
C4F8 가스 : 10sccm~30sccm
CF4 가스 : 150sccm~300sccm
Ar 가스 : 200sccm~500sccm
ㆍ플라즈마 생성용의 고주파 전력 : 300W~1000W
ㆍ고주파 바이어스 전력 : 200W~500W
공정 ST1에서는, 처리 가스의 플라즈마가 생성되고, 플루오로카본의 활성종에 의해, 레지스트 마스크 RM의 개구로부터 노출되고 있는 부분에 있어서 반사 방지막 AL이 에칭된다. 그 결과, 도 4에 나타내는 바와 같이, 반사 방지막 AL의 전 영역 중, 레지스트 마스크 RM의 개구로부터 노출되고 있는 부분이 제거된다. 즉, 반사 방지막 AL에 레지스트 마스크 RM의 패턴이 전사되고, 반사 방지막 AL에 개구를 제공하는 패턴이 형성된다. 또, 공정 ST1에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
계속되는 공정 ST2에서는, 유기막 OL이 에칭된다. 이를 위해, 공정 ST2에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 수소 가스 및 질소 가스를 포함할 수 있다. 또, 공정 ST2에 있어서 이용되는 처리 가스는, 유기막을 에칭할 수 있는 것이면, 다른 가스, 예컨대, 산소 가스를 포함하는 처리 가스이더라도 좋다. 또한, 공정 ST2에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST2에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 공급되고, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 대하여 공급된다.
이하에, 공정 ST2에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 50mTorr(6.65㎩)~200mTorr(26.6㎩)
ㆍ처리 가스
N2 가스 : 200sccm~400sccm
H2 가스 : 200sccm~400sccm
ㆍ플라즈마 생성용의 고주파 전력 : 500W~2000W
ㆍ고주파 바이어스 전력 : 200W~500W
공정 ST2에서는, 처리 가스의 플라즈마가 생성되고, 반사 방지막 AL의 개구로부터 노출되고 있는 부분에 있어서 유기막 OL이 에칭된다. 또한, 레지스트 마스크 RM도 에칭된다. 그 결과, 도 5에 나타내는 바와 같이, 레지스트 마스크 RM이 제거되어, 유기막 OL의 전 영역 중, 반사 방지막 AL의 개구로부터 노출되고 있는 부분이 제거된다. 즉, 유기막 OL에 반사 방지막 AL의 패턴이 전사되고, 유기막 OL에 개구 MO를 제공하는 패턴이 형성되고, 해당 유기막 OL로부터 마스크 MK가 생성된다. 또, 공정 ST2에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
일 실시 형태에 있어서는, 공정 ST2의 실행 후에 공정 ST3이 실행된다. 공정 ST3에서는, 제 1 영역 R1이, 제 2 영역 R2가 노출되기 직전까지 에칭된다. 즉, 제 2 영역 R2상에 제 1 영역 R1이 약간 남겨질 때까지, 해당 제 1 영역 R1이 에칭된다. 이 때문에, 공정 ST3에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 플루오로카본 가스를 포함한다. 또한, 이 처리 가스는, 희가스, 예컨대, Ar 가스를 더 포함할 수 있다. 또한, 이 처리 가스는, 산소 가스를 더 포함할 수 있다. 또한, 공정 ST3에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST3에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 공급되고, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 대하여 공급된다.
공정 ST3에서는, 처리 가스의 플라즈마가 생성되고, 마스크 MK의 개구로부터 노출되고 있는 부분에 있어서 제 1 영역 R1이, 플루오로카본의 활성종에 의해 에칭된다. 이 공정 ST3의 처리 시간은, 해당 공정 ST3의 종료시에, 제 2 영역 R2상에 제 1 영역 R1이 소정의 막 두께로 남겨지도록 설정된다. 이 공정 ST3의 실행의 결과, 도 6에 나타내는 바와 같이, 상부 개구 UO가 부분적으로 형성된다. 또, 공정 ST3에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
여기서, 후술하는 공정 ST11에서는, 제 1 영역 R1의 에칭보다, 제 1 영역 R1을 포함하는 웨이퍼 W의 표면상으로의 플루오로카본을 포함하는 퇴적물의 형성이 우위가 되는 모드, 즉, 퇴적 모드가 되는 조건이 선택된다. 한편, 공정 ST3에서는, 퇴적물의 형성보다 제 1 영역 R1의 에칭이 우위가 되는 모드, 즉, 에칭 모드가 되는 조건이 선택된다. 이 때문에, 일례에서는, 공정 ST3에 있어서 이용되는 플루오로카본 가스는, C4F8 가스 및 CF4 가스 중 1종 이상을 포함할 수 있다. 이 예의 플루오로카본 가스는, 공정 ST11에 있어서 이용되는 플루오로카본 가스의 탄소 원자수에 대한 불소 원자수의 비(즉, 불소 원자수/탄소 원자수)보다, 탄소 원자수에 대한 불소 원자수의 비(즉, 불소 원자수/탄소 원자수)가 높은 플루오로카본 가스이다. 또한, 일례에서는, 플루오로카본 가스의 해리도를 높이기 위해, 공정 ST3에 있어서 이용되는 플라즈마 생성용의 고주파 전력은, 공정 ST11에 있어서 이용되는 플라즈마 생성용의 고주파 전력보다 큰 전력으로 설정될 수 있다. 이들 예에 의하면, 에칭 모드를 실현하는 것이 가능하게 된다. 또한, 일례에서는, 공정 ST3에 있어서 이용되는 고주파 바이어스 전력도, 공정 ST11의 고주파 바이어스 전력보다 큰 전력으로 설정될 수 있다. 이 예에 의하면, 웨이퍼 W에 대하여 끌어들여지는 이온의 에너지를 높일 수 있어, 제 1 영역 R1을 고속으로 에칭하는 것이 가능하게 된다.
이하에, 공정 ST3에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 10mTorr(1.33㎩)~50mTorr(6.65㎩)
ㆍ처리 가스
C4F8 가스 : 10sccm~30sccm
CF4 가스 : 50sccm~150sccm
Ar 가스 : 500sccm~1000sccm
O2 가스 : 10sccm~30sccm
ㆍ플라즈마 생성용의 고주파 전력 : 500W~2000W
ㆍ고주파 바이어스 전력 : 500W~2000W
일 실시 형태에서는, 그 다음에, 공정 ST4가 실행된다. 공정 ST4에서는, 처리 용기(12) 내에 있어서 산소 함유 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 이를 위해, 공정 ST4에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 일례에서는, 산소 함유 가스로서, 산소 가스를 포함할 수 있다. 또한, 처리 가스는, 희가스(예컨대, Ar 가스) 또는 질소 가스라고 하는 불활성 가스를 더 포함할 수 있다. 또한, 공정 ST4에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST4에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 대하여 공급된다. 또, 공정 ST4에서는, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 공급되지 않더라도 좋다.
공정 ST4에서는, 산소의 활성종이 생성되고, 해당 산소의 활성종에 의해 마스크 MK의 개구 MO가 그 상단 부분에 있어서 넓혀진다. 구체적으로는, 도 7에 나타내는 바와 같이, 개구 MO의 상단 부분을 구획하는 마스크 MK의 위쪽 어깨 부분이 테이퍼 형상을 나타내도록 에칭된다. 이것에 의해, 이후의 공정에서 생성되는 퇴적물이 마스크 MK의 개구 MO를 구획하는 면에 부착되더라도, 해당 개구 MO의 폭의 축소량을 저감시킬 수 있다. 또, 공정 ST4에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
여기서, 후술하는 공정 ST12에서는, 각 시퀀스에 있어서 형성되는 미량의 퇴적물을 감소시키는 것이고, 퇴적물의 과잉 감소를 억제할 필요가 있다. 한편, 공정 ST4에서는, 마스크 MK의 개구 MO의 상단 부분의 폭을 넓히기 위해 실행되는 것이고, 그 처리 시간이 짧은 것이 요구된다.
이하에, 공정 ST4에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 30mTorr(3.99㎩)~200mTorr(26.6㎩)
ㆍ처리 가스
O2 가스 : 50sccm~500sccm
Ar 가스 : 200sccm~1500sccm
ㆍ플라즈마 생성용의 고주파 전력 : 100W~500W
ㆍ고주파 바이어스 전력 : 0W~200W
그 다음에, 방법 MT에서는, 제 1 영역 R1을 에칭하기 위해, 시퀀스 SQ가 반복하여 실행된다. 시퀀스 SQ는, 공정 ST11, 공정 ST12, 및, 공정 ST13을 차례로 포함하고 있다.
시퀀스 SQ에서는, 우선, 공정 ST11이 실행된다. 공정 ST11에서는, 웨이퍼 W가 수용된 처리 용기(12) 내에서, 처리 가스의 플라즈마가 생성된다. 이를 위해, 공정 ST11에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 플루오로카본 가스를 포함한다. 또한, 이 처리 가스는, 희가스, 예컨대, Ar 가스를 더 포함할 수 있다. 또한, 공정 ST11에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST11에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 대하여 공급된다. 이것에 의해, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마가 생성되고, 해리된 플루오로카본이, 웨이퍼 W의 표면상에 퇴적되어, 도 8에 나타내는 바와 같이 퇴적물 DP를 형성한다. 이러한 공정 ST11에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
상술한 바와 같이, 공정 ST11에서는, 퇴적 모드가 되는 조건이 선택된다. 이 때문에, 일례에서는, 플루오로카본 가스로서, C4F6 가스가 이용된다.
이하에, 공정 ST11에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 10mTorr(1.33㎩)~50mTorr(6.65㎩)
ㆍ처리 가스
C4F6 가스 : 2sccm~10sccm
Ar 가스 : 500sccm~1500sccm
ㆍ플라즈마 생성용의 고주파 전력 : 100W~500W
ㆍ고주파 바이어스 전력 : 0W
방법 MT에서는, 그 다음에, 공정 ST12가 실행된다. 공정 ST12에서는, 처리 용기(12) 내에 있어서 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 이를 위해, 공정 ST12에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 일례에서는, 이 처리 가스는, 산소 함유 가스로서, 산소 가스를 포함한다. 또한, 일례에서는, 이 처리 가스는, 불활성 가스로서, Ar 가스라고 하는 희가스를 포함한다. 불활성 가스는, 질소 가스이더라도 좋다. 또한, 공정 ST12에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST12에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 대하여 공급된다. 공정 ST12에서는, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 공급되지 않더라도 좋다.
공정 ST12에서는, 산소의 활성종이 생성되고, 해당 산소의 활성종에 의해, 웨이퍼 W상의 퇴적물 DP의 양이, 도 9에 나타내는 바와 같이, 적당히 감소된다. 그 결과, 과잉 퇴적물 DP에 의해 개구 MO 및 상부 개구 UO가 폐색되는 것이 방지된다. 또한, 공정 ST12에서 이용되는 처리 가스에서는, 산소 가스가 불활성 가스에 의해 희석되고 있으므로, 퇴적물 DP가 과잉 제거되는 것을 억제할 수 있다. 이러한 공정 ST12에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
이하에, 공정 ST12에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 10mTorr(1.33㎩)~50mTorr(6.65㎩)
ㆍ처리 가스
O2 가스 : 2sccm~20sccm
Ar 가스 : 500sccm~1500sccm
ㆍ플라즈마 생성용의 고주파 전력 : 100W~500W
ㆍ고주파 바이어스 전력 : 0W
일 실시 형태에서는, 각 시퀀스의 공정 ST12, 즉 1회의 공정 ST12는 2초 이상 실행되고, 또한, 공정 ST12에 있어서 퇴적물 DP가 1㎚/초 이하의 레이트로 에칭될 수 있다. 플라즈마 처리 장치(10)와 같은 플라즈마 처리 장치를 이용하여 상기 시퀀스를 실행하려면, 공정 ST11, 공정 ST12, 및 공정 ST13의 각 공정 사이의 천이를 위한 가스의 전환에 시간을 필요로 한다. 따라서, 방전의 안정에 필요로 하는 시간을 고려하면, 공정 ST12는 2초 이상 실행될 필요가 있다. 그렇지만, 이와 같은 시간 길이의 기간에 있어서의 퇴적물 DP의 에칭의 레이트가 너무 높으면, 제 2 영역 R2를 보호하기 위한 퇴적물이 과잉 제거될 수 있다. 이 때문에, 공정 ST12에 있어서 1㎚/초 이하의 레이트로 퇴적물 DP가 에칭된다. 이것에 의해, 웨이퍼 W상에 형성되어 있는 퇴적물 DP의 양을 적당히 조정하는 것이 가능하게 된다. 또, 공정 ST12에 있어서의 퇴적물 DP의 에칭의 1㎚/초 이하의 레이트는, 처리 용기 내의 압력, 처리 가스 중의 산소의 희가스에 의한 희석의 정도, 즉, 산소 농도, 및, 플라즈마 생성용의 고주파 전력을, 상술한 조건으로부터 선택하는 것에 의해 달성될 수 있다.
계속되는 공정 ST13에서는, 제 1 영역 R1이 에칭된다. 이를 위해, 공정 ST13에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 처리 용기(12) 내에 처리 가스가 공급된다. 이 처리 가스는, 불활성 가스를 포함한다. 불활성 가스는, 일례에서는, Ar 가스라고 하는 희가스일 수 있다. 혹은, 불활성 가스는, 질소 가스이더라도 좋다. 또한, 공정 ST13에서는, 배기 장치(50)가 작동되어, 처리 용기(12) 내의 압력이 소정의 압력으로 설정된다. 또한, 공정 ST13에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 상부 전극(30)에 대하여 공급된다. 또한, 공정 ST13에서는, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극 LE에 공급된다.
이하에, 공정 ST13에 있어서의 각종 조건을 예시한다.
ㆍ처리 용기 내 압력 : 10mTorr(1.33㎩)~50mTorr(6.65㎩)
ㆍ처리 가스
Ar 가스 : 500sccm~1500sccm
ㆍ플라즈마 생성용의 고주파 전력 : 100W~500W
ㆍ고주파 바이어스 전력 : 20W~300W
공정 ST13에서는, 불활성 가스의 플라즈마가 생성되고, 이온이 웨이퍼 W에 대하여 끌어들여진다. 그리고, 퇴적물 DP에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역 R1이 에칭된다. 이것에 의해, 도 10에 나타내는 바와 같이, 제 2 영역 R2에 의해 제공되는 오목부 내의 제 1 영역 R1이 에칭되어, 하부 개구 LO가 서서히 형성된다. 이러한 공정 ST13에 있어서의 상술한 플라즈마 처리 장치(10)의 각 부의 동작은 제어부 Cnt에 의해 제어될 수 있다.
방법 MT에서는, 상술한 공정 ST11~공정 ST13을 포함하는 시퀀스 SQ가 반복된다. 그리고, 시퀀스 SQ의 반복에 수반하여, 도 11에 나타내는 바와 같이, 공정 ST11의 실행에 의해 퇴적물 DP가 웨이퍼 W상에 형성된다. 그리고, 도 12에 나타내는 바와 같이, 공정 ST12의 실행에 의해, 퇴적물 DP의 양이 감소된다. 그리고, 도 13에 나타내는 바와 같이, 공정 ST13의 실행에 의해 더욱 제 1 영역 R1이 에칭되어, 하부 개구 LO의 깊이가 깊어진다. 또한, 한층 더한 시퀀스 SQ의 반복에 수반하여, 도 14에 나타내는 바와 같이, 공정 ST11의 실행에 의해 퇴적물 DP가 웨이퍼 W상에 형성된다. 그리고, 도 15에 나타내는 바와 같이, 공정 ST12의 실행에 의해, 퇴적물 DP의 양이 감소된다. 그리고, 도 16에 나타내는 바와 같이, 공정 ST13의 실행에 의해 더욱 제 1 영역 R1이 에칭되어, 하부 개구 LO의 깊이가 더 깊어진다. 최종적으로는, 오목부의 바닥에 있는 제 2 영역 R2가 노출될 때까지 제 1 영역 R1이 에칭된다.
도 1로 돌아와, 방법 MT에서는, 공정 STa에 있어서, 정지 조건이 만족되는지 여부가 판정된다. 정지 조건은 시퀀스 SQ가 소정 횟수 실행되고 있는 경우에 만족되는 것으로 판정된다. 공정 STa에 있어서, 정지 조건이 만족되지 않는다고 판정되는 경우에는, 공정 ST11로부터 시퀀스 SQ가 실행된다. 한편, 공정 STa에 있어서, 정지 조건이 만족된다고 판정되는 경우에는, 방법 MT의 실시가 종료된다.
일 실시 형태에서는, 제 2 영역 R2가 노출될 때를 포함하는 기간에 실행되는 시퀀스 SQ(이하, 「제 1 시퀀스」라고 한다)에 있어서 제 1 영역 R1이 에칭되는 양이, 이후에 실행되는 시퀀스 SQ(이하, 「제 2 시퀀스」라고 한다)에 있어서 제 1 영역 R1이 에칭되는 양보다 적어지도록, 시퀀스 SQ의 반복에 있어서의 조건이 설정되더라도 좋다. 일례에 있어서는, 제 1 시퀀스의 실행 시간 길이가, 제 2 시퀀스의 실행 시간 길이보다 짧게 설정된다. 이 예에서는, 제 1 시퀀스에 있어서의 공정 ST11의 실행 시간 길이, 공정 ST12의 실행 시간 길이, 및 공정 ST13의 실행 시간 길이의 비는, 제 2 시퀀스에 있어서의 공정 ST11의 실행 시간 길이, 공정 ST12의 실행 시간 길이, 및 공정 ST13의 실행 시간 길이의 비와 동일하게 설정될 수 있다. 예컨대, 제 1 시퀀스에서는, 공정 ST11의 실행 시간 길이는 2초~5초의 범위의 시간 길이로부터 선택되고, 공정 ST12의 실행 시간 길이는 2초~5초의 범위의 시간 길이로부터 선택되고, 공정 ST13의 실행 시간 길이는 5초~10초의 범위의 시간 길이로부터 선택된다. 또한, 제 2 시퀀스에서는, 공정 ST11의 실행 시간 길이는 2초~10초의 범위의 시간 길이로부터 선택되고, 공정 ST12의 실행 시간 길이는 2초~10초의 범위의 시간 길이로부터 선택되고, 공정 ST13의 실행 시간 길이는 5초~20초의 범위의 시간 길이로부터 선택된다.
공정 ST11에서 생성되는 플루오로카본의 활성종은, 제 2 영역 R2상에 퇴적되어 해당 제 2 영역 R2를 보호하지만, 제 1 영역 R1이 에칭되어 제 2 영역 R2가 노출되었을 때에는, 제 2 영역 R2를 에칭할 수 있다. 그래서, 일 실시 형태에서는, 제 2 영역 R2가 노출되는 기간에 있어서 제 1 시퀀스가 실행된다. 이것에 의해, 에칭량이 억제되면서 퇴적물 DP가 웨이퍼 W상에 형성되고, 해당 퇴적물 DP에 의해 제 2 영역 R2가 보호된다. 그러한 후에, 에칭량이 많은 제 2 시퀀스가 실행된다. 따라서, 이 실시 형태에 의하면, 제 2 영역 R2가 깎이는 것을 억제하면서, 제 1 영역 R1을 에칭하는 것이 가능하게 된다.
또한, 제 2 시퀀스의 실행의 뒤에 실행되는 시퀀스 SQ(이하, 「제 3 시퀀스」라고 한다)의 공정 ST13에서는, 고주파 바이어스 전력이, 제 1 시퀀스 및 제 2 시퀀스의 공정 ST13에 있어서 이용되는 고주파 바이어스 전력보다, 큰 전력으로 설정되더라도 좋다. 예컨대, 제 1 시퀀스 및 제 2 시퀀스의 공정 ST13에서는, 고주파 바이어스 전력이 20W~100W의 전력으로 설정되고, 제 3 시퀀스의 공정 ST13에서는, 고주파 바이어스 전력이 100W~300W의 전력으로 설정된다. 또, 일례의 제 3 시퀀스에서는, 공정 ST11의 실행 시간 길이는 2초~10초의 범위의 시간 길이로부터 선택되고, 공정 ST12의 실행 시간 길이는 2초~10초의 범위의 시간 길이로부터 선택되고, 공정 ST13의 실행 시간 길이는 5초~15초의 범위의 시간 길이로부터 선택된다.
도 14에 나타내는 바와 같이, 제 1 시퀀스 및 제 2 시퀀스의 실행 후에는, 웨이퍼 W상의 퇴적물 DP의 양이 상당히 많아진다. 퇴적물 DP의 양이 많아지면, 개구 MO의 폭, 상부 개구 UO, 및 하부 개구 LO의 폭이 퇴적물 DP에 의해 좁혀진다. 이것에 의해, 하부 개구 LO의 심부에 도달하는 이온의 유속이 부족한 사태가 생길 수 있다. 그렇지만, 제 3 시퀀스의 공정 ST13에서는 비교적 큰 고주파 바이어스 전력이 이용되므로, 웨이퍼 W에 끌어당겨지는 이온의 에너지를 높일 수 있다. 그 결과, 하부 개구 LO가 깊더라도, 해당 하부 개구 LO의 심부까지 이온을 공급하는 것이 가능하게 된다.
이하, 다른 실시 형태와 관련되는 에칭 방법에 대하여 설명한다. 도 17은 다른 실시 형태와 관련되는 에칭 방법을 나타내는 흐름도이다. 도 18 및 도 19는 도 17에 나타내는 방법의 공정 ST14의 실행 후의 피처리체를 나타내는 단면도이다. 도 18은 도 10에 나타낸 웨이퍼 W에 대하여 공정 ST14를 실행한 후의 해당 웨이퍼의 단면의 상태를 나타내고 있고, 도 19는 도 13에 나타낸 웨이퍼 W에 대하여 공정 ST14를 실행한 후의 해당 웨이퍼의 단면의 상태를 나타내고 있다. 도 17에 나타내는 방법 MT2는, 공정 ST13의 실행의 뒤에 실행되는 공정 ST14를 시퀀스 SQ가 더 포함하는 점에서, 방법 MT와 상이하다. 이 공정 ST14는, 공정 ST12와 동일한 공정이다. 공정 ST14의 처리에 있어서의 조건에는, 공정 ST12의 처리에 관하여 상술한 조건이 채용될 수 있다.
상술한 바와 같이 공정 ST13에서는 웨이퍼 W에 대하여 이온이 끌어들여진다. 이것에 의해, 퇴적물 DP를 구성하는 물질이 웨이퍼 W로부터 방출되고, 해당 물질이 웨이퍼 W에 재차 부착되어, 도 10 및 도 13에 나타내는 바와 같이, 개구 MO 및 하부 개구 LO의 폭을 좁히도록 퇴적물 DP를 형성한다. 이 퇴적물 DP는, 경우에 따라서는, 개구 MO 및 하부 개구 LO를 폐색시키는 일도 있다. 방법 MT2에서는, 공정 ST14의 실행에 의해, 도 10 및 도 13에 나타낸 웨이퍼 W가, 공정 ST12와 동일하게 산소의 활성종에 노출된다. 이것에 의해, 개구 MO 및 하부 개구 LO의 폭을 좁히는 퇴적물 DP(도 10 및 도 13을 참조)를, 도 18 및 도 19에 나타내는 바와 같이 감소시킬 수 있어, 개구 MO 및 하부 개구 LO의 폐색을 보다 확실히 방지할 수 있다.
이상, 여러 가지의 실시 형태에 대하여 설명하여 왔지만, 상술한 실시 형태로 한정되는 일 없이 여러 가지의 변형 형태를 구성 가능하다. 예컨대, 방법 MT의 실시에 있어서, 상부 전극(30)에 플라즈마 생성용의 고주파 전력이 공급되고 있지만, 해당 고주파 전력은 하부 전극 LE에 공급되더라도 좋다. 또한, 방법 MT의 실시에는, 플라즈마 처리 장치(10) 이외의 플라즈마 처리 장치를 이용할 수 있다. 구체적으로는, 유도 결합형의 플라즈마 처리 장치, 또는, 마이크로파라고 하는 표면파에 의해 플라즈마를 생성하는 플라즈마 처리 장치와 같이, 임의의 플라즈마 처리 장치를 이용하여 방법 MT를 실시하는 것이 가능하다.
또한, 방법 MT의 시퀀스 SQ에 있어서의 공정 ST11, 공정 ST12, 및 공정 ST13의 실행 순서가 변경되더라도 좋다. 예컨대, 방법 MT의 시퀀스 SQ에 있어서, 공정 ST13의 실행 후에 공정 ST12가 실행되더라도 좋다.
10 : 플라즈마 처리 장치
12 : 처리 용기
30 : 상부 전극
PD : 탑재대
LE : 하부 전극
ESC : 정전 척
40 : 가스 소스군
42 : 밸브군
44 : 유량 제어기군
50 : 배기 장치
62 : 제 1 고주파 전원
64 : 제 2 고주파 전원
Cnt : 제어부
W : 웨이퍼
R1 : 제 1 영역
R2 : 제 2 영역
OL : 유기막
AL : 실리콘 함유 반사 방지막
MK : 마스크
DP : 퇴적물

Claims (4)

  1. 피처리체에 대한 플라즈마 처리에 의해, 산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 방법으로서,
    상기 피처리체는, 오목부를 구획하는 상기 제 2 영역, 상기 오목부를 메우고, 또한 상기 제 2 영역을 덮도록 마련된 상기 제 1 영역, 및, 상기 제 1 영역상에 마련된 마스크를 갖고,
    상기 방법은,
    상기 피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정으로서, 상기 피처리체상에 플루오로카본을 포함하는 퇴적물을 형성하는, 상기 제 1 공정과,
    상기 피처리체를 수용한 처리 용기 내에 있어서, 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정으로서, 상기 퇴적물의 양을 감소시키는 상기 제 2 공정과,
    상기 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 상기 제 1 영역을 에칭하는 제 3 공정
    을 포함하고,
    상기 제 1 공정, 상기 제 2 공정, 및 상기 제 3 공정을 포함하는 시퀀스가 반복하여 실행되는
    방법.
  2. 제 1 항에 있어서,
    상기 마스크는, 유기 재료로 구성되어 있고,
    상기 마스크상에는, 실리콘 함유 반사 방지막이 마련되어 있고,
    상기 처리 용기 내에 있어서, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 4 공정으로서, 상기 제 2 영역이 노출되기 직전까지 상기 제 1 영역을 에칭하는, 상기 제 4 공정과,
    상기 처리 용기 내에 있어서 산소 함유 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 5 공정으로서, 상기 마스크의 개구를 넓히는, 상기 제 5 공정
    을 더 포함하고,
    상기 제 4 공정 및 상기 제 5 공정의 실행 후에, 상기 시퀀스가 실행되는
    방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    1회의 상기 제 2 공정은 2초 이상 실행되고, 또한, 상기 제 2 공정에 있어서 상기 퇴적물이 1㎚/초 이하의 레이트로 에칭되는 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 시퀀스에서는, 상기 제 1 공정과 상기 제 3 공정의 사이에 상기 제 2 공정이 실행되고,
    상기 시퀀스는, 상기 피처리체를 수용한 처리 용기 내에 있어서, 산소 함유 가스 및 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 다른 공정으로서, 상기 제 3 공정 이후에 수행되는 상기 다른 공정을 더 포함하는
    방법.
KR1020160004684A 2015-01-16 2016-01-14 에칭 방법 KR102513051B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2015-006775 2015-01-16
JP2015006775 2015-01-16
JPJP-P-2015-034212 2015-02-24
JP2015034212A JP2016136606A (ja) 2015-01-16 2015-02-24 エッチング方法

Publications (2)

Publication Number Publication Date
KR20160088816A KR20160088816A (ko) 2016-07-26
KR102513051B1 true KR102513051B1 (ko) 2023-03-22

Family

ID=56513112

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160004684A KR102513051B1 (ko) 2015-01-16 2016-01-14 에칭 방법

Country Status (3)

Country Link
JP (1) JP2016136606A (ko)
KR (1) KR102513051B1 (ko)
TW (1) TWI684218B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
JP6877316B2 (ja) 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
JP7198609B2 (ja) 2018-08-21 2023-01-04 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7138514B2 (ja) * 2018-08-22 2022-09-16 東京エレクトロン株式会社 環状部材、プラズマ処理装置及びプラズマエッチング方法
JP7110034B2 (ja) 2018-08-24 2022-08-01 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
JP7390165B2 (ja) * 2019-02-28 2023-12-01 東京エレクトロン株式会社 基板処理方法および基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040038546A1 (en) * 2002-08-22 2004-02-26 Kei-Yu Ko Process variation resistant self aligned contact etch
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
JP2014209515A (ja) * 2013-04-16 2014-11-06 東京エレクトロン株式会社 エッチング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040038546A1 (en) * 2002-08-22 2004-02-26 Kei-Yu Ko Process variation resistant self aligned contact etch
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
AGARWAL. ANKUR et al., "Plasma atomic layer etching using conventional plasma equipment ", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A. vol. 27, no. 1, 8 December 2008, pp. 37~50

Also Published As

Publication number Publication date
TWI684218B (zh) 2020-02-01
KR20160088816A (ko) 2016-07-26
JP2016136606A (ja) 2016-07-28
TW201635371A (zh) 2016-10-01

Similar Documents

Publication Publication Date Title
KR102460164B1 (ko) 에칭 방법
KR102513051B1 (ko) 에칭 방법
KR102363778B1 (ko) 에칭 방법
KR102429615B1 (ko) 에칭 방법
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR102494293B1 (ko) 에칭 방법
KR102589406B1 (ko) 에칭 방법
KR102505154B1 (ko) 에칭 방법
WO2017199958A1 (ja) エッチング方法
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
CN105810579B (zh) 蚀刻方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant