JP2002025979A - 半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置の製造方法

Info

Publication number
JP2002025979A
JP2002025979A JP2000200986A JP2000200986A JP2002025979A JP 2002025979 A JP2002025979 A JP 2002025979A JP 2000200986 A JP2000200986 A JP 2000200986A JP 2000200986 A JP2000200986 A JP 2000200986A JP 2002025979 A JP2002025979 A JP 2002025979A
Authority
JP
Japan
Prior art keywords
etching
gas
integrated circuit
circuit device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000200986A
Other languages
English (en)
Inventor
Masahiro Tadokoro
昌洋 田所
Masahiro Shioya
雅弘 塩屋
Masayuki Kojima
雅之 児島
Takenobu Ikeda
武信 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Priority to JP2000200986A priority Critical patent/JP2002025979A/ja
Priority to TW090115438A priority patent/TW533502B/zh
Priority to US09/893,577 priority patent/US6838388B2/en
Priority to KR1020010038927A priority patent/KR100689916B1/ko
Publication of JP2002025979A publication Critical patent/JP2002025979A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 SACプロセスまたはHARCプロセスにお
いて、酸化シリコン膜の開口性および窒化膜に対する選
択性を向上させる。 【解決手段】 半導体基板2S上に形成された酸化シリ
コン膜からなる酸化膜3dにコンタクトホール14a,
14bを開口するためのプラズマエッチング処理に際し
て、低圧、エッチングガス(C58/O2/Ar)の大
流量化により、エッチングガスのチャンバ内滞在時間
を、窒化シリコンからなる絶縁膜8cに対する選択性が
向上する領域に設定する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術に関し、例えば半導体集積回路装置の製造
工程におけるセルフアラインコンタクト(Self Aligned
Contact:以下、SACという)プロセスまたは高アス
ペクト比コンタクト(High Aspect RatioContact:以
下、HARCという)プロセスに適用して特に有効な技
術に関するものである。
【0002】
【従来の技術】本発明者らが検討したSACプロセスに
おいては、エッチングガスとして、例えばC48を主と
して、その他にC58、CH22、CHF3またはCF4
等のようなフルオロカーボン系のガスと、酸素との混合
ガスが用いられている。酸化シリコン(SiO2)膜
は、フルオロカーボン系のガスが解離して生成されるフ
ルオロカーボン系化合物との反応によりエッチングが進
行するが、下地となる窒化シリコン(SiN)膜は、フ
ルオロカーボン系化合物との反応性が酸化シリコン膜に
比べて低いため、窒化シリコン膜上にはポリマー層が相
対的に厚く堆積する。このポリマー層の膜厚やC/F比
(炭素原子数とフッ素原子数との比)をガス種等により
最適化することにより、酸化シリコン膜と窒化シリコン
膜との高選択性を実現している。
【0003】また、設計ルールの微細化に伴う高アスペ
クト比化に対しては、孔等の開口性を向上させる観点か
ら処理室内の圧力を下げ、処理室内に流入されるガスの
総流量を増大させる方向への条件の変更を行っている。
それに伴う窒化シリコン膜に対する選択比の低下につい
ては、CH22等のような堆積性の強いガスを添加する
ことにより対応している。
【0004】本発明者らが検討したHARCプロセスに
おいても、基本的に上記SACプロセスと同種のエッチ
ングガスが用いられ、開口性および選択性を得るために
上記SACプロセスと同様な対応がとられている。
【0005】なお、この種の技術については、例えば特
開平11−317392号公報に記載があり、窒化シリ
コン系材料層に対して選択性を大きく確保しながら酸化
シリコン系材料層をエッチングする際に、エッチングガ
スとして、パーフルオロシクロオレフィン(C58を含
む)を用いることにより、選択比を高め、選択比の面内
ばらつきを低くする技術が開示されている。
【0006】また、例えば特開平8−45917号公報
には、エッチング処理に際して、低圧力の状態で、チャ
ンバ内の反応ガスの滞在時間を100ms以下にして、
深い溝や穴を高速エッチングする技術が開示されてい
る。
【0007】
【発明が解決しようとする課題】ところが、上記SAC
プロセスやHARCプロセス技術においては、孔や溝の
アスペクト比(深さ/幅)が益々進み、開口性と選択性
との両立ができないという問題があることを本発明者ら
は見出した。
【0008】例えばSACプロセスにおいては、酸化シ
リコン膜の開口性を高めるようにエッチングを行うと孔
や溝の開口は可能となるが、酸化シリコン膜と窒化シリ
コン膜との選択比を確保できず、孔や溝内に埋め込む導
体膜と、下層の導体膜とのショートマージンが短くな
り、それら導体膜がショートしてしまう。逆に、その選
択比を高めるようにエッチングを行うと、孔や溝自体を
充分に開口できない。また、例えばHARCプロセスに
おいては、酸化シリコン膜の開口性を高めるようにエッ
チングを行うと孔や溝の開口は可能となるが、酸化シリ
コン膜とハードマスク等との選択比を確保できず、孔の
平面加工寸法や断面垂直形状が劣化する。逆に、その選
択比を高めるようにエッチングを行えば、孔や溝自体を
充分に開口できない。
【0009】本発明の目的は、プラズマエッチングプロ
セスにおいて、酸化シリコン膜の開口性および窒化膜に
対する選択性を向上させることのできる技術を提供する
ことにある。
【0010】また、本発明の他の目的は、プラズマエッ
チングプロセスを用いる半導体集積回路装置の製造歩留
まりを向上させることのできる技術を提供することにあ
る。
【0011】また、本発明の他の目的は、プラズマエッ
チングプロセスを用いる半導体集積回路装置の量産性を
向上させることのできる技術を提供することにある。
【0012】また、本発明の他の目的は、プラズマエッ
チングプロセスを用いる半導体集積回路装置の信頼性を
向上させることのできる技術を提供することにある。
【0013】また、本発明の他の目的は、プラズマエッ
チングプロセスを用いる半導体集積回路装置の性能を向
上させることのできる技術を提供することにある。
【0014】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0015】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0016】本発明は、半導体基板に対して、フロロカ
ーボン系のガス、酸素および希釈ガスを有するエッチン
グガスを用いてプラズマエッチング処理を施すことによ
り、前記半導体基板上の酸化シリコン系の絶縁膜を加工
する際に、低圧化およびエッチングガスの大流量化によ
りエッチングガスのエッチング処理室内滞在時間を、窒
化シリコン系の絶縁膜に対する選択性が向上する領域に
設定した状態でエッチング処理を施すものである。
【0017】また、本発明は、半導体基板に対して、フ
ロロカーボン系のガス、酸素および希釈ガスを有するエ
ッチングガスを用いてプラズマエッチング処理を施すこ
とにより、前記半導体基板上の酸化シリコン系の絶縁膜
を加工する際に、低圧化およびエッチングガスの大流量
化によりエッチングガスのエッチング処理室内滞在時間
を、ハードマスクに対する選択性が向上する領域に設定
した状態でエッチング処理を施すものである。
【0018】また、本願において開示される発明のう
ち、他のの概要を簡単に説明すれば、次のとおりであ
る。
【0019】1.本願の発明は、半導体基板に対して、
フロロカーボン系のガス、酸素および希釈ガスを有する
エッチングガスを用いてプラズマエッチング処理を施す
ことにより、前記半導体基板上の酸化シリコン系の絶縁
膜を加工する際に、前記エッチングガスのエッチング処
理室内滞在時間を50〜700msとするものである。
【0020】2.本発明は、半導体基板に対して、フロ
ロカーボン系のガス、酸素および希釈ガスを有するエッ
チングガスを用いてプラズマエッチング処理を施すこと
により、前記半導体基板上の酸化シリコン系の絶縁膜を
加工する際に、前記エッチングガスのエッチング処理室
内滞在時間を50〜350msとするものである。
【0021】3.本発明は、半導体基板に対して、フロ
ロカーボン系のガス、酸素および希釈ガスを有するエッ
チングガスを用いてプラズマエッチング処理を施すこと
により、前記半導体基板上の酸化シリコン系の絶縁膜を
加工する際に、前記エッチングガスのエッチング処理室
内滞在時間を100〜200msとするものである。
【0022】4.本発明は、(a)半導体基板上に窒化
シリコン系の絶縁膜を堆積する工程、(b)前記窒化シ
リコン系の絶縁膜上に酸化シリコン系の絶縁膜を堆積す
る工程、(c)前記半導体基板に対して、フロロカーボ
ン系のガス、酸素および希釈ガスを有するエッチングガ
スを用いてプラズマエッチング処理を施すことにより、
前記酸化シリコン系の絶縁膜を加工する工程を有し、前
記エッチングガスのエッチング処理室内滞在時間を50
〜700msとするものである。
【0023】5.本発明は、(a)半導体基板上に窒化
シリコン系の絶縁膜を堆積する工程、(b)前記窒化シ
リコン系の絶縁膜上に酸化シリコン系の絶縁膜を堆積す
る工程、(c)前記半導体基板に対して、フロロカーボ
ン系のガス、酸素および希釈ガスを有するエッチングガ
スを用いてプラズマエッチング処理を施すことにより、
前記酸化シリコン系の絶縁膜を加工する工程を有し、前
記エッチングガスのエッチング処理室内滞在時間を50
〜350msとするものである。
【0024】6.本発明は、(a)半導体基板上に窒化
シリコン系の絶縁膜を堆積する工程、(b)前記窒化シ
リコン系の絶縁膜上に酸化シリコン系の絶縁膜を堆積す
る工程、(c)前記半導体基板に対して、フロロカーボ
ン系のガス、酸素および希釈ガスを有するエッチングガ
スを用いてプラズマエッチング処理を施すことにより、
前記酸化シリコン系の絶縁膜を加工する工程を有し、前
記エッチングガスのエッチング処理室内滞在時間を10
0〜200msとするものである。
【0025】7.本発明は、(a)半導体基板上に電極
配線を形成する工程、(b)前記半導体基板上に前記電
極配線の表面を覆うように窒化シリコン系の絶縁膜を堆
積する工程、(c)前記窒化シリコン系の絶縁膜上に酸
化シリコン系の絶縁膜を堆積する工程、(d)前記半導
体基板に対して、フロロカーボン系のガス、酸素および
希釈ガスを有するエッチングガスを用いてプラズマエッ
チング処理を施すことにより、前記酸化シリコン系の絶
縁膜に、前記電極配線に対して自己整合的に孔を形成す
る工程を有し、前記エッチングガスのエッチング処理室
内滞在時間を50〜700msとするものである。
【0026】8.本発明は、(a)半導体基板上に電極
配線を形成する工程、(b)前記半導体基板上に前記電
極配線の表面を覆うように窒化シリコン系の絶縁膜を堆
積する工程、(c)前記窒化シリコン系の絶縁膜上に酸
化シリコン系の絶縁膜を堆積する工程、(d)前記半導
体基板に対して、フロロカーボン系のガス、酸素および
希釈ガスを有するエッチングガスを用いてプラズマエッ
チング処理を施すことにより、前記酸化シリコン系の絶
縁膜に、前記電極配線に対して自己整合的に孔を形成す
る工程を有し、前記エッチングガスのエッチング処理室
内滞在時間を50〜350msとするものである。
【0027】9.本発明は、(a)半導体基板上に電極
配線を形成する工程、(b)前記半導体基板上に前記電
極配線の表面を覆うように窒化シリコン系の絶縁膜を堆
積する工程、(c)前記窒化シリコン系の絶縁膜上に酸
化シリコン系の絶縁膜を堆積する工程、(d)前記半導
体基板に対して、フロロカーボン系のガス、酸素および
希釈ガスを有するエッチングガスを用いてプラズマエッ
チング処理を施すことにより、前記酸化シリコン系の絶
縁膜に、前記電極配線に対して自己整合的に孔を形成す
る工程を有し、前記エッチングガスのエッチング処理室
内滞在時間を100〜200msとするものである。
【0028】10.本願発明は、上記項1〜9のいずれ
かにおいて、前記プラズマエッチング処理中のエッチン
グ処理室内の圧力を0.7〜7Paとするものである。
【0029】11.本願発明は、上記項1〜9のいずれ
かにおいて、前記プラズマエッチング処理中のエッチン
グ処理室内の圧力を1.3〜4Paとするものである。
【0030】12.本願発明は、上記項1〜11のいず
れかにおいて、前記エッチング処理室内に流入されるエ
ッチングガスの総流量が200〜1000cm3/mi
nとするものである。
【0031】13.本願発明は、上記項1〜11のいず
れかにおいて、前記エッチング処理室内に流入されるエ
ッチングガスの総流量が400〜800cm3/min
とするものである。
【0032】14.本発明は、上記項1〜11のいずれ
かにおいて、前記エッチング処理室内に流入されるエッ
チングガスの総流量が700cm3/min以上とする
ものである。
【0033】15.本発明は、上記項7〜9のいずれか
において、前記プラズマエッチング処理後、前記孔から
露出する前記窒化シリコン系の絶縁膜を除去し、前記半
導体基板を露出させる工程、前記半導体基板の露出する
孔内に導体膜を埋め込む工程を有するものである。
【0034】16.本願発明は、半導体基板に対して、
フロロカーボン系のガス、酸素および希釈ガスを有する
エッチングガスを用いてプラズマエッチング処理を施す
ことにより、前記半導体基板上の酸化シリコン系の絶縁
膜を加工する際に、前記プラズマエッチング処理中のエ
ッチング処理室内の圧力を0.7〜7Paとするもので
ある。
【0035】17.本願発明は、半導体基板に対して、
フロロカーボン系のガス、酸素および希釈ガスを有する
エッチングガスを用いてプラズマエッチング処理を施す
ことにより、前記半導体基板上の酸化シリコン系の絶縁
膜を加工する際に、前記プラズマエッチング処理中のエ
ッチング処理室内の圧力を1.3〜4Paするものであ
る。
【0036】18.本願発明は、上記項16または17
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を200〜1000cm3/minと
するものである。
【0037】19.本願発明は、上記項16または17
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を400〜800cm3/minとす
るものである。
【0038】20.本発明は、上記項16または17に
おいて、前記エッチング処理室内に流入されるエッチン
グガスの総流量を700cm3/min以上とするもの
である。
【0039】21.本願発明は、半導体基板に対して、
フロロカーボン系のガス、酸素および希釈ガスを有する
エッチングガスを用いてプラズマエッチング処理を施す
ことにより、前記半導体基板上の酸化シリコン系の絶縁
膜を加工する際に、前記エッチング処理室内に流入され
るエッチングガスの総流量を200〜1000cm3
minとするものである。
【0040】22.本願発明は、半導体基板に対して、
フロロカーボン系のガス、酸素および希釈ガスを有する
エッチングガスを用いてプラズマエッチング処理を施す
ことにより、前記半導体基板上の酸化シリコン系の絶縁
膜を加工する際に、前記エッチング処理室内に流入され
るエッチングガスの総流量を400〜800cm3/m
inとするものである。
【0041】23.本発明は、半導体基板に対して、フ
ロロカーボン系のガス、酸素および希釈ガスを有するエ
ッチングガスを用いてプラズマエッチング処理を施すこ
とにより、前記半導体基板上の酸化シリコン系の絶縁膜
を加工する際に、前記エッチング処理室内に流入される
エッチングガスの総流量を700cm3/min以上と
するものである。
【0042】24.本発明は、(a)半導体基板上に窒
化シリコン系の絶縁膜を堆積する工程、(b)前記窒化
シリコン系の絶縁膜上に酸化シリコン系の絶縁膜を堆積
する工程、(c)前記半導体基板に対して、フロロカー
ボン系のガス、酸素および希釈ガスを有するエッチング
ガスを用いてプラズマエッチング処理を施すことによ
り、前記酸化シリコン系の絶縁膜を加工する工程を有
し、前記プラズマエッチング処理中のエッチング処理室
内の圧力を0.7〜7Paとするものである。
【0043】25.本発明は、(a)半導体基板上に窒
化シリコン系の絶縁膜を堆積する工程、(b)前記窒化
シリコン系の絶縁膜上に酸化シリコン系の絶縁膜を堆積
する工程、(c)前記半導体基板に対して、フロロカー
ボン系のガス、酸素および希釈ガスを有するエッチング
ガスを用いてプラズマエッチング処理を施すことによ
り、前記酸化シリコン系の絶縁膜を加工する工程を有
し、前記プラズマエッチング処理中のエッチング処理室
内の圧力を1.3〜4Paとするものである。
【0044】26.本願発明は、上記項24または25
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を200〜1000cm3/minと
するものである。
【0045】27.本願発明は、上記項24または25
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を400〜800cm3/minとす
るものである。
【0046】28.本発明は、上記項24または25に
おいて、前記エッチング処理室内に流入されるエッチン
グガスの総流量を700cm3/min以上とするもの
である。
【0047】29.本発明は、(a)半導体基板上に電
極配線を形成する工程、(b)前記半導体基板上に前記
電極配線の表面を覆うように窒化シリコン系の絶縁膜を
堆積する工程、(c)前記窒化シリコン系の絶縁膜上に
酸化シリコン系の絶縁膜を堆積する工程、(d)前記半
導体基板に対して、フロロカーボン系のガス、酸素およ
び希釈ガスを有するエッチングガスを用いてプラズマエ
ッチング処理を施すことにより、前記酸化シリコン系の
絶縁膜に、前記電極配線に対して自己整合的に孔を形成
する工程を有し、前記プラズマエッチング処理中のエッ
チング処理室内の圧力を0.7〜7Paとするものであ
る。
【0048】30.本発明は、(a)半導体基板上に電
極配線を形成する工程、(b)前記半導体基板上に前記
電極配線の表面を覆うように窒化シリコン系の絶縁膜を
堆積する工程、(c)前記窒化シリコン系の絶縁膜上に
酸化シリコン系の絶縁膜を堆積する工程、(d)前記半
導体基板に対して、フロロカーボン系のガス、酸素およ
び希釈ガスを有するエッチングガスを用いてプラズマエ
ッチング処理を施すことにより、前記酸化シリコン系の
絶縁膜に、前記電極配線に対して自己整合的に孔を形成
する工程を有し、前記プラズマエッチング処理中のエッ
チング処理室内の圧力を1.3〜4Paとするものであ
る。
【0049】31.本願発明は、上記項29または30
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を200〜1000cm3/minと
するものである。
【0050】32.本願発明は、上記項29または30
において、前記エッチング処理室内に流入されるエッチ
ングガスの総流量を400〜800cm3/minとす
るものである。
【0051】33.本発明は、上記項29または30に
おいて、前記エッチング処理室内に流入されるエッチン
グガスの総流量を700cm3/min以上とするもの
である。
【0052】34.本発明は、上記項29〜30のいず
れかにおいて、前記プラズマエッチング処理後、前記孔
から露出する前記窒化シリコン系の絶縁膜を除去し、前
記半導体基板を露出させる工程、前記半導体基板の露出
する孔内に導体膜を埋め込む工程を有するものである。
【0053】35.本発明は、上記項1〜34のいずれ
かにおいて、前記プラズマエッチング処理中の半導体基
板の温度を、50〜180℃とするものである。
【0054】36.本発明は、上記項1〜34のいずれ
かにおいて、前記プラズマエッチング処理中の半導体基
板の温度を、60〜140℃とするものである。
【0055】37.本発明は、上記項1〜34のいずれ
かにおいて、前記プラズマエッチング処理中の半導体基
板の温度を、100〜130℃とするものである。
【0056】38.本願の発明は、(a)半導体基板上
に酸化シリコン系の絶縁膜を堆積する工程、(b)前記
酸化シリコン系の絶縁膜上にハードマスクを形成する工
程、(c)前記ハードマスクをエッチングマスクとし
て、前記半導体基板に対して、フロロカーボン系のガ
ス、酸素および希釈ガスを有するエッチングガスを用い
てプラズマエッチング処理を施すことにより、前記酸化
シリコン系の絶縁膜を加工する工程を有し、前記エッチ
ングガスのエッチング処理室内滞在時間が50〜700
msとするものである。
【0057】39.本発明は、(a)半導体基板上に酸
化シリコン系の絶縁膜を堆積する工程、(b)前記酸化
シリコン系の絶縁膜上にハードマスクを形成する工程、
(c)前記ハードマスクをエッチングマスクとして、前
記半導体基板に対して、フロロカーボン系のガス、酸素
および希釈ガスを有するエッチングガスを用いてプラズ
マエッチング処理を施すことにより、前記酸化シリコン
系の絶縁膜を加工する工程を有し、前記エッチングガス
のエッチング処理室内滞在時間が50〜350msとす
るものである。
【0058】40.本発明は、(a)半導体基板上に酸
化シリコン系の絶縁膜を堆積する工程、(b)前記酸化
シリコン系の絶縁膜上にハードマスクを形成する工程、
(c)前記ハードマスクをエッチングマスクとして、前
記半導体基板に対して、フロロカーボン系のガス、酸素
および希釈ガスを有するエッチングガスを用いてプラズ
マエッチング処理を施すことにより、前記酸化シリコン
系の絶縁膜を加工する工程を有し、前記エッチングガス
のエッチング処理室内滞在時間が100〜200msと
するものである。
【0059】41.本発明は、上記項38〜40のいず
れかにおいて、前記プラズマエッチング処理中のエッチ
ング処理室内の圧力を0.7〜7Paとするものであ
る。
【0060】42.本発明は、上記項38〜40のいず
れかにおいて、前記プラズマエッチング処理中のエッチ
ング処理室内の圧力を1.3〜4Paとするものであ
る。
【0061】43.本願発明は、上記項38〜42のい
ずれかにおいて、前記エッチング処理室内に流入される
エッチングガスの総流量を200〜1000cm3/m
inとするものである。
【0062】44.本願発明は、上記項38〜42のい
ずれかにおいて、前記エッチング処理室内に流入される
エッチングガスの総流量を400〜800cm3/mi
nとするものである。
【0063】45.本発明は、上記項38〜42のいず
れかにおいて、前記エッチング処理室内に流入されるエ
ッチングガスの総流量を700cm3/min以上とす
るものである。
【0064】46.本発明は、上記項39〜45のいず
れかにおいて、前記プラズマエッチング処理によって前
記酸化シリコン系の絶縁膜に孔を形成し、その孔内に情
報蓄積容量素子を形成する工程を有するものである。
【0065】47.本発明は、(a)半導体基板上に酸
化シリコン系の絶縁膜を堆積する工程、(b)前記酸化
シリコン系の絶縁膜上にハードマスクを形成する工程、
(c)前記ハードマスクをエッチングマスクとして、前
記半導体基板に対して、フロロカーボン系のガス、酸素
および希釈ガスを有するエッチングガスを用いてプラズ
マエッチング処理を施すことにより、前記酸化シリコン
系の絶縁膜を加工する工程を有し、前記プラズマエッチ
ング処理中のエッチング処理室内の圧力を0.7〜7P
aとするものである。
【0066】48.本発明は、(a)半導体基板上に酸
化シリコン系の絶縁膜を堆積する工程、(b)前記酸化
シリコン系の絶縁膜上にハードマスクを形成する工程、
(c)前記ハードマスクをエッチングマスクとして、前
記半導体基板に対して、フロロカーボン系のガス、酸素
および希釈ガスを有するエッチングガスを用いてプラズ
マエッチング処理を施すことにより、前記プラズマエッ
チング処理中のエッチング処理室内の圧力を1.3〜4
Paとするものである。
【0067】49.本願発明は、上記項47または48
のいずれかにおいて、前記エッチング処理室内に流入さ
れるエッチングガスの総流量を200〜1000cm3
/minとするものである。
【0068】50.本願発明は、上記項47または48
のいずれかにおいて、前記エッチング処理室内に流入さ
れるエッチングガスの総流量を400〜800cm3
minとするものである。
【0069】51.本発明は、上記項47または48の
いずれかにおいて、前記エッチング処理室内に流入され
るエッチングガスの総流量を700cm3/min以上
とするものである。
【0070】52.本発明は、上記項39〜51のいず
れかにおいて、前記ハードマスクをポリシリコンとする
ものである。
【0071】53.本発明は、上記項47〜52のいず
れかにおいて、前記プラズマエッチング処理によって前
記酸化シリコン系の絶縁膜に孔を形成し、その孔内に情
報蓄積容量素子を形成する工程を有するものである。
【0072】54.本発明は、上記項1〜53におい
て、前記希釈ガスの流量が、前記フロロカーボン系のガ
スおよび酸素の流量よりも多いものである。
【0073】55.本発明は、上記項1〜53におい
て、前記プラズマエッチング処理中のプラズマ密度が、
1×1010〜1×1013/cm3とするものである。
【0074】56.本発明は、上記項1〜53におい
て、前記プラズマエッチング処理中のプラズマ密度が、
1×1010〜1×1012/cm3とするものである。
【0075】57.本発明は、上記項1〜53におい
て、前記プラズマエッチング処理中のプラズマ密度が、
5×1010〜5×1011/cm3とするものである。
【0076】58.本発明は、上記項1〜57におい
て、前記フロロカーボン系のガスがC 58であり、前記
希釈ガスがアルゴンとするものである。
【0077】59.本発明は、上記項58において、前
記アルゴンガスの流量を200〜1000cm3/mi
nとするものである。
【0078】60.本発明は、上記項58において、前
記アルゴンガスの流量を400〜800cm3/min
とするものである。
【0079】61.本発明は、上記項58〜60におい
て、前記酸素とC58とのガス流量比(酸素/C58
を、0.5〜2.0とするものである。
【0080】62.本発明は、上記項58〜60におい
て、前記酸素とC58とのガス流量比(酸素/C58
を、0.8〜1.5とするものである。
【0081】63.本発明は、上記項58〜60におい
て、前記酸素とC58とのガス流量比(酸素/C58
を、1〜1.2とするものである。
【0082】64.本発明は、上記項58〜60におい
て、前記C58の分圧を0.02〜0.2Paとするも
のある。
【0083】65.本発明は、上記項58〜60におい
て、前記C58の分圧を0.04〜0.1Paとするも
のある。
【0084】66.本発明は、上記項58〜60におい
て、前記C58の分圧を0.04〜0.08Paとする
ものある。
【0085】
【発明の実施の形態】以下、本願において使用する用語
の一般的意味について説明する。
【0086】1.デバイス面とは、半導体ウエハの主面
であって、その面にフォトリソグラフィーにより、複数
のチップ領域に対応する集積回路パターンが形成される
面をいう。すなわち、「裏面」に対して、その反対側の
主面をいう。
【0087】2.半導体集積回路ウエハまたは半導体ウ
エハとは、半導体集積回路の製造に用いるシリコン単結
晶基板(一般にほぼ円形)、サファイア基板、ガラス基
板その他の絶縁、反絶縁または半導体基板などならびに
それらの複合的基板をいう。また、「半導体集積回路装
置」(あるいは「電子装置」、「電子回路装置」など)
というときは、単結晶シリコン基板上に作られるものだ
けでなく、特にそうでない旨が明示された場合を除き、
上記した各種基板、あるいはさらにSOI(Silicon On
Insulator)基板、TFT(Thin Film Transistor)液晶製
造用基板、STN(Super Twisted Nematic) 液晶製造用
基板などといった他の基板上に作られるものを含むもの
とする。
【0088】3.シリコンナイトライド、窒化ケイ素ま
たは窒化シリコンというときは、Si34のみではな
く、シリコンの窒化物で類似組成の絶縁膜を含むものと
する。
【0089】4.キャップ膜は、埋め込み配線の情報の
電気的接続部以外に形成される絶縁性および拡散バリア
性の高い絶縁膜で、一般に層間絶縁膜の主要部とは別の
材料、例えば窒化シリコン膜で形成される。
【0090】5.「滞在時間(τ)」とは、τ=V/S
=P・V/Qで表すことができる時間である。上式のV
はプラズマ容積またはチャンバ(エッチング処理室)容
積、Sは真空ポンプの実効排気速度(チャンバとポンプ
と間の配管のコンダクタンスを考慮した値)、Pは処理
圧力、Qはガス流量である。したがって、Vをプラズマ
容積とするか、チャンバ容積とするかによって、それぞ
れプラズマ内滞在時間とチャンバ内(エッチング処理室
内)滞在時間の捉え方ができる。プラズマ内滞在時間
は、エッチング処理に際して流入ガス分子がプラズマ中
に留まっていられる時間である。また、チャンバ内滞在
時間は、エッチング処理に際して、流入ガス分子がチャ
ンバ内に留まっていられる時間である。
【0091】上式において、処理圧力、プラズマ容積
(またはチャンバ容積)およびガス流量を代入すること
により滞在時間を導出している。
【0092】上記処理圧力Pは、例えばエッチング装置
のチャンバ内の側壁に設置されたバラトン真空計の値を
用いている。また、ガス流量Qは、例えばマスフローコ
ントローラの値を用いている。
【0093】プラズマ容積は、図47に示すように、プ
ラズマを円柱と考えて導出している。この場合、プラズ
マ容積V=π・r2・(電極間隔)、と表すことができ
る。また、図47の電極間隔(gap)は、平行平板型
エッチング装置の上部電極と下部電極との間隔のことで
ある。
【0094】また、チャンバ容積Vは、バルブを全閉し
た状態においてチャンバ内にガスを流入させた場合の、
時間に対する圧力の増加の割合を測定することで求め
た。ガス流量の単位のcm3/minは、標準状態(0
℃、1atm)における1分当たりに流れるガスの体積
である。標準状態(0℃、1atm)において1モルは
22.414(L)(=22414(cm3))なの
で、1(cm3/min)=1/22414(mol/
min)=4.46×10-5(mol/min)とな
る。
【0095】これを用いて、Δtの間にエッチング装置
のチャンバ内に流入したガスのモル数が求められ、それ
に伴う圧力の変化Δpを用いれば(図48参照)、気体
の状態方程式 P・V=n・R・Tを用いて、Δp・V
=(Q・Δt)・R・T、(V:チャンバ容積、R:気
体定数、T:温度、Q:ガス流量)からチャンバ容積V
を求めることが可能である。その結果、本実施の形態で
用いたエッチング装置においては、チャンバ容積V=7
4.98(L)であった。
【0096】6.エッチングガスは、反応ガスと、希釈
ガスと、その他のガスとを有している。反応ガスは、主
としてエッチングと堆積との両方の反応に寄与するガス
であり、さらに、主反応ガスと、添加反応ガスとに分類
できる。SACプロセスやHARCプロセスに用いられ
る主反応ガスとしては、フロロカーボン系のガスがあ
り、添加反応ガスとしては酸素(O2)を含むガスがあ
る。そのフロロカーボン系のガスは、飽和型と不飽和型
に分類できる。
【0097】7.電極配線とは、電極または配線の総称
であって、電気信号の経路を形成する構成部材である。
【0098】以下、本発明の実施の形態を図面に基づい
て詳細に説明する。なお、実施の形態を説明するための
全図において、同一の機能を有する部材には同一の符号
を付し、その繰り返しの説明は省略する。また、以下の
実施の形態では、特に必要なとき以外は同一または同様
な部分の説明を原則として繰り返さない。
【0099】また、以下の実施の形態では、便宜上その
必要があるときは、複数のセクションまたは実施の形態
に分割して説明するが、特に明示した場合を除き、それ
らは互いに無関係なものではなく、一方は他方の一部ま
たは全部の変形例、詳細、補足説明などの関係にある。
【0100】また、以下の実施の形態において、要素の
数など(個数、数値、量、範囲などを含む)に言及する
場合、特に明示したときおよび原理的に明らかに特定の
数に限定されるときを除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でもよい。
【0101】さらに、以下の実施の形態において、その
構成要素(要素ステップなどを含む)は、特に明示した
場合および原理的に明らかに必須であると考えられる場
合を除き、必ずしも必須のものではないことは言うまで
もない。
【0102】同様に、以下の実施の形態において、構成
要素などの形状、位置関係などに言及するときは、特に
明示した場合および原理的に明らかにそうでないと考え
られる場合を除き、実質的にその形状などに近似または
類似するものなどを含むものとする。このことは、上記
数値および範囲についても同様である。
【0103】また、以下の実施の形態では、電界効果ト
ランジスタを代表するMIS・FET(Metal Insulato
r Semiconductor Field Effect Transistor)を単にM
ISという。
【0104】まず、本実施の形態の説明に先立って、本
発明に到る経緯について説明する。
【0105】DRAM(Dynamic Random Access Memor
y)プロセスの微細化のキーテクノロジーである超高ア
スペクト酸化膜ドライエッチング技術においては、設計
ルールの微細化に伴うコンタクトホールの高アスペクト
化の為、従来のプロセス技術では、開口性とエッチング
マスクの選択比の確保とを両立させることは不可能であ
ることがわかった。そのため、高アスペクト化に対応し
たSACおよびHARC加工技術の開発が必須となっ
た。
【0106】次に、本発明者らが行った実験について説
明する。
【0107】まず、今回の実験に用いたエッチング装置
について説明する。今回の実験に用いた酸化膜ドライエ
ッチング装置は、例えば図1に示すような二周波励起R
IE装置(東京エレクトロン社製のUNITY-IIS-85DI)1
である。駆動周波数とバイアス周波数を分けることで、
プラズマの生成及び解離と半導体ウエハ2に入射するイ
オンのエネルギーを独立に制御することが可能である。
この二周波励起RIE装置のエッチングリアクターの断
面を図1に示す。上記従来の二周波励起RIE装置(UN
ITY-II-85DI)では、駆動周波数27MHz、バイアス
周波数800kHzであったが、それに対して図1の二
周波励起RIE装置1では、それぞれ60MHzと2M
Hzに最適化を行なった。そのことにより、高アスペク
ト酸化膜エッチングプロセスに対応して、より低圧(〜
1Pa)で高密度(〜1011cm-3)なプラズマを安定生
成することが可能である。さらに、実験を進めていく過
程で大排気化改造(ターボ分子ポンプ排気速度:130
0(l/s)を2200(l/s)に改造)を行った。
【0108】次いで、今回の実験に用いた試料について
説明する。今回の高アスペクト酸化膜ドライエッチング
技術の開発においては、図2〜図4に示すような試料を
用いた。
【0109】図2は、マイクロ・ローディング計測ダミ
ーの断面図を示している。ここでは、半導体基板(単結
晶シリコン等からなる半導体ウエハ)2S上に、厚さ
2.0μm程度の酸化膜3aが形成され、さらにその上
に厚さ400〜800nm程度のホトレジスト4aが形
成されている。ここで、酸化膜3aに開口しようとして
いるホールの径は、0.16−0.6μm程度である。
【0110】図3は、直径0.16μm、アスペクト比
10のSACまたは直径0.16μm、アスペクト比1
0のHARCにおけるSACプロセスのダミーの断面図
を示している。ゲート絶縁膜5aは、例えば酸化シリコ
ンからなり、その厚さは、二酸化シリコン換算膜厚で、
6nm程度である。ワード線WL(ゲート電極6a)
は、例えば低抵抗ポリシリコン膜上に窒化タングステン
を介してタングステンを設けて成り、その上にはキャッ
プ膜7aが形成されている。キャップ膜7aは、例えば
窒化シリコン膜からなる。ワード線WL(ゲート電極6
a)、キャップ膜7aおよびワード線WLから露出する
半導体基板2Sの表面は、厚さが40〜60nm程度の
薄い窒化シリコン膜8aで覆われている。この窒化シリ
コン膜8a上には、酸化膜3bが堆積されている。隣接
するワード線WL(ゲート電極6a)間の酸化膜3b1
の底面(酸化膜3b1が窒化シリコン膜8aに接する
面)から酸化膜3b2の上面までの寸法D1は、例えば
600nm程度である。酸化膜3b2上には、厚さが5
0〜200nm程度の反射防止膜9aを介して、厚さが
260nm程度のホトレジスト膜4bが堆積されてい
る。ホトレジスト膜4bは、SACプロセスにより孔を
形成するためのマスクである。反射防止膜9aはホトレ
ジスト膜をマスクとして既にエッチング処理が施されて
いる。
【0111】図4の(a),(b)は、直径0.16μ
m、アスペクト比10のSACまたは直径0.16μ
m、アスペクト比10のHARCにおけるHARCプロ
セスのダミーの断面図を示している。半導体基板2S上
には、厚さが50〜200nm程度の窒化シリコン膜8
bを介して、厚さ2.0μm程度の酸化膜3cが堆積さ
れている。酸化膜3c上には、厚さ200〜300nm
程度のドープトポリシリコン膜で構成されるハードマス
ク10aが形成されている。図4(a)において、ハー
ドマスク10aの開口寸法D2は、450nm程度であ
る。また、図4(b)において、ハードマスク10aの
開口寸法D2は、160nm程度である。なお、図4
(b)は(a)の断面に対して垂直な面であって、
(a)のA−A線の断面図である。
【0112】次に、上記ダミーを用いたSACおよびH
ARCプロセスの評価方法について説明する。今回の実
験においては、例えば以下の第1〜第4について評価し
た。
【0113】第1に、酸化膜エッチングにおける開口性
を判断する尺度として、マイクロ・ローディング効果を
測定した。本実験では、エッチングを行い、0.16μ
mと、0.5μmのホール内のエッチング深さを測定
し、次式(1)に従ってマイクロ・ローディング効果を算
出した。数値が100%に近いほど微小ホールでのエッ
チングレートの低下が少ないことを示す。 マイクロ・ローディング効果[%]=(φ0.16μmのエッチング深さ)/(φ0 .5μmのエッチング深さ)×100 ……………………………(1) 第2に、窒化シリコン膜のエッチング選択比を測定し
た。すなわち、酸化膜エッチング条件でエッチングを行
い、断面SEM(Scanning Electron Microscope)を用
いて図5に示される部分の寸法D4,D5を測定し、次
式(2)に従い、酸化膜3bとゲート電極6a(ワード線
WL)肩部の窒化シリコン膜8aとの選択比を算出し
た。寸法D4はゲート電極6a上の酸化膜3bの厚さで
あり、寸法D5はゲート電極6a上肩部の窒化シリコン
膜8aの削れ量を示している。このエッチング処理の際
に用いる酸化膜3bのエッチングレートは、上記図1の
二周波励起RIE装置(UNITY-IIS-85DI)1に組み込ま
れた終点検出器を用いて算出した。具体的には、プラズ
マ内の発光種の中で、供給ガスと酸化膜の反応生成物で
あるSiFをモニタし、穴底の窒化シリコン膜8aが露
出した時点での発光強度曲線の落ち込み時間を測定して
いる。 窒化シリコン膜の選択比=((酸化膜のエッチングレート)×(エッチング時間)−( 寸法D4))/寸法D5 ……………………………………………(2) 第3に、HARCプロセスにおけるハードマスクの選択
比を測定した。すなわち、図6に示すように、酸化膜3
cエッチングを行う際のマスクとなるドープトポリシリ
コン膜の削れ量の寸法D6と、酸化膜3cのエッチング
深さ寸法D7を測定し、対ドープトポリシリコン選択比
を算出した。なお、ハードマスク10a1は、酸化膜エ
ッチング処理後の前記図4のハードマスク10aの状態
を示している。
【0114】第4に、エッチング処理時における半導体
ウエハ2の上面(デバイス面に相当)の温度を測定し
た。ここでは、半導体ウエハ2の上面において、中央
と、外周と、それらの間との3点にテンププレート(W
ahl社製)を添付し、その上にカプトンテープで覆っ
たものを各酸化膜エッチング条件のプラズマ中に2分間
さらし、各部で測定された温度の平均値で、その温度を
算出した。
【0115】次に、実験結果および検討結果を述べる。
【0116】前記したように、直径0.15μmプロセ
ス以降の高アスペクト酸化膜エッチングプロセスにおい
て、直径0.18μmプロセスで適用したSACおよび
HARCプロセスでは開口性と高選択比との両立ができ
ないため、さらなる高アスペクト化に対応したSACお
よびHARCプロセスの開発が必要である。SACプロ
セスでは、開口性と穴の底部にある窒化シリコン膜との
選択比の確保を両立することが要求される。また、HA
RCプロセスでは、開口性とエッチングマスクとなるド
ープトポリシリコン膜との選択比の確保の両立が要求さ
れる。そのため、SACプロセスとHARCプロセスと
では選択比の要求される場所が穴底と半導体ウエハの表
面という相違があるため全く同一のプロセスを適用する
ことはできず、各プロセスにおける最適化が必要とな
る。本実験では、はじめにSACプロセスにおいて開口
性を向上させる方向を検討し、加えて高窒化シリコン選
択比を実現するプロセスの開発を行った。次に、開口性
の向上したプロセスを基にしてHARCプロセスへの適
用を検討し、ドープトポリシリコン膜との選択比の確保
が可能なプロセスの開発を行った。
【0117】まず、SACプロセスの基礎的な検討結果
を説明する。
【0118】本実験で用いたC58のようなフロロカー
ボン系のガスの場合、プラズマ中での解離によって生成
されたCFxラジカル(主としてCF2ラジカル)が穴底
の酸化膜(酸化シリコン膜)上にポリマー層として堆積
する。そこに入射したイオンがそのポリマー層を透過し
て酸化膜とポリマー層の界面にエネルギーを供給するこ
とで化学反応が起こり、酸化膜エッチングが進行する。
また、酸化膜上に堆積するポリマー層が酸化膜中に存在
するO(酸素)との反応によってCOもしくはCOFx
して除去されるのに対して、窒化シリコン膜上のポリマ
ー層は下地膜(窒化シリコン膜)からの酸素の供給がな
いため酸化膜上よりもポリマー層が厚くなっており窒化
シリコン膜はエッチングが進行し難い。そのため、CF
xラジカルフラックスが増加すると酸化膜上では反応が
促進されてエッチングレートは増加するが窒化シリコン
膜のエッチングレートはそれほど変化しない。しかしな
がら、穴底に到達するCFxラジカルフラックスが過剰
であると酸化膜上にもイオンが透過できないほどにポリ
マー層が厚くなりエッチングの進行が遅くなる。したが
って、開口性の向上及び高窒化シリコン選択比を実現さ
せるためには、酸化膜上と窒化シリコン膜上のポリマー
層の厚さを決定するCFxラジカルの生成と入射イオン
フラックス及びイオンエネルギーを適切に制御する必要
がある。
【0119】本実験では、圧力、ガス流量をパラメータ
としてマイクロ・ローディング効果、テーパ角および窒
化シリコン選択比の測定を行い、開口性と高選択比とを
実現する方向を検討しその機構の解明を行った。
【0120】圧力2.66Paにおいて、C58/O2
比(=8/9)を一定に保ったまま添加量をC58/O2
=8/9、16/18cm3/minと増加させてアル
ゴンガス流量400−800cm3/minでマイクロ
・ローディング効果を測定した。結果を図7に示す。ア
ルゴンガス流量400cm3/minの場合にはC58
/O2ガス流量の増加によって穴底に到達するCFxラジ
カルフラックスが過剰となり、酸化膜上に過剰なポリマ
ー層が形成されるため、マイクロ・ローディング効果は
大きくなる。アルゴンガス流量を600、800cm3
/minと増加させていくと、滞在時間の減少によって
過剰なポリマー層の形成が抑制され、圧力2.66P
a、C58/O2/Ar=16/18/800cm3/m
inにすることでマイクロ・ローディング効果が98%
まで改善された。このことからマイクロ・ローディング
効果は、滞在時間に大きく依存しており、滞在時間の短
い領域でCFxラジカル供給量の最適化を行えば改善で
きることがわかった。
【0121】次に、テーパ角向上について検討した結果
を説明する。
【0122】マイクロ・ローディング効果の測定と同様
に、圧力2.66Paにおいてアルゴンガス流量をパラ
メータとして直径0.16μm、アスペクト比10のS
ACまたは直径0.16μm、アスペクト比10のHA
RCにおけるSACプロセスのダミーを酸化膜エッチン
グしたときのテーパー角およびゲート電極上の肩部にお
ける窒化シリコン選択比をそれぞれ図8(a),(b)
に示す。C58/O2=8/9,16/18cm3/mi
nとし、エッチング時間経過後、10%オーバエッチン
グ処理を施したものである。
【0123】C58/O2のガス流量を16/18cm3
/minとして、アルゴンガス流量を増加させると(図
8)、高窒化シリコン選択比とテーパ角の垂直性を両立
する結果が得られている。これは、C58/O2=8/
9cm3/minの場合に、窒化シリコン選択比がアル
ゴンガス流量増加に伴って減少する結果とは逆の傾向で
ある。この結果からテーパ角は、圧力の低下とアルゴン
ガス流量の増加により滞在時間を短くすれば、より垂直
に近づくことが分かり、さらにC58ガス流量を増加さ
せることでマイクロ・ローディング効果の改善(98
%)、垂直に近いテーパ角(89度)および高窒化シリコ
ン選択比(8.8)を同時に満たすことができることがわ
かった。
【0124】次に、低圧、大流量条件のエッチング機構
の解析について説明する。
【0125】これまでの検討によって、低圧力・大流量
条件にして滞在時間が短くなった状態でC58とO2
ス流量を増加させると開口性、垂直形状および高窒化シ
リコン選択比を同時に満たせることが分かった。ここで
は、そのエッチング機構を解析した。
【0126】図9に、これまでに測定したマイクロ・ロ
ーディング効果(a)、テーパ角(b)および窒化シリ
コン選択比(c)の滞在時間依存性を示す。C58とO
2とのガス流量に関係なく滞在時間の短い領域でマイク
ロ・ローディング効果は少なく(図9(a)参照)、テーパ
角は垂直に近くなっている(図9(b)参照)。滞在時間が
長くなるとC58の解離が促進されてCラジカルやCF
xラジカル密度が増加する。その結果、穴底の酸化膜上に
は過剰なポリマー層が形成され、穴底に到達できるイオ
ンが少ない高アスペクトな穴ではエッチングレートが減
少してマイクロ・ローディング効果が大きくなる。また
Cラジカルは吸着確率が高く、穴上部の側壁に付着する
ため、テーパ角が悪化すると考えられる。窒化シリコン
選択比に関しては、C58/O2=8/9cm3/min
の場合には測定領域において滞在時間の増加に伴い増加
しているが、16/18cm3/minの場合には滞在
時間の増加に伴い選択比は低下している(図9(c)参
照)。
【0127】次に、この相違が生じる機構を検討した。
図10に直径0.16μm、アスペクト比10のSAC
プロセスを用いたプロセスにおいてC58/O2=8/
9cm3/min(図10(a)〜(c))と、16/
18cm3/min(図10(d)〜(f))との場合
の酸化膜エッチングレート(図10(a),(d))、
ゲート電極上の肩部の窒化シリコンエッチングレート
(図10(b),(e))および窒化シリコン選択比の
滞在時間(図10(c),(f))依存性を示す。ま
た、図11(a)〜(c)にエッチング処理時における
酸化膜および窒化シリコン膜のエッチングモデルを示
す。図11(a)はラジカル供給不足、(b)はラジカ
ル供給適正、(c)はラジカル供給過剰をそれぞれ示し
ている。
【0128】C58/O2=8/9cm3/minの場合
には、滞在時間が〜8msで窒化シリコン選択比が最大
となり、C58/O2=16/18cm3/minの場合
には〜3msで最大となる(図10(c),(f)の領域I
I)。C58/O2=8/9cm3/minの場合、図10
(a)〜(c)に示す領域Iでは、滞在時間が短いために穴底
に到達するCFxラジカルが少なく、またアルゴンガス
の比率が高いためにアルゴンガスが電離して生成される
イオン密度が高い状態にあると考えられる。この場合、
酸化膜上のポリマー層は薄く酸化膜のエッチングはエッ
チャントとなるCFxラジカルの供給量に律速され、窒
化シリコン膜上のポリマー層も薄くイオンが十分に透過
できるため窒化シリコン膜もエッチングされやすい状態
であると考えられる(図11(a))。
【0129】この状態から滞在時間が増える(図10の
領域II)にしたがいCFxラジカルフラックスが増加して
ポリマー層の形成が促進される。酸化膜上ではポリマー
層中の炭素(C)やCFxが酸化膜中の酸素(O)と反
応して除去されるため、ポリマー層は厚くならず、イオ
ンがポリマー層を透過して直接、酸化膜界面に到達でき
るほどの膜厚になっていると考えられる。それに対し
て、窒化シリコン膜上には、窒化シリコンからの酸素
(O)の供給が無いためにポリマー層の除去効果が少な
く、イオンの透過距離以上に厚いポリマー層が形成され
ていると考えられる。そのため、窒化シリコンのエッチ
ングレートは滞在時間が増加してCFxラジカルの供給
量が増えてもほとんど変化しない(図11(b))。その結
果、窒化シリコン選択比が向上していると考えられる。
さらに、滞在時間が長い領域(図10III)になると、C
xラジカル供給量が過剰な状態になり酸化膜上にもイ
オンが透過できる膜厚以上のポリマー層が形成されるた
め、酸化膜のエッチングレートが低下し、窒化シリコン
選択比が低くなる(図11(c))。図10の(d)〜(f)
に示すC58/O2=16/18cm3/minの場合に
は、窒化シリコン選択比のピークが滞在時間の短い方向
にシフトしている。C58/O2=8/9cm3/min
の場合と異なり滞在時間が3msにおいて酸化膜エッチ
ングレートが速く、窒化シリコンエッチングレートが遅
くなっている。これはC58流量を増加したことによっ
てC58が解離して生成されるCFxラジカル密度が増
加し、滞在時間が短い領域で穴底に供給されるCFx
ジカルフラックスがC58/O2=8/9cm3/min
の場合の領域IIにおけるCFxラジカルフラックスと同
等になるためだと考えられる。これにより、C58の過
剰な解離が抑制されてマイクロ・ローディング効果が少
なく、テーパ角が垂直形状になっている滞在時間の短い
領域で高窒化シリコン選択比が実現できていると考えら
れる。
【0130】以上の結果から0.15μmプロセス以降
の高アスペクト比酸化膜エッチングにおいては、低圧力
で大流量のガス条件が必須であり、0.15μmプロセ
スの開発からUNITY-IIS85-DIのターボ分子ポンプの排気
速度を1300(l/s)から2200(l/s)に変
更し、圧力2.66Paにおいてガス流量900cm 3
/minでも十分に排気が可能になり、安定してエッチ
ングプロセスを行えるようにした。
【0131】次に、エッチング処理時の半導体ウエハ上
面の温度依存性について説明する。
【0132】窒化シリコン選択比を向上させる手段とし
て、窒化シリコン膜上に保護膜となるポリマー層を厚く
堆積させる方法がある。半導体ウエハの温度を高くして
いったときの窒化シリコン選択比を調査した。その結
果、半導体ウエハの温度上昇に伴い、窒化シリコン選択
比は増加し、半導体ウエハの温度が123℃で、標準条
件(半導体ウエハの温度が68℃)の7.7から16.
1と2倍の選択比を実現した(図12)。なお、半導体
ウエハの温度が90℃で、選択比は9.5、半導体ウエ
ハの温度が112℃で、選択比は13.2が得られた。
【0133】この機構は、半導体ウエハの温度が上がる
ことで、CFxラジカルの吸着確率が減少し、穴上部で
側壁に堆積し難くなり、穴底まで輸送されて窒化シリコ
ン膜上での堆積量が増加すると考えられる。ここで、懸
念されるのは、半導体ウエハの温度が上がりCFxラジ
カルが穴底に輸送される量が増えて、酸化膜の開口性が
悪化することである。
【0134】そこで、マイクロ・ローディング効果の半
導体ウエハ温度依存性を確認した(図12)。半導体ウエ
ハ温度の上昇に対してマイクロ・ローディング効果の増
大は見られず、実用上問題ないといえる。また、半導体
ウエハの温度が123℃はホトレジストの融解の面から
も問題ないと考えられる。以上のことから、エッチング
処理時において半導体ウエハの温度を上げることは、窒
化シリコン選択比の向上に非常に有効な手段であり、S
ACプロセスには、半導体ウエハの温度が123℃を適
用した。
【0135】今回開発した条件は、例えば直径0.16
μm、アスペクト比が10のSACプロセスを実現して
いるが、コンタクトホールエッチングにおいて致命的な
不良となる非開口を防ぐために、開口性の確認を行っ
た。サンプルとして、SACプロセスにおけるダミーの
ゲート電極上に堆積する酸化膜の膜厚を変更して、アス
ペクト比を13にしたものを用いた。その結果、開口性と
テーパ角に関しては問題なく加工できている。
【0136】これまでの検討から、例えば直径0.16
μm、アスペクト比10のSACプロセス条件の一例を
図13に示す。本工程では、酸化膜エッチング後、窒化
シリコン膜上のポリマー層を除去するための後処理およ
び窒化シリコンエッチングを行っている。
【0137】SACプロセスにおいて特に問題となるの
はSACプロセスにより形成されたコンタクトホールと
ゲート電極(ワード線)とのショートであり、そのコン
タクトホールとゲート電極とのショートマージンを直径
0.16μm、アスペクト比10のSACプロセスと直
径0.18μm、アスペクト比7のSACプロセスとで
比較した。直径0.18μm、アスペクト比7のSAC
プロセス条件ではショートマージンは27nmと少な
い。それに対して、上記直径0.16μm、アスペクト
比10のSACプロセス適用条件の場合、酸化膜エッチ
ングにおける窒化シリコン膜の削れ量を低減したこと
で、直径0.18μm、アスペクト比7のSACプロセ
ス条件に対して〜10nmのショートマージンの増加を
実現した。この結果から本条件のSACプロセスはショ
ートマージンが十分確保されており、量産可能なレベル
にあるといえる。
【0138】高アスペクトSACプロセスにおいては、
低圧力で大流量な条件では高窒化シリコン選択比を確保
することが困難であり、主にC48といったC/F比の
低いフロロカーボン系のガスにCH22を添加して使用
する等の化学反応の面から対応してきた。本実験では
0.18μmプロセスに適用したC58をそのまま用い
て反応系を変えることなく、しかも困難とされてきた低
圧力、大流量条件において高窒化シリコン選択比を確保
できるプロセスを構築した。このことは、今後一層設計
ルールの微細化に伴って高アスペクト化していく酸化膜
エッチングプロセスにおいて有効な技術であり、高性能
であり、かつ、信頼性の高い半導体集積回路装置を提供
できると考えられる。
【0139】次に、以上のようなSACプロセスの検討
結果に続いて、HARCプロセスの検討結果について説
明する。
【0140】HARCプロセス(情報蓄積用容量素子の
下部電極が形成される孔)は、アスペクト比が〜13
(例えば深さ2.0μm/直径0.16μm)の加工が要
求されると同時に、0.16μmという狭ピッチにおい
てエッチングマスクのハードマスク(ドープトポリシリ
コン)との選択比を確保しなければならない。そのた
め、SACプロセスと同様に半導体ウエハ温度を上げる
方法では、半導体ウエハの上面のCFxラジカル堆積量
が減少し、ドープトポリシリコン選択比はむしろ低下し
てしまう。そこで、HARCプロセスでは、SACプロ
セスとは異なったプロセス条件の最適化を行った。
【0141】深さ2.0μmのコンタクトホールを加工
するためには、穴底に十分なエネルギーを持ったイオン
フラックスの供給が必要であり、高プラズマ密度が要求
される。また、ドープトポリシリコン選択比の向上のた
めには、酸化膜エッチングレートを増加させる方法と、
ドープトポリシリコン自身の削れ量を低下させる方法の
二つがあるが、今回は、C58とO2ガス流量を増加し
てCFxラジカル供給量を増加させ、酸化膜エッチング
レートを向上させる方法をとった。
【0142】次に、上記のようなHARCプロセスによ
る加工形状について説明する。
【0143】これまでの検討から決定された条件の開口
性のマージンを評価するために、酸化膜の膜厚を3.0
μmにして評価した。その結果、アスペクト比〜17
(深さ3.1/直径0.18μm)のコンタクトホール
の形成を実現している。よって、アスペクト比〜13
(深さ2.0/直径0.16μm)のHARCプロセスに
対して、本条件は開口性に関するマージンがあると判断
した。種々の検討から、直径0.16μm、アスペクト
比13のHARCプロセスの条件の一例として図14の
ようにした。
【0144】直径0.18μm、アスペクト比7のSA
Cまたは直径0.18μm、アスペクト比9のHARC
におけるHARCプロセスの条件においてジャスト・エ
ッチングで止めた段階で、ドープトポリシリコンが削れ
すぎており、特に半導体ウエハの周辺ではドープトポリ
シリコンがほとんど無くなり、穴上部で酸化膜がエッチ
ングされて穴が広がってしまう。穴底で細くなる形状を
改善するために、オーバーエッチングが必要であるがド
ープトポリシリコンの残膜が不足している。それに対し
て、直径0.16μm、アスペクト比10のSACまた
は直径0.16μm、アスペクト比10のHARCに適
用した条件の場合、直径0.18μm、アスペクト比7
のSACまたは直径0.18μm、アスペクト比9のH
ARCの条件の場合と比較して垂直加工性もよく(テー
パ角87.5°を89°にできた)、また、肩部のドー
プトポリシリコン選択比も向上しており(8を11にで
きた)、さらに寸法シフトも少なく加工できている(69
mmを27mmにできた)。
【0145】次に、最小加工寸法が0.16μm程度の
SACおよびHARCプロセスに本発明を適用した場合
を一例として説明する。
【0146】まず、本実施の形態で用いたエッチング装
置を図15によって説明する。このエッチング装置は、
前記図1に示した二周波励起RIE装置1と同じ装置で
ある。二周波励起RIE装置1のエッチング処理室を形
成するチャンバ1aは、例えば円筒形状に形成されたア
ルミニウムからなり、その表面にはアルマイト処理(陽
極酸化処理)が施されている。このチャンバ1aは接地
されている。このチャンバ1aの底部には、セラミック
等のような絶縁板を介して略円柱状のサセプタ支持台が
設けられており、さらに、その上には下部電極を構成す
るサセプタ1bが設けられている。
【0147】上記サセプタ支持台の内部には、冷却室が
設けられており、この冷却室には、例えば液体窒素等の
ような冷媒が導入され循環され、その冷熱がサセプタ1
bを介して半導体ウエハ2に伝導されることにより、半
導体ウエハ2の主面(上記デバイス面に相当)が所望の
温度に制御されている。
【0148】サセプタ1bは、その上面中央が凸状の円
板状に形成され、その上に半導体ウエハ2と平面形状が
略同形の静電チャック1cが設けられている。半導体ウ
エハ2は、この静電チャック1cの絶縁材の間に介在さ
れた電極に所定の直流電圧が印加されることにより、例
えばクーロン力によって静電吸着される。上記絶縁板、
サセプタ支持台、サセプタ1b、さらには静電チャック
1cには、半導体ウエハ2の裏面に、例えばヘリウム
(He)ガス等のような伝熱媒体を供給するためのガス
通路が形成されており、その伝熱媒体を介してサセプタ
1bの冷熱が半導体ウエハ2に伝達され半導体ウエハ2
が所定の温度に維持されるようになっている。前記サセ
プタ1bの上面周縁部には、静電チャック1c上の半導
体ウエハ2を取り囲むように、環状のフォーカスリング
が配置されている。このフォーカスリングは、半導体ウ
エハ2と同一材料のシリコン等からなり、半導体ウエハ
2の主面内のエッチングの均一性を向上させる機能を有
している。
【0149】サセプタ1bの上方には、このサセプタ1
bと平行に対向して上部電極1dが設けられている。こ
の上部電極1dは、絶縁材を介してチャンバ1aの上部
に支持されており、電極板1d1と、これを支持する電
極支持体1d2とを有している。電極板1d1は、例え
ばシリコン、炭化シリコン(SiC)またはアモルファ
スカーボンからなり、サセプタ1bの対向面に配置さ
れ、多数の孔1d3を有している。また、電極支持体1
d2は、例えばアルミニウムからなり、その表面はアル
マイト処理が施されている。このエッチング装置では、
サセプタ1b(下部電極)と上部電極1dとの間隔(以
下、電極間隔という)を、例えば17mm〜60mmの
範囲で変更可能なようになっている。なお、電極板1d
1の材料としては、上記材料の中でもスカベンジングが
可能なシリコンを用いることが好ましい。
【0150】上部電極1dにおける電極支持体1d2の
中央には、ガス導入口が設けられ、そのガス導入口には
ガス供給管が接続されており、さらにそのガス供給管に
はバルブおよび上記マスフローコントローラを介して処
理ガス供給源が機械的に接続されている。この処理ガス
供給源からエッチングガスが供給される。このチャンバ
1aの一部には、ガス排気管1eが設けられている。こ
のガス排気管1eは、例えばターボ分子ポンプ等のよう
な真空ポンプが備えられており、これにより、チャンバ
1a内を、所定の減圧雰囲気(例えば1〜13.3P
a)まで真空可能なように構成されている。真空ポンプ
の排気速度は、例えば2200(L/s)であり、大排
気化が可能となっている。また、チャンバ1aの側壁に
はゲートバルブが設けられている。半導体ウエハ2は、
そのゲートバルブを開いた状態で、チャンバ1aと、そ
れに隣接するロードロック室との間で搬送されるように
なっている。
【0151】上部電極1dには、第1の高周波電源1f
が、整合器およびハイパスフィルタHPFを介して電気
的に接続されている。この第1の高周波電源1fは、例
えば50〜150MHzの範囲の周波数の設定が可能と
なっており、このように高い周波数の電圧を印加するこ
とによりチャンバ1a内に好ましい解離状態で、かつ、
高密度のプラズマを形成することができ、従来よりも低
圧条件下でのプラズマエッチング処理が可能となってい
る。この第1の高周波電源1fの周波数は、例えば50
〜80MHzが好ましく、典型的には、60MHzまた
はその近傍の条件が採用される。
【0152】下部電極としてのサセプタ1bには、第2
の高周波電源1gが、ローパスフィルタLPFおよび整
合器を介して電気的に接続されている。この第2の高周
波電源1gは、例えば1〜4MHzの範囲の周波数の設
定が可能となっており、このような範囲の周波数の電圧
を印加することにより半導体ウエハ2に対してダメージ
を与えること無く適切なイオン作用を与えることができ
る。この第2の高周波電源1gの周波数は、例えば1〜
3MHzが好ましく、典型的には、2MHzまたはその
近傍の条件が採用される。
【0153】この二周波励起RIE装置1において、本
明細書で開示される処理圧力は、上記したように、例え
ばバラトン真空計によって測定されている。このバラト
ン真空計は、チャンバ1a内の側壁に設けられ、上下電
極から若干離れている。このため、上記処理圧力は、互
いに対向する上部電極1dと、サセプタ1b(下部電
極)との間の圧力と厳密には異なる。ただし、エッチン
グ装置は、上記RIE装置を用いることに限定されるも
のではなく種々変更可能であり、他の平行平板型のエッ
チング装置を用いる場合においても本発明を適用するこ
とが可能である。
【0154】次に、上記DRAMの製造方法の一例を説
明する。図16は、DRAMの製造工程中における半導
体ウエハ2の平面図を示している。半導体ウエハ2は、
例えば平面略円形状に形成されており、その外周の一部
には、位置合わせ等に用いられる切り欠き2aが設けら
れている。半導体ウエハ2の直径は、例えば200mm
程度である。ただし、半導体ウエハ2の直径は、これに
限定されるものではなく種々変更可能であり、例えば直
径300mmの半導体ウエハを用いることもできる。半
導体ウエハ2の直径を変えた場合に、上記プラズマ容積
またはチャンバ容積が変わるときには、それに応じて上
記滞在時間も変わるので、酸化膜エッチング条件も変わ
る場合が生じる。なお、図16においては、半導体ウエ
ハ2に仮想的にX軸とこれに垂直なY軸(上記切り欠き
2a上を通過するように配置)を当てはめている。図1
7以降の半導体ウエハ2の要部断面図において、X、X
と付してあるのは、この図16のX軸方向に沿った断面
図を示し、Y、Yと付してあるのは図16のY軸方向に
沿った断面図を示している。
【0155】図17および図18は、本実施の形態のD
RAMの製造工程中における半導体ウエハ2のメモリセ
ル領域における上記X、XおよびY、Yの要部断面図を
示している。まず、例えばp型で比抵抗が10Ωcm程度
の単結晶シリコンからなる半導体基板2S(この段階で
は平面が略円形状の半導体ウエハ2)の主面に分離部1
1を形成した後、半導体基板2SにpウエルPWを形成
する。分離部11は、素子分離領域の半導体基板2Sを
ドライエッチングして溝を形成した後、溝の内部を含む
半導体基板2S上にCVD(Chemical Vapor Depositio
n)法で酸化シリコン膜等からなる絶縁膜を堆積し、続
いて、その絶縁膜を化学機械研磨(Chemical Mechanical
Polishing;CMP)法で研磨して溝の内部に残すことに
より形成する(トレンチアイソレーション)。また、p
ウエルPWは、半導体基板2Sに、例えばホウ素(B)
等のような不純物をイオン打ち込みし、続いて半導体基
板2Sをアニール(熱処理)してその不純物を拡散させ
ることにより形成する。
【0156】続いて、pウエルPWの表面をフッ酸(H
F)系の洗浄液を使って洗浄した後、半導体基板2Sを
ウェット酸化してpウエルPWの表面に清浄なゲート絶
縁膜5bを形成する。このゲート絶縁膜5bは、例えば
酸化シリコンからなり、その厚さは、二酸化シリコン換
算膜厚で、例えば6nm程度である。
【0157】このゲート絶縁膜5bは、酸化シリコン膜
に代えて酸窒化シリコン膜で構成しても良い。すなわ
ち、酸窒化シリコン膜は、酸化シリコン膜に比べて膜中
における界面準位の発生を抑制したり、電子トラップを
低減したりする効果が高いので、ゲート絶縁膜5bを酸
窒化シリコン膜とすることにより、ゲート絶縁膜5bの
ホットキャリア耐性を向上でき、絶縁耐性を向上させる
ことができる。酸窒化シリコン膜を形成するには、例え
ば半導体基板2SをNO、NO2またはNH3といった含
窒素ガス雰囲気中で熱処理すれば良い。また、酸化シリ
コンからなるゲート絶縁膜5bを形成した後、半導体基
板2Sを上記した含窒素ガス雰囲気中で熱処理し、ゲー
ト絶縁膜5bと半導体基板2Sとの界面に窒素を偏析さ
せることによっても、上記と同様の効果を得ることがで
きる。
【0158】また、ゲート絶縁膜5bを、例えば窒化シ
リコン膜あるいは酸化シリコン膜と窒化シリコン膜との
複合絶縁膜で形成しても良い。酸化シリコンからなるゲ
ート絶縁膜5bが二酸化シリコン換算膜厚で5nm未
満、特に3nm未満まで薄くなると、直接トンネル電流
の発生やストレス起因のホットキャリア等による絶縁耐
圧の低下が顕在化する。窒化シリコン膜は、酸化シリコ
ン膜よりも誘電率が高いためにその実際の膜厚を二酸化
シリコン換算膜厚よりも厚くできる。すなわち、窒化シ
リコン膜を有する場合には、物理的に厚くても、相対的
に薄い二酸化シリコン膜と同等の容量を得ることができ
る。従って、ゲート絶縁膜5bを単一の窒化シリコン膜
あるいはそれと酸化シリコンとの複合膜で構成すること
により、その実効膜厚を、酸化シリコン膜で構成された
ゲート絶縁膜よりも厚くすることができるので、トンネ
ル漏れ電流の発生やホットキャリアによる絶縁耐圧の低
下を改善することができる。
【0159】その後、ゲート絶縁膜5b上にゲート電極
6b(ワード線WL)を形成し、続いてゲート電極6b
の両側のpウエルPWに低不純物濃度のn型半導体領域
12a,12bを形成する。このゲート電極6b(ワー
ド線WL)は、例えばリンなどの不純物をドープした多
結晶シリコン膜を半導体基板1上にCVD法で堆積し、
次いでその上部にWN(窒化タングステン)膜とW(タ
ングステン)膜とをスパッタリング法で堆積し、さらに
その上部に窒化シリコン膜等からなるキャップ膜7bを
CVD法で堆積した後、ホトレジスト膜をマスクにして
これらの膜をドライエッチングすることにより形成す
る。このゲート電極6bを構成する多結晶シリコン膜の
厚さは、例えば50〜100nm程度であり、窒化タン
グステン膜の厚さは、例えば数nm程度、タングステン
膜の厚さは、例えば50〜100nm程度である。ま
た、キャップ膜7bは、厚さ200nm程度の窒化シリ
コン膜を堆積してなる。また、n型半導体領域12a,
12bは、pウエルPWに、例えばヒ素(As)等のよ
うな不純物をイオン打ち込みして形成する。
【0160】次いで、半導体基板2S上にCVD法で窒
化シリコン膜等からなる絶縁膜8cを低圧CVD法等に
より厚さ40〜60nm程度堆積する。この段階で絶縁
膜8cは、互いに隣接するワード線WL間を埋め込まな
いような状態で、半導体基板2Sの主面、ゲート電極5
bの側面およびキャップ膜7bの表面(上面および側
面)を被覆している。続いて、その上に、酸化膜3dを
堆積する。その上面を化学機械研磨法で平坦化する。こ
のようにして酸化膜3dを形成する。酸化膜3dの厚さ
を示す寸法D1は、上記と同じく、例えば600nm程
度である。
【0161】続いて、酸化膜3d上に、例えば厚さ50
〜200nm程度の反射防止膜9bを回転塗布法等によ
って堆積した後、その上に、例えば厚さ400〜800
nm程度のホトレジスト膜4cを回転塗布法等によって
堆積する。ホトレジスト膜4cは、コンタクトホール形
成用のマスク膜であり、その開口部からコンタクトホー
ル形成領域が露出され、それ以外が覆われるように、上
記堆積処理後に通常の露光処理によってパターニングさ
れている。ホトレジスト膜4cの開口部の寸法D8a,
D8bおよびその開口部の隣接間隔の寸法D8cは、例
えば160nm程度である。
【0162】その後、図19および図20に示すよう
に、上記したホトレジスト膜4cをマスクとして反射防
止膜9bをエッチング除去する。エッチング装置は、例
えば二周波励起平行平板型RIE装置を用いた。
【0163】次いで、本発明のSACプロセスを用い
て、図21および図22に示すように、ホトレジスト膜
4cをエッチングマスクとして、そこから露出する酸化
膜3d部分をエッチング除去する。これにより、コンタ
クトホール14a,14bを形成する。ただし、このエ
ッチング処理後の段階では、コンタクトホール14a,
14bの底部に絶縁膜8cがエッチングストッパとして
残され、コンタクトホール14a,14bは完全には形
成されていない。このコンタクトホール14a,14b
の下部の直径は、例えば60nm程度である。したがっ
て、このコンタクトホール14a,14bのアスペクト
比は、上記酸化膜3dの厚さの寸法D1(図17参照)
が600nmなので、深さ600/幅60=10程度で
ある。このコンタクトホール14a,14b内における
酸化膜3dの表面(コンタクトホール14a,14bの
底面および側面(内面))には、薄いポリマー層15が
被着されており、その酸化膜3dの表面が覆われてい
る。
【0164】このSACプロセスの際のエッチング条件
は、例えば次の通りである。エッチング装置は、上記図
15に示した二周波励起RIE装置1を用いた。エッチ
ング処理時のチャンバ内の処理圧力は、例えば2.66
Pa程度、高周波パワーは、例えば上部電極/下部電極
=800/700W程度、エッチングガスは、例えばC
58/O2/Ar=16/18/800cm3/min程
度、電極温度は、例えば上部電極/下部電極=60/2
0℃程度、電極間隔は、例えば21mm程度である。エ
ッチング時間は、プラズマからの発光のうち、λ=44
0nm(フッ化シリコン(SiF))を観測し、波形の
落ち込みを酸化シリコン膜のエッチングが終了したジャ
スト時間としてオーバー・エッチング処理を10%実施
した。
【0165】この場合、プラズマ内滞在時間は、例えば
2.11ms程度、チャンバ内滞在時間で表すと、例え
ば142.13ms程度である。C58分圧は、例えば
0.05Paである。また、ガス流量比(O2/C
58)は、例えば1.125である。また、(C58
2)/Arは、例えば0.0425程度である。ま
た、プラズマ密度は、例えば1011/cm3の前半程度
である。
【0166】また、エッチング処理中における半導体基
板2Sの温度は、エッチング装置のサセプタ1b(図1
5参照)に設置されたガス通路より供給されるヘリウム
(He)の圧力と流量または静電電圧の設定により、例
えば120℃程度に設定されている。この半導体基板2
Sの温度は、エッチング処理中の半導体基板2Sの主面
の温度であり、熱は主としてプラズマから供給される。
この温度は、前記したように、半導体ウエハ2の主面上
の中央、外周およびそれらの間の3点に温度測定用のテ
ンププレートを貼り付け、3点の測定温度を平均するこ
とで得られたものである。
【0167】エッチング終了後、窒化シリコン膜に対す
る酸化シリコン膜の選択比を算出した。ここで選択比
は、図23に例示するようにエッチングされた場合に、
(酸化シリコン膜(酸化膜3d)のエッチングレート)
/(ゲート電極6b上の肩部の窒化シリコン膜(絶縁膜
8c)のエッチングレート)である。ゲート電極6b上
の肩部の窒化シリコン膜のエッチングレートは、図23
に示す垂直方向の削れが最大の部分の削れ寸法D9を基
にして算出した。その結果、比較例の上記選択比が7で
あったのに対して、本実施の形態の上記選択比は16.
1であった。この結果から低圧力、エッチングガスの大
流量化および半導体基板2Sの温度の高温化により選択
比が2倍に向上した。すなわち、本実施の形態によれ
ば、アスペクト比の高いコンタクトホール14a,14
bを、選択比を充分に確保した状態で良好に開口させる
ことが可能となる。したがって、DRAMの歩留まりお
よび信頼性を向上させることが可能となる。また、微細
化を推進できるので、DRAMの性能および集積度の向
上を推進させることが可能となる。なお、図23は、図
21の要部拡大断面図である。図23においては、図面
を見易くするために、図21に示しているn型半導体領
域12a,12b、ポリマー層15、反射防止膜9bお
よびホトレジスト膜4c等を図示していない。
【0168】続いて、上記酸化膜のエッチング処理後、
真空状態を破らずに連続して、上記ポリマー層15を除
去する。これにより、図24および図25に示すよう
に、コンタクトホール14a,14b内の表面(側面お
よび底面)から酸化膜3dを露出させる。この際の処理
条件は、ポリマー層のみが除去され、ホトレジスト膜が
除去されない条件としている。
【0169】その後、真空状態を破らずに連続して、ホ
トレジスト膜4cをエッチングマスクとして、コンタク
トホール14a,14bの底部の絶縁膜8cをエッチン
グ除去する。これにより、図26および図27に示すよ
うに、コンタクトホール14a,14bの底面から半導
体基板2Sの主面の一部(n型半導体領域12a,12
b)を露出させ、コンタクトホール14a,14bを完
成する。エッチング装置は、上記酸化膜エッチングおよ
びその後の処理に用いた図15の二周波励起RIE装置
1を用いた。
【0170】この結果、上記酸化膜3dのエッチング条
件(SACプロセス)を採用した場合には、ゲート電極
6bとコンタクトホール14a,14bとのショートマ
ージンは、比較例が〜30nm程度であるのに対して、
例えば〜40nm程度に向上させることができた。この
ショートマージンは、ゲート電極6bとコンタクトホー
ル14a,14b内に埋め込まれる導体膜との電気的お
よび物理的な分離が補償される程度に確保されているこ
とが好ましく、一般的には30nm〜40nm程度必要
とされている。本実施の形態においては、ショートマー
ジンを〜40nm程度に向上できるので、上記一般的な
要求を満足している。もちろん、コンタクトホール14
a,14bが完全に開口されていないといった不具合も
生じない。したがって、高集積で高性能なDRAMの歩
留まりおよび信頼性を向上させることが可能となる。こ
のショートマージンは、図28に例示されるゲート電極
6bと、コンタクトホール14a,14bとの距離が最
小の部分の寸法D10を測定した。なお、図28は、図2
6の要部拡大断面図である。図28においては、図面を
見易くするために、反射防止膜およびホトレジスト膜等
の各部を図示していない。
【0171】次いで、ホトレジスト膜4cおよび反射防
止膜9aをアッシング処理によって除去した後、半導体
基板2Sの主面上に、例えばn型のドープトポリシリコ
ン膜をCVD法等によって堆積し、さらに、そのドープ
トポリシリコン膜をCMP法等によって研磨することに
より、図29および図30に示すように、コンタクトホ
ール14a,14b内にドープトポリシリコンで形成さ
れるプラグ16a,16bを形成する。続いて、半導体
基板2Sに対して熱処理を施すことにより、プラグ16
a,16b中の不純物(例えばリンまたはヒ素)を半導
体基板2Sに拡散させる。これにより、半導体基板2S
にn+型半導体領域12cをソース・ドレイン用のn型
半導体領域12a,12bに重なるように自己整合的に
形成する。ここまでの工程により半導体基板2Sにメモ
リセル選択MISQsを完成する。
【0172】続いて、図31および図32に示すよう
に、酸化膜3dおよびプラグ16a,16b上に、例え
ばTEOSガスを用いたプラズマCVD法等によって酸
化シリコン膜からなる絶縁膜17を堆積する。その後、
その絶縁膜17に、プラグ16aの一部が露出するよう
なスルーホールを穿孔した後、そのスルーホール内およ
び絶縁膜17上に、例えばタングステン等のような金属
膜をスパッタリング法またはCVD法あるいはその両方
を用いて堆積し、さらに、その金属膜をスルーホール内
のみに残されるようにCMP法等によって研磨する。こ
れにより、スルーホール内にプラグを形成する。このプ
ラグは、プラグ16aと電気的に接続されている。
【0173】次いで、その絶縁膜17上に、例えば厚さ
50nm程度の窒化シリコンからなる絶縁膜18をCV
D法等によって堆積した後に、その上に、例えばTEO
Sガスを用いたプラズマCVD法等によって酸化シリコ
ンからなる絶縁膜19を堆積する。続いて、その絶縁膜
18,19にビット線形成用の溝を形成した後、その溝
内および絶縁膜19上に、例えばタングステン等のよう
な金属膜をスパッタリング法等によって堆積し、さら
に、その金属膜を上記溝内のみに残されるようにCMP
法等によって研磨する。これにより、ビット線形成用の
溝内にタングステン等からなる埋め込みビット線BLを
形成する。このビット線BLは、上記絶縁膜17に穿孔
されたスルーホール内のプラグを介してプラグ16aと
電気的に接続され、メモリセル選択MISQsの一方の
n型半導体領域12a、n+型半導体領域12cと電気
的に接続している。その後、絶縁膜19および埋め込み
ビット線BL上に、例えば窒化シリコンからなる絶縁膜
20をプラズマCVD法等によって100nm程度の厚
さで堆積する。
【0174】次いで、絶縁膜17〜20にプラグ16b
の上面が露出するようなスルーホール21をフォトリソ
グラフィ技術およびドライエッチング技術によって穿孔
する。スルーホール21は、例えば平面略楕円形状に形
成されている。続いて、スルーホール21内および絶縁
膜20上に、例えばドープトポリシリコン膜をCVD法
等によって堆積した後、これをスルーホール21内のみ
に残されるようにCMP法等によって研磨する。これに
より、スルーホール21内にドープトポリシリコン膜か
らなるプラグ22aを形成する。プラグ22aは、プラ
グ16bと電気的に接続されている。
【0175】続いて、絶縁膜20上に、例えばTEOS
ガスを用いたプラズマCVD法等によって酸化シリコン
膜からなる絶縁膜3e(上記酸化膜に相当)を、例えば
2μm程度の厚さで堆積する。その後、絶縁膜3e上
に、例えばドープトポリシリコン膜等からなるマスク形
成膜10をCVD法等によって厚さ200〜300nm
程度堆積する。マスク形成膜10の構成材料をドープト
ポリシリコンとすることにより、汚染を低減でき、ま
た、加工を容易にすることができる。マスク形成膜10
の材料として、例えばタングステン等のような金属膜を
用いることもできる。この場合、固く削れ難い、また、
他の材料との選択比を取りやすいことから酸化膜の加工
精度を向上させることができる。
【0176】次いで、マスク形成膜10上に、例えば厚
さ50〜200nm程度の反射防止膜9cおよび厚さ4
00〜800nm程度のホトレジスト膜4dを下層から
順に回転塗布法等によって堆積する。ホトレジスト膜4
dは、情報蓄積用容量素子の下部電極を形成するための
キャパシタ孔形成用のマスク膜であり、キャパシタ孔形
成領域が露出され、それ以外が覆われるように、上記堆
積処理後に通常の露光処理によってパターニングされて
いる。図33は、この段階の半導体ウエハ2の要部平面
図を示している。図33では、図面を見易くするため、
ホトレジスト膜4dに相対的に濃い網掛けのハッチング
を付し、反射防止膜9cに相対的に薄い網掛けのハッチ
ングを付す。図33において、キャパシタ孔形成用の開
口部における長手方向の寸法D11は、例えば450n
m程度、その幅方向の寸法D12は、例えば160nm
程度、隣接するキャパシタ孔形成用の開口部の隣接寸法
D13,D14は、例えば160nm程度である。
【0177】続いて、図34および図35に示すよう
に、上記したホトレジスト膜4dをマスクとして反射防
止膜9cをエッチング除去する。この際のエッチング条
件は、例えば次の通りである。すなわち、エッチング装
置は、例えば上記反射防止膜9bのエッチング処理時に
使用したのと同じエッチング装置を用いた。処理圧力、
電極温度、電極間隔およびエッチング時間は、例えば上
記反射防止膜9bのエッチング処理時の条件と同じであ
る。
【0178】その後、ホトレジスト膜4dをエッチング
マスクとして、そこから露出するドープトポリシリコン
膜をエッチング除去することにより、図36および図3
7に示すように、ハードマスク10bをパターン形成す
る。
【0179】次いで、ホトレジスト膜4dを図38およ
び図39に示すようにアッシング処理等によって除去す
る。図40は、この段階の半導体ウエハ2の要部平面図
である。図40においては、図面を見易くするためハー
ドマスク10bに網掛けのハッチングを付す。ハードマ
スク10bには、平面角丸四角形状の複数の開口部が規
則的に並んで形成されている。その開口部からは絶縁膜
3eが露出されている。この開口部から露出する部分に
キャパシタ孔が形成される。
【0180】続いて、本発明のHARCプロセスを用い
て、図41および図42に示すように、ハードマスク1
0bをエッチングマスクとして、そこから露出する絶縁
膜3e部分をエッチング除去する。これにより、キャパ
シタ孔23を形成する。キャパシタ孔23のアスペクト
比は、例えば13である(絶縁膜3eの厚さ2000n
m/幅160nm)。このエッチング処理後のハードマ
スク10bは、その上部がエッチング処理前に比べて削
られている。そして、ハードマスク10bは、その厚さ
がキャパシタ孔23に近づくにつれて薄くなるように削
られている。図43は、この処理後の半導体ウエハ2の
要部平面図を示している。図43においては、図面を見
易くするため、ハードマスク10bに濃い網掛けのハッ
チングを付す。キャパシタ孔23の底面からは、プラグ
22上部および絶縁膜20が露出されている。
【0181】このHARCプロセスの際のエッチング条
件は、例えば次の通りである。エッチング装置は、上記
図15に示した二周波励起RIE装置1を用いた。エッ
チング処理時のチャンバ内の処理圧力は、例えば2.6
6Pa程度、高周波パワーは、例えば上部電極/下部電
極=1800/1500W程度、エッチングガスは、例
えばC58/O2/Ar=24/28/700cm3/m
in程度、電極温度は、例えば上部電極/下部電極=6
0/20℃程度、電極間隔は、例えば19mm程度であ
る。エッチング時間は、プラズマからの発光のうち、窒
化炭素(CN)を観測し、下地の窒化シリコン等からな
る絶縁膜20が露出した際の波形の立ち上がりを酸化シ
リコン膜(絶縁膜3e)のエッチングが終了したジャス
ト時間としてオーバー・エッチング処理を30%実施し
た。
【0182】この場合、プラズマ内滞在時間は、例えば
2.34ms程度、チャンバ内滞在時間で表すと、例え
ば157.63ms程度である。C58分圧は、例えば
0.09Paである。また、ガス流量比(O2/C
58)は、例えば1.167である。また、(C58
2)/Arは、例えば0.0743程度である。ま
た、プラズマ密度は、例えば5×1011/cm3の前半
程度である。
【0183】上記のHARCプロセス条件において、高
周波パワーをSACプロセスの場合よりも上げているの
は、アスペクト比がSACプロセスの場合よりも高いの
で、深い穴底でも良好なエッチング処理が行われるよう
に、よりプラズマ密度を高める必要性があったためであ
る。また、C58/O2の流量をSACプロセスの場合
よりも上げているのも、アスペクト比がSACプロセス
の場合よりも高いので、深い穴底までエッチングガスが
良好に供給されるようにするためである。さらに、電極
間隔を短くしているのは、半導体ウエハ2の主面内にお
けるエッチングの均一性を向上させるためである。
【0184】このようなエッチングの終了後、ポリシリ
コン膜に対する酸化シリコン膜の選択比を算出した。こ
こで選択比は、図44に例示するようにエッチングされ
た場合に、(酸化シリコン膜(酸化膜3e)のエッチン
グレート)/(ポリシリコン膜(ハードマスク10b)
の肩部のエッチングレート)である。ポリシリコン膜
(ハードマスク10b)の肩部のエッチングレートは、
図44に示す削れが最大の部分の寸法D15を基にして
算出した。その結果、アスペクト比が13のキャパシタ
孔23をテーパ角が89°で開口し、比較例の上記選択
比が8であったのに対して、本実施の形態の上記選択比
は11であった。この結果から低圧力、エッチングガス
の大流量化により、開口性を確保したまま、選択比を向
上させることができた。したがって、DRAMの歩留ま
りおよび信頼性を向上させることが可能となる。また、
キャパシタ孔23の微細化およびそれによる高集積化を
推進できるので、DRAMの性能向上を推進させること
が可能となる。
【0185】図45および図46は、キャパシタ孔23
内に、情報蓄積用容量素子24を形成した際の断面図を
示している。情報蓄積用容量素子24は、下部電極24
aと、その表面に形成された容量絶縁膜24bと、プレ
ート電極24cとを有している。下部電極24aは、例
えばドープトポリシリコン膜からなり、プラグ22a,
16bを通じてメモリセル選択MISQsの一方のn型
半導体領域12b、n +型半導体領域12cと電気的に
接続されている。容量絶縁膜24bは、例えば窒化シリ
コン膜、窒化シリコン膜と酸化シリコン膜との積層膜あ
るいは酸化タンタル(TaO5)等からなる。プレート
電極24cは、チタンナイトライド等のような金属膜か
らなる。
【0186】ところで、以上の本実施の形態におけるS
ACおよびHARCプロセスの各種エッチング条件は、
本発明者らが行ったものの中で最も良好な条件の組合せ
の一例である。上記各種条件は、一方の値を変えると他
方の値も変わるというように互いに密接な関係にあるも
のであり、上記のものに限定されるものではなく種々変
更可能である。
【0187】そこで、上記SACおよびHARCプロセ
スの各種エッチング条件について、本発明者らの検討結
果について説明する。まず、滞在時間について説明す
る。プラズマ内滞在時間は、例えば1〜10ms程度
(チャンバ内滞在時間にすると、例えば50〜700m
s程度)、または、プラズマ内滞在時間は、例えば1〜
5ms程度(チャンバ内滞在時間にすると、例えば50
〜350nm程度)、好ましくは、プラズマ内滞在時間
は、例えば2〜4ms程度(チャンバ内滞在時間にする
と、例えば100〜200ms程度)である。
【0188】次に、エッチング処理時の圧力について説
明する。エッチング処理に際して、イオン、電子および
ガス原子の平均自由工程(他の原子と1回衝突してから
次に衝突するまでに移動可能な距離)は処理圧力によっ
て変化する。衝突が多いほど、軌道はランダムになる。
高アスペクト比のコンタクトホールを加工する際には、
イオンが半導体ウエハの主面に対して垂直に入射するこ
とが要求される。そのためには、イオンの平均自由工程
が長く、衝突による散乱がないことが必要となり、低圧
力での処理が望ましい。しかし、圧力が低すぎると、衝
突が少ないために電子が拡散によってプラズマから逃げ
てしまうために、電子密度(プラズマ密度)が低くなっ
てしまう。その結果、プラズマを維持し難くなるととも
に、ガス分子の解離の減少およびエッチングの進行に要
するエネルギーを供給するイオンの減少が生じ、酸化膜
のエッチングレートが低下してしまう。また、イオンの
平均自由工程が長くなることでイオンの有するエネルギ
ーが高くなりすぎるため、エッチングマスク(主として
ホトレジスト膜)や窒化シリコン膜との選択比が低下し
てしまう。一方、圧力が高すぎる場合には、前述したよ
うに、イオンの入射方向が一様でなくなるために、高ア
スペクト比のコンタクトホールの孔底までイオンが到達
できずエネルギーを供給できないためにエッチングがス
トップしたり、加工形状が劣化してしまう。以上のこと
から、上記の滞在時間を実現するには、エッチング処理
時の処理圧力を、例えば0.7〜7Pa程度、好ましく
は、例えば1.3〜4Pa程度とすることが望ましい。
【0189】次に、ガス流量について説明する。希釈ガ
スの量によってガス分子の解離、解離により生成された
エッチャントおよび反応生成物のプラズマ中での滞在時
間が制御される。アルゴンガス流量が少なすぎる場合に
は、滞在時間が長くなりすぎてしまい、過剰な解離と過
剰なエッチャント(Cxy)の供給が発生し、酸化膜上
に過剰な堆積物が生成される結果、エッチングが進行し
難くなり、エッチングがストップする場合もある。一
方、アルゴンガスが多すぎる場合は、滞在時間が短くな
りすぎてしまい、解離不足とエッチャント供給不足が生
じ、酸化膜上ではエッチャント供給不足によるエッチン
グレートの低下、窒化シリコン膜上では堆積物の不足に
よるエッチングレートの増加(選択性が損なわれる)が
生じてしまう。以上のことから、上記の滞在時間を実現
するには、アルゴンガス(希釈ガス)の流量を、例えば
200〜1000cm3/min程度、好ましくは、例
えば400〜800cm3/min程度とすることが望
ましい。エッチングガスが大流量であるという場合、特
に、エッチングガス流量の大部分は希釈ガス(例えばア
ルゴンガス)であることから大流量をエッチングガス中
における希釈ガスの流量で表現することもできる。
【0190】次に、C58分圧について説明する。C5
8分圧が低すぎる場合、C58の解離によるCx
y(主としてCF2)の生成量が不足するため、反応モデ
ル(図11(a)参照)に示した領域Iのように、酸化
膜(SiO2)3上ではエッチャントの供給量不足とな
りエッチングレートが低く、窒化シリコン膜8上では体
積膜が薄いためにエッチングレートが高くなる。その結
果、窒化シリコン選択比が低くなってしまう。一方、C
58分圧が高すぎる場合、C58の解離によるCxy
生成量が過剰となり、反応モデルにおける領域III
(図11(c)参照)のように酸化膜3上にも堆積膜が
厚くなってしまい、イオンが透過できなくなるためにエ
ッチングレートが低下してしまう。その結果、窒化シリ
コン選択比が低下してしまう。以上のことを考慮する
と、C58分圧は、例えば0.02〜0.2Pa程度
(上記SACプロセスで好ましい範囲)、または、例え
ば0.04〜0.1Pa程度、あるいは、例えば0.0
4〜0.08Pa程度にすることが好ましい。
【0191】次に、ガス流量比(O2/C58)につい
て説明する。エッチングガス中の酸素(O2)は、被エ
ッチング膜表面上の堆積膜の生成を抑制する機能を有し
ている。そのため、酸化膜(SiO2)の開口性の向
上、孔の垂直形状の実現に寄与するが、窒化シリコン膜
上においても、エッチングを抑制する堆積膜を除去して
しまうので、窒化シリコン選択比の低下につながる。こ
のガス流量比が小さすぎる(O2ガス流量が相対的に少
ない)場合、堆積膜の生成の抑制の効果が少なくなり、
酸化膜上でも堆積膜が厚くなり、エッチングが進行しな
くなる。また、孔の側壁の堆積膜も除去され難くなるた
め、形状が劣化する。一方、上記ガス流量比が大きすぎ
る場合、窒化シリコン膜上の堆積膜が薄くなってしまい
窒化シリコン膜のエッチングが進行する。その結果、窒
化シリコン選択比が低下する。以上のことを考慮するか
らガス流量比(O2/C58)は、例えば他の条件要素
を工夫することで0.5〜2、または、例えば0.8〜
1.5(上記SACおよびHARCプロセスの両方を含
む範囲)、好ましくは、例えば1〜1.2とすることが
望ましい。
【0192】次に、プラズマ密度について説明する。プ
ラズマ密度が低すぎる場合、エッチングガスの解離によ
るエッチャント(Cxy)の生成量が不足し、また、エ
ッチャントと被エッチング膜(酸化膜(SiO2))の
反応に要するエネルギーを供給するイオンの供給量が不
足する。その結果、被エッチング膜(酸化膜(Si
2))のエッチングレートが低くなり、エッチングが
ストップすることもある。一方、プラズマ密度が高すぎ
る場合、エッチングガスが過剰解離してしまい、被エッ
チング膜(酸化膜(SiO2))上の堆積膜が厚くなり
すぎるため、エッチングが進行しなくなる。
【0193】エッチングガスが解離してエッチャントと
なるためには、Cxyのように炭素(C)とフッ素
(F)とが結合した分子であることが必要である。解離
が進行しすぎると、炭素、フッ素単体になってしまい、
単体では、酸化膜(SiO2)のエッチングは進行しな
い。例:SiO2+2CF2 → SiF4+2CO以上
のことを考慮すると、プラズマ密度は、例えば1010
1013/cm3程度、また、好ましくは、例えば1010
〜1012/cm3程度、あるいは、5×1010〜5×1
11/cm3程度とすることが望ましい。
【0194】次に、エッチング装置の電極間隔について
説明する。この電極間隔は、上記プラズマ密度を制御す
る機能を有している。例えば電極間隔が40mm以上と
なると、プラズマ密度およびプラズマの均一性が低下す
る。そこで、上記したプラズマ密度を考慮すると、上記
電極間隔は、例えば17〜30mm程度がプラズマ密度
および均一性の面から好ましい。
【0195】次に、エッチング処理中における半導体ウ
エハ2の温度について説明する。半導体ウエハ2の温度
が高いほど、C58が解離して生成されたCxyの吸着
確率が低下し、孔上部で吸着せず、孔の底部にまで輸送
される。そのため、SACプロセスにおいては、孔(コ
ンタクトホール14a,14b)の底部の窒化シリコン
膜(絶縁膜8c)上の堆積膜が厚くなり、その窒化シリ
コン膜のエッチングが進行しなくなるため、選択比が向
上する。一方で、孔底部の酸化膜(SiO2)上におい
ても、半導体ウエハ2の温度の上昇に伴い、堆積膜とな
るCxyの供給量が増加する。そのため、半導体ウエハ
2の温度が高すぎると、酸化膜(SiO 2)上の堆積膜
が厚くなりすぎてしまい、エッチングレートが低下し、
窒化シリコン選択比の低下、場合によってはエッチング
がストップする。また、半導体ウエハ2の温度が高すぎ
ると、ホトレジストマスクが融解する恐れがあり、その
場合、ホトレジスト膜のパターン形状の崩れ、ホトレジ
スト膜の焼き付きという不具合が生じる。また、半導体
ウエハ2の温度が低すぎると、窒化シリコン選択比が下
がってしまう。
【0196】以上のことを考慮すると、エッチング処理
中の半導体ウエハ2の温度は、例えば30〜180℃、
または、例えば60〜140℃、あるいは好ましくは、
例えば100〜130℃である。
【0197】次に、エッチングガスについて説明する。
フロロカーボン系のガスは、飽和型と不飽和型に分類で
きる。飽和型は、炭素(C)原子が全て単結合のもので
あり、エッチングガスとして、例えばCF4、CHF3
CH22、CH3F、C26、C38、C48を用いる
ことができる。また、不飽和型は、炭素(C)原子が二
重もしくは三重結合を有するものであり、エッチングガ
スとして、例えばC58またはC46を用いることがで
きる。
【0198】上記本実施の形態において、主反応ガスと
してC58を採用したのは、例えば次の理由からであ
る。まず、炭素の数が多いほど,堆積物(Cxy)のデ
ポ性を良好にでき、窒化シリコン選択比を向上させるこ
とができるからである。また、その窒化シリコン選択比
と孔の垂直形状(孔の側壁の保護性を堆積膜(Cxy
により向上できる)とを向上させることができ、エッチ
ング反応と堆積反応とのバランスが良い。また、ホトレ
ジスト膜上に堆積膜(Cxy)が被着することでホトレ
ジスト膜の保護性を向上させることができるので、孔の
加工形状および加工寸法を向上させることができるから
である。さらに、C58ガスは地球温暖化ポテンシャル
(GWP)(90〜100)、大気中での寿命(1年)が、CF4(GWP;6
500,寿命;50000年)、C48(GWP;870,寿命;3200年)等と
比べて極めて低い。しかも、可燃性、爆発性、毒性の面
でも特に問題とはならない。ただし、C58単独で用い
ずに、上記したCF4、CHF3、CH22、C48を添
加しても良い。すなわち、フッ素(F)を有するガスを
添加することで、上記した堆積物(Cxy)を除去し、
デポ性を抑えることが可能となる。
【0199】また、希釈ガスは、プラズマ中で電離して
イオンとなりエッチャントと被エッチング膜の反応を促
進させることに加えて、エッチングガス中の反応ガス濃
度を希釈して過剰なエッチングおよび堆積反応が生じな
いようにする機能を有している。希釈ガスとしてアルゴ
ンガスを使用したのは、不活性ガスであるため化学反応
によって他のガスとの反応生成物を生じないためであ
る。また、アルゴンガスにヘリウムガス等を添加するこ
とで反応を制御することも可能である。また、アルゴン
ガスに代えてヘリウムガス等の不活性ガスを用いること
もできる。
【0200】このように本実施の形態によれば、例えば
以下の効果が得られる。 (1).低圧力、アルゴンガス流量を大流量(>800cm3
/min)にした滞在時間が短い領域において酸化膜の
エッチング処理を施すことにより、開口性(例えばマイ
クロ・ローディング効果98%)、テーパ角(例えば89°)
および高窒化シリコン選択比を同時に実現できることが
可能となる。 (2).SACプロセスにおいて、上記のエッチング条
件を基に、エッチング処理中における半導体ウエハ2の
温度を上昇させることにより、アスペクト比(例えば1
3)の開口性と、高窒化シリコン選択比(例えば16.
1)を実現することが可能となる。 (3).上記(2)により、SACプロセスにおけるシ
ョートマージンを拡大することが可能となる。 (4).HARCプロセスにおいて、上記エッチング条
件を基に、高周波パワー、電極間隔、C58ガス流量お
よび半導体ウエハの温度等の最適化を行うことにより、
アスペクト比が例えば17の開口と、ドープトポリシリ
コン選択比(例えば11)およびびテーパ角(例えば8
9°)を実現することが可能となる。 (5).上記(1)、(2)、(3)または(4)によ
り、DRAMの歩留まりを向上させることが可能とな
る。 (6).上記(1)、(2)、(3)または(4)によ
り、DRAMの信頼性を向上させることが可能となる。 (7).上記(1)、(2)、(3)または(4)によ
り、DRAMの量産性を向上させることが可能となる。 (8).上記(1)、(2)、(3)または(4)によ
り、DRAMの性能を向上させることが可能となる。 (9).上記(1)、(2)、(3)または(4)によ
り、DRAMの容量を向上させることが可能となる。
【0201】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0202】また、以上の説明では主として本発明者に
よってなされた発明をその背景となった利用分野である
DRAMに適用した場合について説明したが、それに限
定されるものではなく、例えばSRAM(Static Rando
m Access Memory)またはフラッシュメモリ(EEPR
OM;Electric Erasable Programmable Read Only Mem
ory)等のようなメモリ回路を有する半導体集積回路装
置の製造方法、CMIS(Complementary MIS:相補型
電界効果トランジスタ)回路を有する半導体集積回路装
置の製造方法またはマイクロプロセッサ等のような論理
回路を有する半導体集積回路装置の製造方法、あるいは
メモリ回路と論理回路とを同一半導体基板に設けている
混載型の半導体集積回路装置の製造方法にも適用でき
る。
【0203】
【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。 (1).本発明によれば、半導体基板に対して、フロロカー
ボン系のガス、酸素および希釈ガスを有するエッチング
ガスを用いてプラズマエッチング処理を施すことによ
り、前記半導体基板上の酸化シリコン系の絶縁膜を加工
する際に、低圧化およびエッチングガスの大流量化によ
りエッチングガスのエッチング処理室内滞在時間を、窒
化シリコン系の絶縁膜に対する選択性が向上する領域に
設定した状態でエッチング処理を施すことにより、前記
酸化シリコン系の絶縁膜の開口性および窒化膜に対する
選択性を向上させることが可能となる。 (2).本発明によれば、半導体基板に対して、フロロカー
ボン系のガス、酸素および希釈ガスを有するエッチング
ガスを用いてプラズマエッチング処理を施すことによ
り、前記半導体基板上の酸化シリコン系の絶縁膜を、そ
の酸化シリコン系の絶縁膜上に形成されたハードマスク
をエッチングマスクとして加工する際に、低圧化および
エッチングガスの大流量化によりエッチングガスのエッ
チング処理室内滞在時間を、前記ハードマスクに対する
選択性が向上する領域に設定した状態でエッチング処理
を施すことにより、前記酸化シリコン系の絶縁膜の開口
性および窒化膜に対する選択性を向上させることが可能
となる。
【図面の簡単な説明】
【図1】本発明者らが実験で用いたエッチング装置の説
明図である。
【図2】本発明者らが実験で用いたマイクロ・ローディ
ングを計測するためのダミー(試料)の断面図である。
【図3】本発明者らが実験で用いたSACプロセスのた
めのダミー(試料)の断面図である。
【図4】(a)は本発明者らが実験で用いたHARCプ
ロセスのためのダミー(試料)の断面図、(b)は
(a)に垂直な面の断面図である。
【図5】本発明者らが実験で用いた試料の酸化シリコン
膜とゲート電極肩部上の窒化シリコン膜との選択比の算
出方法を説明するための試料の要部断面図である。
【図6】本発明者らが実験で用いた試料の酸化シリコン
膜とポリシリコン膜との選択比の算出方法を説明するた
め試料の要部断面図である。
【図7】本発明者らが行った実験におけるマイクロ・ロ
ーディング効果のアルゴンガス流量依存性を示すグラフ
図である。
【図8】(a),(b)は、本発明者らが行った実験に
おける窒化シリコン膜選択比とテーパ角とのアルゴンガ
ス流量依存性を示すグラフ図である。
【図9】(a)は本発明者らが行った実験におけるマイ
クロ・ローディング効果の滞在時間依存性を示すグラフ
図、(b)は本発明者らが行った実験におけるテーパ角
の滞在時間依存性を示すグラフ図、(c)は本発明者ら
が行った実験における窒化シリコン膜選択比の滞在時間
依存性を示すグラフ図である。
【図10】(a)〜(f)は本発明者らが行った実験に
おける酸化シリコン膜のエッチングレート、肩部の窒化
シリコン膜のエッチングレートおよび窒化シリコン膜選
択比の滞在時間依存性を示すグラフ図である。
【図11】(a)〜(c)は本発明者らによる酸化シリ
コン膜および窒化シリコン膜のエッチングモデルを示す
説明図である。
【図12】本発明者らが行った実験における窒化シリコ
ン膜選択比およびマイクロ・ローディング効果の半導体
ウエハ温度依存性を示すグラフ図である。
【図13】本発明者らが行った実験によって得られたS
ACプロセス条件の一例の説明図である。
【図14】本発明者らが行った実験によって得られたH
ARCプロセス条件の一例の説明図である。
【図15】本発明の一実施の形態である半導体集積回路
装置の製造方法で用いたエッチング装置の一例の説明図
である。
【図16】半導体ウエハの平面図である。
【図17】本発明の一実施の形態である半導体集積回路
装置の製造工程中の要部断面図である。
【図18】図17と同じ半導体集積回路装置の製造工程
中における図17に垂直な面の要部断面図である。
【図19】図17に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図20】図19と同じ半導体集積回路装置の製造工程
中における図19に垂直な面の要部断面図である。
【図21】図19に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図22】図21と同じ半導体集積回路装置の製造工程
中における図22に垂直な面の要部断面図である。
【図23】図21の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図24】図21に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図25】図24と同じ半導体集積回路装置の製造工程
中における図38に垂直な面の要部断面図である。
【図26】図24に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図27】図26と同じ半導体集積回路装置の製造工程
中における図26に垂直な面の要部断面図である。
【図28】図26の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図29】図26に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図30】図29と同じ半導体集積回路装置の製造工程
中における図29に垂直な面の要部断面図である。
【図31】図29に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図32】図31と同じ半導体集積回路装置の製造工程
中における図31に垂直な面の要部断面図である。
【図33】図31および図32の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図34】図31に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図35】図34と同じ半導体集積回路装置の製造工程
中における図34に垂直な面の要部断面図である。
【図36】図34に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図37】図36と同じ半導体集積回路装置の製造工程
中における図36に垂直な面の要部断面図である。
【図38】図36に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図39】図38と同じ半導体集積回路装置の製造工程
中における図38に垂直な面の要部断面図である。
【図40】図38および図39の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図41】図38に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図42】図41と同じ半導体集積回路装置の製造工程
中における図41に垂直な面の要部断面図である。
【図43】図41および図42の半導体集積回路装置の
製造工程中における半導体ウエハの要部平面図である。
【図44】図41の半導体集積回路装置の製造工程中の
要部拡大断面図である。
【図45】図41に続く半導体集積回路装置の製造工程
中の要部断面図である。
【図46】図45と同じ半導体集積回路装置の製造工程
中における図45に垂直な面の要部断面図である。
【図47】滞在時間の説明図である。
【図48】滞在時間の説明図である。
【符号の説明】
1 二周波励起RIE装置 1a チャンバ 1b サセプタ 1c 静電チャック 1d 上部電極 1d1 電極板 1d2 電極支持体 1d3 孔 1e ガス排気管 1f 第1の高周波電源 1g 第2の高周波電源 2 半導体ウエハ 2a 切り欠き 2S 半導体基板 3,3a〜3d 酸化膜 3e 絶縁膜 4a〜4d ホトレジスト膜 5a ゲート絶縁膜 5b ゲート絶縁膜 6a ゲート電極 6b ゲート電極 7a キャップ膜 7b キャップ絶縁膜 8,8a 窒化シリコン膜 8b プラズマ窒化シリコン膜 9a〜9c 反射防止膜 10 マスク形成膜 10a,10b ハードマスク 10a1 ハードマスク 11 分離部 12a,12b n型半導体領域 12c n+型半導体領域 14a,14b コンタクトホール 15 ポリマー層 16a,16b プラグ 17 絶縁膜 18 絶縁膜 19 絶縁膜 20 絶縁膜 21 スルーホール 22a プラグ 22a1 シリサイド膜 23 キャパシタ孔 24a 下部電極 24b 容量絶縁膜 24c プレート電極 WL ワード線 HPF ハイパスフィルタ LPF ローパスフィルタ PW pウエル Qs メモリセル選択MIS・FET
フロントページの続き (72)発明者 塩屋 雅弘 東京都小平市上水本町5丁目22番1号 株 式会社日立超エル・エス・アイ・システム ズ内 (72)発明者 児島 雅之 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 池田 武信 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 Fターム(参考) 4M104 AA01 AA09 AA10 BB01 BB18 CC01 DD02 DD08 DD12 DD16 DD17 DD22 DD37 DD43 DD55 DD66 DD67 DD71 DD75 DD78 DD92 FF07 GG08 GG16 HH14 5F004 AA05 BA04 BB13 CA02 CA04 DA00 DA23 DA26 DB03 EB01 5F033 GG02 HH19 JJ04 JJ19 KK01 MM02 PP06 PP15 QQ02 QQ09 QQ11 QQ13 QQ15 QQ25 QQ35 QQ37 QQ48 QQ73 QQ79 QQ96 RR04 RR06 SS04 SS11 SS15 WW04 WW05 WW06 XX04 5F083 AD24 JA04 JA05 JA22 JA53 KA01 MA02 MA06 MA17 NA01 PR03 PR21 PR33 PR40

Claims (40)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板上に窒化シリコン系の
    絶縁膜を堆積する工程、(b)前記窒化シリコン系の絶
    縁膜上に酸化シリコン系の絶縁膜を堆積する工程、
    (c)前記半導体基板に対して、フロロカーボン系のガ
    ス、酸素および希釈ガスを有するエッチングガスを用い
    てプラズマエッチング処理を施すことにより、前記酸化
    シリコン系の絶縁膜を加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が5
    0〜700msであることを特徴とする半導体集積回路
    装置の製造方法。
  2. 【請求項2】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が0.7〜7Paであることを特徴とする半導体集
    積回路装置の製造方法。
  3. 【請求項3】 請求項1記載の半導体集積回路装置の製
    造方法において、前記エッチング処理室内に流入される
    エッチングガスの総流量が200〜1000cm3/m
    inであることを特徴とする半導体集積回路装置の製造
    方法。
  4. 【請求項4】 請求項1記載の半導体集積回路装置の製
    造方法において、前記エッチング処理室内に流入される
    エッチングガスの総流量が700cm3/min以上で
    あることを特徴とする半導体集積回路装置の製造方法。
  5. 【請求項5】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が1.3〜4Paであり、前記エッチング処理室内
    に流入されるエッチングガスの総流量が700cm3
    min以上であることを特徴とする半導体集積回路装置
    の製造方法。
  6. 【請求項6】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記希釈ガスの流量が、前記フロロカーボン系のガスお
    よび酸素の流量よりも多いことを特徴とする半導体集積
    回路装置の製造方法。
  7. 【請求項7】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記プラズマエッチング処理中の半導体基板の温度が、
    60〜140℃であることを特徴とする半導体集積回路
    装置の製造方法。
  8. 【請求項8】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記プラズマエッチング処理中の半導体基板の温度が、
    100〜130℃であることを特徴とする半導体集積回
    路装置の製造方法。
  9. 【請求項9】 請求項1記載の半導体集積回路装置の製
    造方法において、 前記プラズマエッチング処理中のプラズマ密度が、1×
    1010〜1×1013/cm3であることを特徴とする半
    導体集積回路装置の製造方法。
  10. 【請求項10】 請求項1記載の半導体集積回路装置の
    製造方法において、 前記プラズマエッチング処理中のプラズマ密度が、1×
    1010〜1×1012/cm3であることを特徴とする半
    導体集積回路装置の製造方法。
  11. 【請求項11】 請求項1記載の半導体集積回路装置の
    製造方法において、 前記フロロカーボン系のガスがC58であり、前記希釈
    ガスがアルゴンであることを特徴とする半導体集積回路
    装置の製造方法。
  12. 【請求項12】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記アルゴンガスの流量が200〜1000cm3/m
    inであることを特徴とする半導体集積回路装置の製造
    方法。
  13. 【請求項13】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記アルゴンガスの流量が400〜800cm3/mi
    nであることを特徴とする半導体集積回路装置の製造方
    法。
  14. 【請求項14】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記酸素とC58とのガス流量比(酸素/C58)が、
    0.8〜1.5であることを特徴とする半導体集積回路
    装置の製造方法。
  15. 【請求項15】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記酸素とC58とのガス流量比(酸素/C58)が、
    1〜1.2であることを特徴とする半導体集積回路装置
    の製造方法。
  16. 【請求項16】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記C58の分圧が、0.02〜0.2Paであること
    を特徴とする半導体集積回路装置の製造方法。
  17. 【請求項17】 請求項11記載の半導体集積回路装置
    の製造方法において、 前記C58の分圧が、0.04〜0.1Paであること
    を特徴とする半導体集積回路装置の製造方法。
  18. 【請求項18】 (a)半導体基板上に窒化シリコン系
    の絶縁膜を堆積する工程、(b)前記窒化シリコン系の
    絶縁膜上に酸化シリコン系の絶縁膜を堆積する工程、
    (c)前記半導体基板に対して、フロロカーボン系のガ
    ス、酸素および希釈ガスを有するエッチングガスを用い
    てプラズマエッチング処理を施すことにより、前記酸化
    シリコン系の絶縁膜を加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が5
    0〜350msであることを特徴とする半導体集積回路
    装置の製造方法。
  19. 【請求項19】 (a)半導体基板上に窒化シリコン系
    の絶縁膜を堆積する工程、(b)前記窒化シリコン系の
    絶縁膜上に酸化シリコン系の絶縁膜を堆積する工程、
    (c)前記半導体基板に対して、フロロカーボン系のガ
    ス、酸素および希釈ガスを有するエッチングガスを用い
    てプラズマエッチング処理を施すことにより、前記酸化
    シリコン系の絶縁膜を加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が1
    00〜200msであることを特徴とする半導体集積回
    路装置の製造方法。
  20. 【請求項20】 (a)半導体基板上に窒化シリコン系
    の絶縁膜を堆積する工程、 (b)前記窒化シリコン系の絶縁膜上に酸化シリコン系
    の絶縁膜を堆積する工程、(c)前記酸化シリコン系の
    絶縁膜に対して、フロロカーボン系のガス、酸素および
    希釈ガスを有するエッチングガスを用いてプラズマエッ
    チング処理を施すことにより、前記酸化シリコン系の絶
    縁膜を加工する工程を有し、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が0.7〜7Paであり、前記エッチング処理室内
    に流入されるエッチングガスの総流量が700cm3
    min以上であることを特徴とする半導体集積回路装置
    の製造方法。
  21. 【請求項21】 (a)半導体基板上に窒化シリコン系
    の絶縁膜を堆積する工程、(b)前記窒化シリコン系の
    絶縁膜上に酸化シリコン系の絶縁膜を堆積する工程、
    (c)前記酸化シリコン系の絶縁膜に対して、フロロカ
    ーボン系のガス、酸素および希釈ガスを有するエッチン
    グガスを用いてプラズマエッチング処理を施すことによ
    り、前記酸化シリコン系の絶縁膜を加工する工程を有
    し、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が1.3〜4Paであり、前記エッチング処理室内
    に流入されるエッチングガスの総流量が700cm3
    min以上であることを特徴とする半導体集積回路装置
    の製造方法。
  22. 【請求項22】 (a)半導体基板上に酸化シリコン系
    の絶縁膜を堆積する工程、(b)前記酸化シリコン系の
    絶縁膜上にハードマスクを形成する工程、(c)前記ハ
    ードマスクをエッチングマスクとして、前記半導体基板
    に対して、フロロカーボン系のガス、酸素および希釈ガ
    スを有するエッチングガスを用いてプラズマエッチング
    処理を施すことにより、前記酸化シリコン系の絶縁膜を
    加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が5
    0〜700msであることを特徴とする半導体集積回路
    装置の製造方法。
  23. 【請求項23】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記プラズマエッチング処理中の処理室内の圧力が0.
    7〜7Paであることを特徴とする半導体集積回路装置
    の製造方法。
  24. 【請求項24】 請求項22記載の半導体集積回路装置
    の製造方法において、前記処理室内に流入されるエッチ
    ングガスの総流量が200〜1000cm3/min以
    上であることを特徴とする半導体集積回路装置の製造方
    法。
  25. 【請求項25】 請求項22記載の半導体集積回路装置
    の製造方法において、前記処理室内に流入されるエッチ
    ングガスの総流量が700cm3/min以上であるこ
    とを特徴とする半導体集積回路装置の製造方法。
  26. 【請求項26】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記プラズマエッチング処理中の処理室内の圧力が1.
    3〜4Paであり、前記処理室内に流入されるエッチン
    グガスの総流量が700cm3/min以上であること
    を特徴とする半導体集積回路装置の製造方法。
  27. 【請求項27】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記希釈ガスの流量が、前記フロロカーボン系のガスお
    よび酸素の流量よりも多いことを特徴とする半導体集積
    回路装置の製造方法。
  28. 【請求項28】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記プラズマエッチング処理中のプラズマ密度が、1×
    1010〜1×1013/cm3であることを特徴とする半
    導体集積回路装置の製造方法。
  29. 【請求項29】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記プラズマエッチング処理中のプラズマ密度が、1×
    1010〜1×1012/cm3であることを特徴とする半
    導体集積回路装置の製造方法。
  30. 【請求項30】 請求項22記載の半導体集積回路装置
    の製造方法において、 前記フロロカーボン系のガスがC58であり、前記希釈
    ガスがアルゴンであることを特徴とする半導体集積回路
    装置の製造方法。
  31. 【請求項31】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記アルゴンガスの流量が200〜1000cm3/m
    inであることを特徴とする半導体集積回路装置の製造
    方法。
  32. 【請求項32】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記アルゴンガスの流量が400〜800cm3/mi
    nであることを特徴とする半導体集積回路装置の製造方
    法。
  33. 【請求項33】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記酸素とC58とのガス流量比(酸素/C58)が、
    0.8〜1.5であることを特徴とする半導体集積回路
    装置の製造方法。
  34. 【請求項34】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記酸素とC58とのガス流量比(酸素/C58)が、
    1〜1.2であることを特徴とする半導体集積回路装置
    の製造方法。
  35. 【請求項35】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記C58の分圧が、0.02〜0.2Paであること
    を特徴とする半導体集積回路装置の製造方法。
  36. 【請求項36】 請求項30記載の半導体集積回路装置
    の製造方法において、 前記C58の分圧が、0.04〜0.1Paであること
    を特徴とする半導体集積回路装置の製造方法。
  37. 【請求項37】 (a)半導体基板上に酸化シリコン系
    の絶縁膜を堆積する工程、(b)前記酸化シリコン系の
    絶縁膜上にハードマスクを形成する工程、(c)前記ハ
    ードマスクをエッチングマスクとして、前記半導体基板
    に対して、フロロカーボン系のガス、酸素および希釈ガ
    スを有するエッチングガスを用いてプラズマエッチング
    処理を施すことにより、前記酸化シリコン系の絶縁膜を
    加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が5
    0〜350msであることを特徴とする半導体集積回路
    装置の製造方法。
  38. 【請求項38】 (a)半導体基板上に酸化シリコン系
    の絶縁膜を堆積する工程、(b)前記酸化シリコン系の
    絶縁膜上にハードマスクを形成する工程、(c)前記ハ
    ードマスクをエッチングマスクとして、前記半導体基板
    に対して、フロロカーボン系のガス、酸素および希釈ガ
    スを有するエッチングガスを用いてプラズマエッチング
    処理を施すことにより、前記酸化シリコン系の絶縁膜を
    加工する工程を有し、 前記エッチングガスのエッチング処理室内滞在時間が1
    00〜200msであることを特徴とする半導体集積回
    路装置の製造方法。
  39. 【請求項39】 (a)半導体基板上に酸化シリコン系
    の絶縁膜を堆積する工程、(b)前記酸化シリコン系の
    絶縁膜上にハードマスクを形成する工程、(c)前記ハ
    ードマスクをエッチングマスクとして、前記半導体基板
    に対して、フロロカーボン系のガス、酸素および希釈ガ
    スを有するエッチングガスを用いてプラズマエッチング
    処理を施すことにより、前記酸化シリコン系の絶縁膜を
    加工する工程を有し、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が0.7〜7Paであり、前記エッチング処理室内
    に流入されるエッチングガスの総流量が700cm3
    min以上であることを特徴とする半導体集積回路装置
    の製造方法。
  40. 【請求項40】 (a)半導体基板上に酸化シリコン系
    の絶縁膜を堆積する工程、(b)前記酸化シリコン系の
    絶縁膜上にハードマスクを形成する工程、(c)前記ハ
    ードマスクをエッチングマスクとして、前記半導体基板
    に対して、フロロカーボン系のガス、酸素および希釈ガ
    スを有するエッチングガスを用いプラズマエッチング処
    理を施すことにより、前記酸化シリコン系の絶縁膜を加
    工する工程を有し、 前記プラズマエッチング処理中のエッチング処理室内の
    圧力が1.3〜4Paであり、前記エッチング処理室内
    に流入されるエッチングガスの総流量が700cm3
    min以上であることを特徴とする半導体集積回路装置
    の製造方法。
JP2000200986A 2000-07-03 2000-07-03 半導体集積回路装置の製造方法 Pending JP2002025979A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2000200986A JP2002025979A (ja) 2000-07-03 2000-07-03 半導体集積回路装置の製造方法
TW090115438A TW533502B (en) 2000-07-03 2001-06-26 Manufacturing method of semiconductor integrated circuit device
US09/893,577 US6838388B2 (en) 2000-07-03 2001-06-29 Fabrication method of semiconductor integrated circuit device
KR1020010038927A KR100689916B1 (ko) 2000-07-03 2001-06-30 반도체 집적 회로 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000200986A JP2002025979A (ja) 2000-07-03 2000-07-03 半導体集積回路装置の製造方法

Publications (1)

Publication Number Publication Date
JP2002025979A true JP2002025979A (ja) 2002-01-25

Family

ID=18698763

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000200986A Pending JP2002025979A (ja) 2000-07-03 2000-07-03 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (1) US6838388B2 (ja)
JP (1) JP2002025979A (ja)
KR (1) KR100689916B1 (ja)
TW (1) TW533502B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003081656A1 (fr) * 2002-03-25 2003-10-02 Tokyo Electron Limited Procede de gravure par plasma
JP5354383B2 (ja) * 2007-09-11 2013-11-27 国立大学法人東北大学 電子装置の製造方法
JP2015043386A (ja) * 2013-08-26 2015-03-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2018071181A1 (en) * 2016-10-11 2018-04-19 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10541147B2 (en) 2015-07-07 2020-01-21 Tokyo Electron Limited Etching method

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002187231A (ja) * 2000-10-13 2002-07-02 Dainippon Printing Co Ltd バリア性フィルムおよびその製造法
JP4153708B2 (ja) * 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US7367114B2 (en) * 2002-08-26 2008-05-06 Littelfuse, Inc. Method for plasma etching to manufacture electrical devices having circuit protection
US7452201B2 (en) * 2003-09-12 2008-11-18 Injectnotech Inc. Injection molding valve gate system and activating mechanism
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
JP2007180311A (ja) * 2005-12-28 2007-07-12 Fujitsu Ltd 半導体装置の製造方法
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
US20080014755A1 (en) * 2006-07-12 2008-01-17 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP5046639B2 (ja) * 2006-08-23 2012-10-10 富士フイルム株式会社 カラーフィルタの製造方法
KR100886641B1 (ko) * 2006-09-29 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
CN105810579B (zh) 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
CN105810581B (zh) * 2015-01-16 2019-12-10 东京毅力科创株式会社 蚀刻方法
JP2016136606A (ja) * 2015-01-16 2016-07-28 東京エレクトロン株式会社 エッチング方法
JP2016157793A (ja) 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI759754B (zh) * 2020-06-03 2022-04-01 台灣奈米碳素股份有限公司 製作半導體裝置的溝槽結構的乾式蝕刻製程

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH0845917A (ja) 1995-07-07 1996-02-16 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
JPH11317392A (ja) 1998-04-30 1999-11-16 Nippon Zeon Co Ltd 選択ドライエッチング方法
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6136643A (en) * 1999-02-11 2000-10-24 Vanguard International Semiconductor Company Method for fabricating capacitor-over-bit-line dynamic random access memory (DRAM) using self-aligned contact etching technology
US6675137B1 (en) * 1999-09-08 2004-01-06 Advanced Micro Devices, Inc. Method of data compression using principal components analysis
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003081656A1 (fr) * 2002-03-25 2003-10-02 Tokyo Electron Limited Procede de gravure par plasma
JP5354383B2 (ja) * 2007-09-11 2013-11-27 国立大学法人東北大学 電子装置の製造方法
JP2015043386A (ja) * 2013-08-26 2015-03-05 東京エレクトロン株式会社 半導体装置の製造方法
US10541147B2 (en) 2015-07-07 2020-01-21 Tokyo Electron Limited Etching method
WO2018071181A1 (en) * 2016-10-11 2018-04-19 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10541141B2 (en) 2016-10-11 2020-01-21 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence

Also Published As

Publication number Publication date
US20020001963A1 (en) 2002-01-03
TW533502B (en) 2003-05-21
US6838388B2 (en) 2005-01-04
KR20020003294A (ko) 2002-01-12
KR100689916B1 (ko) 2007-03-09

Similar Documents

Publication Publication Date Title
JP2002025979A (ja) 半導体集積回路装置の製造方法
KR100757652B1 (ko) 반도체 집적 회로 장치의 제조 방법
US10186428B2 (en) Removal methods for high aspect ratio structures
US9773695B2 (en) Integrated bit-line airgap formation and gate stack post clean
US10541246B2 (en) 3D flash memory cells which discourage cross-cell electrical tunneling
US6168726B1 (en) Etching an oxidized organo-silane film
JP2009530851A (ja) 低誘電率材料のイン・サイチュの裏側ポリマー除去を含むプラズマ誘電エッチング方法
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
JP2009267432A (ja) 半導体集積回路装置の製造方法
JP4645167B2 (ja) フォーカスリング、プラズマエッチング装置及びプラズマエッチング方法。
TW200818301A (en) Dry etching method of insulating film
WO2021204289A1 (zh) 半导体结构及其形成方法
JP4577328B2 (ja) 半導体装置の製造方法
JP4515309B2 (ja) エッチング方法
US7132368B2 (en) Method for repairing plasma damage after spacer formation for integrated circuit devices
JP2002289554A (ja) 半導体装置及びその製造方法
US11715780B2 (en) High performance and low power semiconductor device
JP3570903B2 (ja) 半導体装置の製造方法
US11380697B2 (en) Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
JPH09148429A (ja) 半導体装置の製造方法
KR20240101508A (ko) 에칭 방법
TW202412087A (zh) 高選擇性氧化矽移除之方法
JPH05267247A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050315