TW202412087A - 高選擇性氧化矽移除之方法 - Google Patents

高選擇性氧化矽移除之方法 Download PDF

Info

Publication number
TW202412087A
TW202412087A TW111139630A TW111139630A TW202412087A TW 202412087 A TW202412087 A TW 202412087A TW 111139630 A TW111139630 A TW 111139630A TW 111139630 A TW111139630 A TW 111139630A TW 202412087 A TW202412087 A TW 202412087A
Authority
TW
Taiwan
Prior art keywords
semiconductor processing
substrate
containing precursor
silicon
processing method
Prior art date
Application number
TW111139630A
Other languages
English (en)
Inventor
朱拉拉
車適
冬青 楊
尼汀K 英格爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202412087A publication Critical patent/TW202412087A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種半導體處理方法可包括提供含氟前驅物至半導體處理腔室的處理區。基板可被安置在處理區內。基板可包括含矽及氧材料的暴露區。基板可包括襯墊材料的暴露區。此方法可包括提供含氫前驅物至半導體處理區。此方法可包括使基板接觸含氟前驅物及含氫前驅物。此方法可包括選擇性移除暴露的含矽及氧材料的至少一部分。

Description

高選擇性氧化矽移除之方法
本案主張2022年9月14日提出的美國專利申請案第17/944,540號的優先權權益,其全部內容實際上藉由引用方式在此併入。
本發明關於半導體系統、處理、及設備。更明確地,本發明關於用於選擇性蝕刻在半導體裝置上的材料層的系統及方法。
藉由在基板表面上產生複雜地圖案化材料層的處理而能夠製造積體電路。在基板上產生圖案化材料要求用於移除暴露材料的受控方法。化學蝕刻被用於各種目的,包括將光阻中的圖案轉移進入下方層、將層薄化、或將已經存在於表面上的特徵的橫向尺度薄化。通常期望具有蝕刻處理,其相較於另一材料較快地蝕刻一材料,促進例如圖案轉移處理或個別材料移除。此蝕刻處理被稱為對於第一材料有選擇性。由於材料、電路、及處理的多樣性,蝕刻處理已被發展帶有對於各種材料的選擇性。然而,沉積處理持續被執行遍布基板,通常利用毯覆塗佈或保形填充。
隨著裝置尺寸在下一世代裝置中持續縮減,選擇性會扮演更大的角色,當僅有數奈米的材料被形成在特定層中時,尤其是當此材料在電晶體形成中是關鍵時。許多不同蝕刻處理選擇性已被發展在各種材料之間,然而標準選擇性會不再適用於現今及未來的裝置尺度。此外,基於在基板上的其他地方執行圖案化及形成的同時所需要以形成及保護遍布裝置的特徵的各種關鍵尺度的遮罩、形成、及移除操作的數目,用於處理的等候時間持續增加。
因此,有著對於可用以產生高品質裝置及結構的改善系統及方法的需求。這些與其他需求藉由本發明所解決。
範例半導體處理方法可包括提供含氟前驅物至半導體處理腔室的處理區。基板可被安置在處理區內。此基板可包括含矽及氧材料的暴露區。此基板可包括襯墊材料的暴露區。此方法可包括提供含氫前驅物至半導體處理區。此方法可包括使基板接觸含氟前驅物與含氫前驅物。此方法可包括選擇性移除暴露的含矽及氧材料的至少一部分。
在一些實施例中,含氟前驅物可為或包括氟化氫。含氟前驅物可為或包括氨。含矽及氧材料的暴露區可為基板的氧化表面。襯墊材料的暴露區可為低介電常數間隔物材料。半導體處理腔室內的溫度可維持小於或約200°C。半導體處理腔室內的壓力可維持小於或約20托。此方法可包括在使基板接觸含氟前驅物及含氫前驅物之後執行熱退火。此熱退火可透過昇華而選擇性移除含矽及氧材料的暴露區的部分。
本發明的一些實施例包含半導體處理方法。此方法可包括i)提供含氟前驅物與含氫前驅物至半導體處理腔室的處理區。包括含矽及氧材料的暴露區的基板可被安置在處理區內。此方法可包括ii)使含矽及氧材料的暴露區接觸含氟前驅物與含氫前驅物。此方法可包括iii)在基板上形成含矽及氧副產物。此方法可包括iv)退火此基板。此退火可昇華含矽及氧副產物的至少一部分。
在一些實施例中,操作i)至iv)可為一循環。此半導體處理方法可包括至少兩次循環。每個循環可被執行持續小於或約200秒。含矽及氧副產物的部分可以相對於襯墊材料的暴露區為大於或約3:1的選擇性而被移除。含氟前驅物的流率可小於或約500 sccm。含氫前驅物的流率可小於或約100 sccm。
本發明的一些實施例包含半導體處理方法。此方法可包括提供含氟前驅物至半導體處理腔室的處理區。基板安置在處理區內。此基板可包括含矽及氧材料的暴露區。此基板可包括襯墊材料的暴露區。此方法可包括提供含氫前驅物至半導體處理區。此方法可包括使基板與含氟前驅物與含氫前驅物接觸。此方法可包括退火此基板。退火此基板可相對於襯墊材料的暴露區昇華含矽及氧材料的暴露區的至少一部分。
在一些實施例中,退火此基板可包括將基板定位更接近半導體處理腔室的噴淋頭。含矽及氧材料的暴露區相對於襯墊材料的暴露區之間的選擇性可大於或約3:1。含氟前驅物相對於含氫前驅物的流率比例可小於或約10:1。含矽及氧材料的暴露區的移除速率可大於或約0.3 Å/秒。此處理區可在半導體處理方法期間維持為無電漿。
本文所述的發明可提供相較於習知系統與技術的許多益處。例如,本文所述的發明可容許移除被執行,其可保護基板上的其他特徵或材料。此外,此處理可相對於基板上的其他暴露材料而選擇性移除含矽及氧材料。這些與其他實施例,及它們的許多優點和特徵,在聯合之後的說明書與隨附圖示而被更詳細地說明。
稀釋酸可被使用在許多不同半導體處理中以用於清洗基板及從這些基板移除材料。例如,稀釋氫氟酸可為對於氧化矽與其他材料有效的蝕刻劑,及可被用以從基板表面移除這些材料。在蝕刻或清洗操作完成之後,此酸從晶圓或基板表面被乾燥。使用稀釋氫氟酸(「DHF」)可稱為「溼式」蝕刻,及稀釋劑通常是水。利用傳遞至基板的前驅物可使用額外蝕刻處理。例如,藉由透過電漿來增強前驅物以執行乾式蝕刻(包括反應性離子蝕刻),電漿增強處理也可選擇性蝕刻材料。
雖然使用水性溶液或水系處理的溼式蝕刻可有效地操作用於特定基板結構,這些處理會沒有選擇性地從基板表面移除氧化矽與其他材料。例如,當安置在基板上的包括金屬材料時,在蝕刻處理期間利用水會致使問題。例如,特定的後來的製造處理(諸如凹陷間隙、移除氧化物介電質、或其他處理以移除含氧材料)會在已經在基板上形成一數量的金屬化之後被執行。若在蝕刻期間以一些方式利用水,會產生電解質,當電解質接觸金屬材料時,會致使在不相似金屬之間發生伽凡尼腐蝕(galvanic corrosion),及此金屬在各種處理中會被腐蝕或被替換。此外,當諸如從基板表面移除氧化矽時,使用稀釋酸的習知技術會遭受到選擇性效能問題,及會非期望地移除襯墊及/或間隔物材料。
本發明藉由發展用於移除或清洗的選擇性蝕刻處理來克服這些問題。藉由利用在特定設備中執行的選擇性蝕刻處理,所述的處理藉由執行乾式蝕刻處理可克服與習知技術相關的問題,乾式蝕刻處理可限制對表面的撞擊,同時執行可促進目標材料的移除的反應。此外,所使用的材料及條件可容許相對於習知技術的改善的材料移除。
雖然剩餘的說明書將例行地辨明利用所揭示技術的特定蝕刻處理,將輕易地理解到此系統與方法可被同等地應用於各種的其他蝕刻與清洗處理,如同可發生在所述的腔室中。因此,本發明不應被當作如此侷限於單獨以所述的蝕刻處理來使用。在說明根據本發明的範例處理序列的操作之前,本說明書將論述一種可能的系統與腔室,其可被本發明所使用以執行一些的移除操作。
1 顯示根據實施例之沉積、蝕刻、烘烤、及固化腔室的處理系統100的一實施例的頂部平面視圖。在圖示中,一對的晶圓傳送盒(FOUP)102供給各種尺寸的基板,基板藉由機器臂104被接收及在被放置進入基板處理腔室108a-f中的一者之前被放置進入低壓固持區域106,基板處理腔室108a-f定位在串聯區段109a-c中。第二機器臂110可被用以將基板晶圓從固持區域106傳送來回於基板處理腔室108a-f。各個基板處理腔室108a-f可被裝備以執行若干的基板處理操作,包括本文所述的乾式蝕刻處理及選擇性沉積,還有循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、溼式蝕刻、預清洗、除氣、定向、及其他基板處理。
基板處理腔室108a-f可包括一或多個系統部件,用於沉積、退火、固化及/或蝕刻基板晶圓上的介電膜。在一組態中,兩對的處理腔室,例如,108c-d與108e-f,可用以在基板上沉積介電材料或含金屬材料,及第三對的處理腔室,例如,108a-b,可用以蝕刻經沉積介電質。在另一組態中,所有三對的腔室,例如,108a-f,可設置以蝕刻基板上的介電膜。本文所述處理的任一者或多者可被執行在與顯示在不同實施例中的製造系統分開的腔室中。
在一些實施例中,腔室明確地包括至少一蝕刻腔室和至少一沉積腔室。藉由包括這些腔室結合在工廠介面的處理側上,之後所論述的所有的蝕刻及沉積處理可被執行在受控環境中。例如,真空環境可維持在固持區域106的處理側上,使得在實施例中的所有的腔室及移送被維持在真空下。此也可限制水蒸氣與其他空氣成分接觸被處理的基板。將領會到用於介電膜的沉積、蝕刻、退火、及固化腔室的額外組態被系統100所料想到。
2A 顯示帶有在處理腔室內分隔開的電漿產生區的範例處理腔室系統200的剖面視圖。在膜蝕刻期間,例如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氧氮化矽、氧碳化矽、等等,處理氣體可透過氣體入口組件205被進入第一電漿區215。遠端電漿系統(RPS)201可任選地被包括在此系統中,及可處理第一氣體,然後第一氣體行進穿過氣體入口組件205。氣體入口組件205可包括兩個或更多個不同的氣體供給通道,其中第二通道(未示出)可繞過RPS 201(若有包括)。
冷卻板203、面板217、離子抑制件223、噴淋頭225、及具有基板255安置在其上的台座265,被顯示及各自可根據實施例被包括。台座265或基板支撐件可具有熱交換通道,熱交換流體透過熱交換通道流動以控制基板的溫度,其可被操作以在處理操作期間加熱及/或冷卻基板或晶圓。台座265的晶圓支撐淺盤(其可包括鋁、陶瓷、或前述物的組合)也可被電阻地加熱以達成相對高的溫度,諸如從高達或約100°C至高於或約1100°C,使用內嵌的電阻加熱器元件。
面板217可為方錐狀、圓錐狀、或具有狹窄頂部分擴展至寬底部分的其他類似結構。面板217可額外地如顯示為平坦的且包括用以分配處理氣體的複數個穿通通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物種可通過面板217中的複數個孔洞(如第2B圖所示)用於更均勻傳遞進入第一電漿區215。
範例組態可包括使氣體入口組件205開放進入氣體供給區258,氣體供給區258藉由面板217與第一電漿區215分隔開,使得氣體/物種流動通過面板217中的孔洞進入第一電漿區215。結構及操作的特徵可被選定以避免從第一電漿區215返回供給區258、氣體入口組件205、及流體供給系統210的電漿的顯著回流。面板217,或腔室的導電頂部分,及噴淋頭225被顯示帶有位於特徵之間的絕緣環220,其容許AC電位相對於噴淋頭225及/或離子抑制件223被施加至面板217。絕緣環220可定位在面板217與噴淋頭225及/或離子抑制件233之間,使得電容耦合電漿(CCP)能夠被形成在第一電漿區中。隔板(未示出)可額外地位於第一電漿區215中,或者與氣體入口組件205耦接,以影響透過氣體入口組件205進入此區的流體的流動。
離子抑制件223可包含板或其他幾何形狀,其界定貫穿此結構的複數個孔洞,孔洞被設置以抑制離子帶電物種遷移離開第一電漿區215,同時容許未帶電的中性或自由基物種通過離子抑制件223進入在抑制件與噴淋頭之間的活化的氣體傳遞區。在實施例中,離子抑制件223可包含具有各種孔洞組態的穿孔板。這些未帶電物種可包括高反應性物種,其可與較小反應性的載氣被傳送通過孔洞。如上所述,穿過孔洞的離子物種的遷移可被減少,及在一些例子中被完全抑制。控制通過離子抑制件223的離子物種的數量可有利地提供增加的控制於被使得與下方晶圓基板接觸的氣體混合物,其從而可增加控制氣體混合物的沉積及/或蝕刻特性。例如,在氣體混合物的離子濃度中的調整可顯著地改變蝕刻選擇性,例如,SiNx:SiOx蝕刻比例、Si:SiOx蝕刻比例、等等。在沉積被執行的替代實施例中,也可轉移用於介電材料的保形-至-可流動方式的沉積的平衡。
離子抑制件223中的複數個孔洞可被設置以控制活化氣體的通過,即,離子、自由基、及/或中性物種,通過離子抑制件223。例如,孔洞的深寬比,或孔洞直徑對於長度,及/或孔洞的幾何形狀可被控制,使得行進通過離子抑制件223的在活化氣體中的離子帶電物種的流動被降低。離子抑制件223中的孔洞可包括面向電漿激發區215的漸縮部分,及面向噴淋頭225的圓柱部分。圓柱部分可被塑形及定制尺寸以控制通過噴淋頭225的離子物種的流動。可調整的電偏壓也可被施加至離子抑制件223作為額外手段以控制通過抑制件的離子物種的流動。
離子抑制件223可作用以降低或消除從電漿產生區行進至基板的離子帶電物種的數量。未帶電的中性及自由基物種仍可通過離子抑制件中的開口以與基板反應。應注意到在實施例中可不執行完全消除圍繞基板的反應區中的離子帶電物種。在特定例子中,離子物種有意地到達基板,以執行蝕刻及/或沉積處理。在這些例子中,離子抑制件可助於將反應區中的離子物種的濃度控制在協助此處理的程度。
噴淋頭225與離子抑制件223組合可容許電漿存在於第一電漿區215中,以避免直接地激發基板處理區233中的氣體,同時仍容許激發的物種以從腔室電漿區215行進進入基板處理區233。以此方式,腔室可被設置以避免電漿接觸被蝕刻的基板255。此可有利地保護被圖案化在基板上的各種複雜結構與膜,若直接被所產生的電漿接觸,各種複雜結構與膜會被損傷、移位、或者變形。此外,當電漿被容許以接觸基板或接近基板水平面,氧化物物種的蝕刻速率會增加。因此,若材料的暴露區是氧化物,藉由將電漿維持在此基板的遠端,此材料會被進一步保護。
處理系統可進一步包括與處理腔室電氣耦接的電源240,以提供電功率至面板217、離子抑制件223、噴淋頭225、及/或台座265,以在第一電漿區215或處理區233中產生電漿。電源可被設置取決於所執行的處理以遞送可調整數量的功率至腔室。此組態可容許可調諧電漿被使用在被執行的處理中。不同於遠端電漿單元,其通常呈現帶有開啟或關閉的功能性,可調諧電漿可被設置以遞送特定數量的功率至電漿區215。此從而可容許特定電漿特性的發展,使得前驅物以特定方式被解離,以增強藉由這些前驅物所產生的蝕刻輪廓。
電漿可被點燃在噴淋頭255之上的腔室電漿區215中或者噴淋頭255之下的基板處理區233中。在實施例中,形成在基板處理區233中的電漿可為以台座作為電極所形成的DC偏壓電漿。電漿可存在於腔室電漿區215中以從例如含氟前驅物或其他前驅物的流入而產生自由基前驅物。通常在射頻(RF)範圍的AC電壓可被施加在處理腔室的導電頂部分(諸如面板217)與噴淋頭225及/或離子抑制件223之間以在沉積期間在腔室電漿區215中點燃電漿。RF電源可產生13.56MHz的高RF頻率,但也可產生單獨的其他頻率或與13.56MHz頻率組合的其他頻率。
2B 顯示影響通過面板217的處理氣體分配的特徵的詳細視圖253。如第2A與2B圖所示,面板217、冷卻板203、及氣體入口組件205相交以界定氣體供給區258,處理氣體可從氣體入口205被傳遞進入氣體供給區258。氣體可填充氣體供給區258及通過面板217中的孔洞259流動至第一電漿區215。孔洞259可被設置以實質上單向的方式引導流動,使得處理氣體可流入處理區233,但可部分地或完全地避免在穿越面板217之後回流進入氣體供給區258。
使用在處理腔室區段200中的諸如噴淋頭225的氣體分配組件可被稱為雙通道噴淋頭(DCSH)及被額外地詳述在第2B圖中所述的實施例中。雙通道噴淋頭可提供蝕刻處理,其容許在處理區233之外的蝕刻劑的分離,以提供在被傳遞進入處理區之前的蝕刻劑與腔室部件及蝕刻劑彼此的受限交互作用。
噴淋頭225可包含上板214與下板216。此等板可與另一板耦接以在此等板之間界定容積218。此等板的耦接可為如此以提供通過上板與下板的第一流體通道219,及通過下板216的第二流體通道221。所形成的通道可被設置以提供從容積218單獨經由第二流體通道221穿過下板216的流體進出,及第一流體通道219可與在此等板之間的容積218及第二流體通道221流體地隔離。容積218透過噴淋頭225的一側可被流體地進出。
第3圖是根據實施例之以處理腔室使用的噴淋頭325的底視圖。噴淋頭325可與第2A圖中所示的噴淋頭對應。顯示第一流體通道219的視圖的穿孔365可具有複數種形狀與組態,以控制與影響穿過噴淋頭225的前驅物的流動。顯示第二流體通道221的視圖的小孔洞375可被實質上均勻地分配在噴淋頭的表面上方,甚至在穿孔365中間,及相較於其他組態可助於在前驅物離開噴淋頭時提供更均勻的前驅物的混合。
4 繪示形成半導體結構的方法400,其中的許多操作可被執行例如在先前所述的腔室200中。方法400可包括在此方法的起始之前的一或多個操作,包括前段處理、拋光、清洗、沉積、蝕刻、或可在所說明的操作之前執行的任何其他操作。此方法可包括如圖式中所表示的若干任選的操作,其可為或可不為與根據本發明的方法有明確地相關。例如,說明許多的操作以提供結構資訊的更廣範疇,但對於本發明並非關鍵的,或可藉由替代方法來執行,如將在之後進一步論述的。方法400敘述圖解地顯示在 5A-5C 中的操作,其中的繪圖將聯合方法400的操作來說明。將理解到第5A-5C圖僅繪示部分圖解視圖,及基板可含有任意數目的具有如圖示中所繪示的態樣的電晶體區段。可執行方法400的操作以形成底部絕緣層以避免穿過底部奈米線通道的漏電流。也可執行方法400的操作以限制或消除RIE及/或離子佈植處理,及避免損害至含矽表面以用於無缺陷的源極或汲極形成。可進一步執行方法400的操作以限制或消除遮罩操作及/或RIE處理,及降低處理等候時間。
如第5A圖中所示,方法400可開始於任選的操作405,藉由在基板505上方形成多層結構及藉由執行結構500的處理後製程。基板505可由矽或一些其他半導體基板材料製成或含有矽或一些其他半導體基板材料。多層結構可包括不同的含矽材料的層。多層結構可包括多晶矽材料510。多晶矽材料510可形成在基板505上。氮化矽材料515可形成在多晶矽材料510上方。氧化物材料520可形成在氮化矽材料515上方。氧化物材料520可包括例如氧化矽或任何其他氧化物材料。襯墊材料525,或間隔物材料,可被形成在基板505、多晶矽材料510、氮化矽材料515、及氧化物材料520上方。襯墊材料525可為例加含矽及氮材料。在實施例中,襯墊材料可為氮化矽。在其他實施例中,襯墊材料525可為低介電常數材料,諸如氧氮化矽(SiON)或氧碳氮化矽(SiOCN)。如將在之後更詳細論述的,多層結構可實質上被發展成各種電晶體結構。
又在任選的操作405,可在結構500上執行形成後處理。如先前所論述,前段處理、拋光、清洗、沉積、蝕刻、或可執行任何其他操作,如第5B圖所示,例如,蝕刻操作(諸如反應性離子蝕刻操作)可被執行以在基板505中形成凹部。可利用含氧前驅物的反應性離子蝕刻操作可在基板505上形成含矽及氧材料530。在實施例中,含矽及氧材料530可為基板505的氧化表面。反應性離子蝕刻操作也可移除襯墊材料515的一部分,諸如覆蓋基板505的襯墊材料525。反應性離子蝕刻操作也會損害或移除覆蓋氧化物材料520的襯墊材料525的一部分。
在操作410,方法400可包括提供含氟前驅物至半導體處理腔室的處理區,諸如前述的腔室200。在操作410及如第5B圖所示,基板505可包括含矽及氧材料530的暴露區及襯墊材料525的暴露區。範例含氟前驅物可為氟化氫(HF)。氟的其他來源可與氟化氫結合使用或作為氟化氫的替代物。在一些實施例中,含氟前驅物可為或包括原子氟、雙原子氟、氟化氫、三氟化氮、四氟化碳、二氟化氙、及在半導體處理中被使用或可取得的各種其他含氟前驅物。
含氟前驅物的流率可小於或約500 sccm,及可小於或約450 sccm、小於或約400 sccm、小於或約350 sccm、小於或約300 sccm、小於或約250 sccm、小於或約200 sccm、小於或約150 sccm、小於或約100 sccm、小於或約75 sccm、小於或約50 sccm、或更小。
在操作415,方法400可包括提供含氫前驅物至半導體處理腔室的處理區。含氫前驅物也可包括氮。因此,含氫前驅物可包括胺基團。胺基團被界定為具有擁有孤對電子的氮(典型地表示為N:)。例如,含氫前驅物可為或包括氨、甲胺、乙胺、二乙胺、甲基乙基二胺、及在半導體處理中被使用或可取得的各種其他含氫前驅物。
含氫前驅物的流率可小於或約100 sccm,及可小於或約90 sccm、小於或約80 sccm、小於或約70 sccm、小於或約60 sccm、小於或約50 sccm、小於或約40 sccm、小於或約30 sccm、小於或約20 sccm、小於或約15 sccm、小於或約10 sccm、或更小。含氟前驅物與含氫前驅物的流率可足夠的低以最小化蝕刻或移除結構500中的其他材料,同時蝕刻及/或形成副產物將被昇華在含矽及氧材料530的暴露區中。
如先前所論述,含氟前驅物的流率可為例如小於或約500 sccm及含氫前驅物的流率可為例如小於或約100 sccm。含氟前驅物相對於含氫前驅物的流率比例小於或約10:1。在流率比例大於或約10:1處,含氟材料的分壓會增加至致使在含矽及氧材料的移除中的選擇性減少的點。因此,含氟前驅物相對於含氫前驅物的流率比例可小於或約9:1、小於或約8:1、小於或約7:1、小於或約6:1、小於或約5:1、小於或約4:1、小於或約3:1、小於或約2:1、或更小。然而,含氟前驅物相對於含氫前驅物的增加流率會增加含矽及氧材料的蝕刻速率。因此,含氟前驅物相對於含氫前驅物的流率比例可大於或約2:1、大於或約3:1、大於或約4:1、大於或約5:1、或更高。
含氟前驅物與含氫前驅物可被分開地提供至處理區,或在實施例中,在被提供至處理區之前可被混合或組合。含氟前驅物與含氫前驅物也可被提供帶有任何數目的載氣,載氣可包括氮、氦、氬、或其他惰性氣體。
在操作420,方法400可包括使基板505及多層結構接觸含氟前驅物與含氫前驅物。藉由使基板505接觸含氟前驅物與含氫前驅物,副產物可從基板505上的含矽及氧材料530的暴露區形成。含氟前驅物與含氫前驅物可與基板505上的含矽及氧材料530的暴露區交互作用以形成固體副產物,其可包括氟矽酸銨((NH 4) 2SiF 6)。氣態副產物,其可包括四氟化矽(SiF 4)及氫(諸如雙原子氫(H 2)),也可被形成,其可排氣。如之後所論述,固體副產物(諸如氟矽酸((NH 4) 2SiF 6))可被移除,諸如透過昇華。
處理狀態會衝擊執行在方法400中的操作。方法400的操作的每一者在實施例中可被執行在恆溫期間,而在一些實施例中的溫度在不同操作期間可被調整。在本發明的一些實施例中,方法400可被執行在基板、台座、及/或腔室溫度小於或約 200°C,及可被執行在溫度小於或約180°C、小於或約160°C、小於或約140°C、小於或約120°C、小於或約100°C、小於或約80°C、小於或約60°C、小於或約40°C、小於或約30°C、小於或約20°C、小於或約15°C、小於或約10°C、或更低。溫度也可被維持在這些範圍內、這些範圍所包含的較小範圍內、或在任何的這些範圍之間的任何溫度處。
半導體處理腔室內的壓力也會影響所執行的操作。因此,在一些實施例中,壓力可維持在小於約20托、小於約15托、小於約10托、小於約9托、小於約8托、小於約7托、小於約6托、小於約5托、小於約4托、小於約3托、小於約2托、小於約1托、小於約0.8托、小於約0.6托、小於約0.4托、小於約0.2托、或更小。壓力也可維持在這些範圍內、這些範圍所包含的較小範圍內、或任何的這些範圍之間的任何壓力。添加進一步控制於此處理,含氟前驅物的分壓可被調整以調整選擇性。例如,當含氟前驅物的分壓增加,含矽及氧材料530的移除的選擇性會減少。因此,含氟前驅物的分壓可被維持小於或約0.05托以維持含矽及氧材料530的移除的選擇性。例如,含氟前驅物的分壓可維持在小於或約0.045托、小於或約0.04托、小於或約0.035托、小於或約0.03托、小於或約0.025托、小於或約0.02托、小於或約0.015托、小於或約0.01托、或更小。
在實施例中,方法400可為純粹地熱操作。在此實施中,處理區在方法400期間被維持為無電漿。也料想到電漿可從含氟前驅物及/或含氫前驅物所形成。前驅物的任一者或兩者的電漿可被形成在半導體腔室的處理區中,或替代地,可被形成在遠端電漿系統中。然而,不同於習知技術,本發明可不需要電漿的形成以如本文所述對於其他材料有選擇性而有效地移除暴露的含矽及氧材料。
在任選的操作425,方法400可包括在使基板505接觸含氟前驅物與含氫前驅物之後執行熱退火。此熱退火可透過昇華而選擇性移除暴露的含矽及氧材料的部分,諸如在使基板505及含矽及氧材料530接觸含氟前驅物與含氫前驅物所形成的副產物。在操作425期間,基板505可被升舉,諸如藉由在基板支撐件中的升舉銷,而被定位更接近半導體處理腔室的噴淋頭。噴淋頭可在相較於基板支撐件更高的溫度,從而增加在操作425期間的基板的溫度。操作425可增加基板的溫度至大於或約80°C、大於或約90°C、大於或約100°C、大於或約110°C、大於或約120°C、大於或約130°C、大於或約140°C、大於或約150°C、或更高。在操作425期間,一或多種惰性氣體可被提供至處理腔室以促進副產物的昇華。例如,可在操作425期間提供氫(諸如雙原子氫)、氬、氖、或氙的一者或多者。
如第5C圖所示,在操作430,方法400可包括選擇性移除暴露的含矽及氧材料530的至少一部分。含矽及氧材料530的暴露區的移除速率可大於或約0.3 Å/秒,及可大於或約0.4 Å/秒、大於或約0.5 Å/秒、及可大於或約0.6 Å/秒、大於或約0.7 Å/秒、及可大於或約0.8 Å/秒、大於或約0.9 Å/秒、及可大於或約1.0 Å/秒、或更高。然而,並了維持高選擇性,含氟前驅物及/或含氫前驅物的流率可被提供以維持含矽及氧材料530的暴露區的移除速率在小於或約1.5 Å/秒,諸如小於或約1.3 Å/秒或小於或約1.0 Å/秒。
在任選的操作435,方法400可包括重複操作410-430一次或更多次額外循環。操作410-430可界定方法400的一次循環。在大於或約200秒的移除時間,由於前驅物與襯墊材料525之間的延長接觸,選擇性會開始降低。因此,每次循環可小於或約200秒、小於或約190秒、小於或約180秒、小於或約170秒、小於或約160秒、小於或約150秒、小於或約140秒、小於或約130秒、小於或約120秒、小於或約110秒、小於或約100秒、小於或約90秒、小於或約80秒、小於或約70秒、小於或約60秒、小於或約50秒、小於或約40秒、小於或約30秒、小於或約20秒、小於或約10秒、或更小。為了移除期望數量的暴露的含矽及氧材料530,操作410-430可被重複至少兩次、至少三次、至少四次、至少五次、至少六次、或更多次。循環蝕刻及副產物昇華處理可抑制襯墊材料525的蝕刻或移除及容許含矽及氧材料530的高選擇性蝕刻或移除。
藉由執行根據本發明的實施例的操作,含矽及氧材料可相對於其他材料被選擇性蝕刻,其他材料包括先前所述的任何材料。例如,本發明可相對於低介電常數材料選擇性蝕刻含矽及氧材料,低介電常數材料包括SiON、SiOCN、或其他介電質及/或氮化矽,如先前所提到。本發明的實施例可以至少約1.5:1的速率相對於低介電常數材料而蝕刻含矽及氧材料,及可以一選擇性而相對於暴露的低介電常數材料蝕刻含矽及氧材料,此選擇性大於或約2.0:1、大於或約2.5:1、大於或約3.0:1、大於或約3.5:1、大於或約4.0:1、大於或約4.5:1、大於或約5.0:1、或更大。本發明的實施例可以至少約30:1的速率相對於氮化矽蝕刻含矽及氧材料,及可以一選擇性相對於暴露的氮化矽蝕刻含矽及氧材料,此選擇性大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約55:1、大於或約60:1、或更大。例如,根據本發明的一些實施例執行的蝕刻可蝕刻含矽及氧材料,同時實質上或基本上維持上述的任何的其他含矽材料。
在前面的說明中,為了闡明目的,已說明許多細節以提供理解本發明的各種實施例。然而,在沒有這些細節中的一些細節或帶有額外細節下可實行特定實施例,對於通常知識者是顯而易見的。
已經揭示數個實施例,通常知識者將認知到在不背離實施例的精神下,可使用各種修改、替代架構、及等效物。此外,並未說明若干的周知處理與元件,以避免不必要地混淆本發明。因此,上述說明不應當作限制本發明的範疇。
當提供一數值範圍時,除非上下文明確地另外指明,理解到在範圍的上限值與下限值之間的至下限值的單位的最小部分之每個中介值也被明確地揭示。在敘明範圍中的任何敘明值或未敘明中介值及敘明範圍中的任何其他敘明或中介值之間的任何較窄範圍被涵蓋。彼等較小範圍的上限值與下限值可獨立地在此範圍中被包括或被排除,及受到在敘明範圍中的任何明確排除限值,在較小範圍中任一限值被包括、限值皆不被包括、或限值皆被包括的各範圍也被涵蓋在本發明中。當敘明範圍包括限值的一者或兩者,也包括排除這些被包括限值的任一者或兩者的範圍。
在本文中及隨附申請專利範圍中使用時,除非上下文清楚地另外指明,單數形式的「一(a)」、「一(an)」及「該」包括複數參照物。因此,例如,關於「一材料」包括複數個此材料,及關於「該前驅物」包括關於一或多個前驅物及通常知識者所知的其等效物,以此類推。
又,字詞「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」、及「包括(including)」當被使用在本說明書及在之後的申請專利範圍中時,旨在指明敘明特徵、整體、部件、或操作的存在,但它們不排除一或多個其他特徵、整體、部件、操作、動作或群組的存在或添加。
100:處理系統 102:晶圓傳送盒(FOUP) 104:機器臂 106:固持區域 108a,108b,108c,108d,108e,108f:基板處理腔室 109a,109b,109c:串聯區段 110:第二機器臂 200:處理腔室系統 201:遠端電漿系統(RPS) 203:冷卻板 205:氣體入口組件 210:流體供給系統 214:上板 215:第一電漿區 216:下板 217:面板 218:容積 219:第一流體通道 220:絕緣環 221:第二流體通道 223:離子抑制件 225:噴淋頭 233:基板處理區 240:電源 253:視圖 255:基板 258:氣體供給區 259:孔洞 265:台座 325:噴淋頭 365:穿孔 375:小孔洞 400:方法 405,410,415,420,425,430,435:操作 500:結構 505:基板 510:多晶矽材料 515:氮化矽材料 520:氧化物材料 525:襯墊材料 530:含矽及氧材料
參照本說明書的剩餘部分及圖式可實現進一步理解所揭示發明的本質與優點。
第1圖顯示根據本發明的實施例之範例處理系統的頂部平面視圖。
第2A圖顯示根據本發明的實施例之範例處理系統的圖解剖面視圖。
第2B圖顯示根據本發明的實施例之範例噴淋頭的詳細視圖。
第3圖顯示根據本發明的實施例之範例噴淋頭的底部平面視圖。
第4圖顯示根據本發明的實施例之形成半導體結構的方法中的選定操作。
第5A-5C圖顯示根據本發明的實施例之範例基板的圖解剖面視圖。
數個圖示被包括作為主題。將理解到圖示是用於例示目的,且不被當作按比例的,除非有清楚地敘明為按比例的。此外,作為主題,圖示被提供以助於理解且可不包括與現實代表物相比較下的所有態樣或資訊,及可包括誇大的材料以用於例示目的。
在隨附圖示中,類似部件及/或特徵可具有相同的元件符號。再者,相同類型的各種部件可藉由在元件符號之後的字母來區別,此字母區別相似部件。若僅有首要元件符號被使用在說明書中,此敘述可應用於具有相同的首要元件符號的類似部件的任一者,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
405,410,415,420,425,430,435:操作

Claims (20)

  1. 一種半導體處理方法,包含以下步驟: 提供一含氟前驅物至一半導體處理腔室的一處理區,其中一基板被安置在該處理區內,其中該基板包含含矽及氧材料的一暴露區,及其中該基板包含一襯墊材料的一暴露區; 提供一含氫前驅物至該半導體處理區; 使該基板接觸該含氟前驅物及該含氫前驅物;及 選擇性移除含矽及氧材料的該暴露區的至少一部分。
  2. 如請求項1所述之半導體處理方法,其中該含氟前驅物包含氟化氫。
  3. 如請求項1所述之半導體處理方法,其中該含氫前驅物包含氨。
  4. 如請求項1所述之半導體處理方法,其中含矽及氧材料的該暴露區是該基板的一氧化表面。
  5. 如請求項1所述之半導體處理方法,其中該襯墊材料的該暴露區包含一低介電常數間隔物材料。
  6. 如請求項1所述之半導體處理方法,其中該半導體處理腔室內的一溫度維持在小於或約200°C。
  7. 如請求項1所述之半導體處理方法,其中該半導體處理腔室內的一壓力維持在小於或約20托。
  8. 如請求項1所述之半導體處理方法,進一步包含以下步驟: 在該基板接觸該含氟前驅物與該含氫前驅物之後,執行一熱退火,其中該熱退火透過昇華選擇性移除含矽及氧材料的該暴露區的該部分。
  9. 一種半導體處理方法,包含以下步驟: i)提供一含氟前驅物與一含氫前驅物至一半導體處理腔室的一處理區,其中一基板被安置在該處理區內,該基板包含含矽及氧材料的一暴露區; ii)使含矽及氧材料的該暴露區接觸該含氟前驅物與該含氫前驅物; iii)在該基板上形成一含矽及氧副產物;及 iv)退火該基板,其中該退火昇華該含矽及氧副產物的至少一部分。
  10. 如請求項9所述之半導體處理方法,其中操作i)至操作iv)包含一循環,及其中該半導體處理方法包含至少兩次循環。
  11. 如請求項10所述之半導體處理方法,其中各循環被執行持續小於或約200秒。
  12. 如請求項9所述之半導體處理方法,其中該含矽及氧副產物的該部分以相對於一襯墊材料的一暴露區為大於或約3:1的一選擇性被移除。
  13. 如請求項9所述之半導體處理方法,其中該含氟前驅物的一流率小於或約500 sccm。
  14. 如請求項9所述之半導體處理方法,其中該含氫前驅物的一流率小於或約100 sccm。
  15. 一種半導體處理方法,包含以下步驟: 提供一含氟前驅物至一半導體處理腔室的一處理區,其中一基板被安置在該處理區內,其中該基板包含含矽及氧材料的一暴露區,及其中該基板包含一襯墊材料的一暴露區; 提供一含氫前驅物至該半導體處理區; 使該基板接觸該含氟前驅物及該含氫前驅物;及 退火該基板,其中退火該基板相對於該襯墊材料的該暴露區昇華含矽及氧材料的該暴露區的至少一部分。
  16. 如請求項15所述之半導體處理方法,其中退火該基板包含將該基板定位更接近該半導體處理腔室的一噴淋頭。
  17. 如請求項15所述之半導體處理方法,其中含矽及氧材料的該暴露區相對於該襯墊材料的該暴露區之間的一選擇性大於或約3:1。
  18. 如請求項15所述之半導體處理方法,其中該含氟前驅物相對於該含氫前驅物的一流率比例小於或約10:1。
  19. 如請求項15所述之半導體處理方法,其中含矽及氧材料的該暴露區的一移除速率大於或約0.3 Å/秒。
  20. 如請求項15所述之半導體處理方法,其中該處理區在該半導體處理方法期間維持為無電漿。
TW111139630A 2022-09-14 2022-10-19 高選擇性氧化矽移除之方法 TW202412087A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/944,540 US20240087910A1 (en) 2022-09-14 2022-09-14 Methods of highly selective silicon oxide removal
US17/944,540 2022-09-14

Publications (1)

Publication Number Publication Date
TW202412087A true TW202412087A (zh) 2024-03-16

Family

ID=90141483

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111139630A TW202412087A (zh) 2022-09-14 2022-10-19 高選擇性氧化矽移除之方法

Country Status (3)

Country Link
US (1) US20240087910A1 (zh)
TW (1) TW202412087A (zh)
WO (1) WO2024058794A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
GB201117279D0 (en) * 2011-10-06 2011-11-16 Nexeon Ltd Etched silicon structures, method of forming etched silicon structures and uses thereof
US10497579B2 (en) * 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods

Also Published As

Publication number Publication date
WO2024058794A1 (en) 2024-03-21
US20240087910A1 (en) 2024-03-14

Similar Documents

Publication Publication Date Title
TWI743249B (zh) 用於高深寬比結構之移除方法
TWI705529B (zh) 空氣間隙形成處理
TWI819802B (zh) 底部隔離之形成
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
US20220351979A1 (en) Systems and methods for selective metal compound removal
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
US10170336B1 (en) Methods for anisotropic control of selective silicon removal
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
KR20220022458A (ko) 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들
US11682560B2 (en) Systems and methods for hafnium-containing film removal
TW202412087A (zh) 高選擇性氧化矽移除之方法
US11715780B2 (en) High performance and low power semiconductor device
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
TW201839905A (zh) 選擇性側壁間隔物
TW201842557A (zh) 子鰭片至絕緣體矽之轉換