KR20220022458A - 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들 - Google Patents

산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들 Download PDF

Info

Publication number
KR20220022458A
KR20220022458A KR1020210107357A KR20210107357A KR20220022458A KR 20220022458 A KR20220022458 A KR 20220022458A KR 1020210107357 A KR1020210107357 A KR 1020210107357A KR 20210107357 A KR20210107357 A KR 20210107357A KR 20220022458 A KR20220022458 A KR 20220022458A
Authority
KR
South Korea
Prior art keywords
layer
substrate
hard mask
etching
oxygen
Prior art date
Application number
KR1020210107357A
Other languages
English (en)
Inventor
낸시 펑
가브리엘라 알바
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220022458A publication Critical patent/KR20220022458A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상의 재료 층을 패터닝하기 위한 방법은, 기판 상에 배치된 재료 층 상에 하드 마스크 층을 형성하는 단계, 및 에칭 가스 혼합물 및 산소 함유 가스를 동시에 공급함으로써 하드 마스크 층을 통해 재료 층을 에칭하는 단계를 포함한다. 에칭 가스 혼합물은 연속적으로 공급되고, 산소 함유 가스는 펄싱된다.

Description

산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들{METHODS FOR ETCHING STRUCTURES WITH OXYGEN PULSING}
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 애플리케이션들에서 구조들의 에칭 프로세스들에 관한 것이다. 특히, 본 개시내용의 실시예들은, 재료 층들을 에칭하기 위해 사용되는 마스크 층들을 보호하면서 재료 층들을 에칭하기 위한 방법들을 제공한다.
[0002] 집적 회로 제작 시, 게이트 전극들, 인터커넥트 라인들 및 콘택 플러그들과 같은 구조들을 형성하기 위해 전도성 재료들 및 이러한 전도성 재료들의 실리사이드가 사용된다. 적층된 금속 층에 형성되는 인터커넥트 라인들의 전도율을 유지하면서 반도체 기판들 상의 디바이스들의 표면 밀도들이 증가해왔기 때문에, 인터커넥트 라인들의 최소 평면 내 치수(in-plane dimension)(임계 치수(CD; critical dimension)들로서 또한 알려져 있음)가 적층된 금속 층의 두께보다 더 빠르게 스케일 다운되어서, 고 종횡비 인터커넥트 라인들을 초래해왔다.
[0003] 그러한 고 종횡비 인터커넥트 라인들을 제작하기 위한 하나의 기법은, 하드 마스크를 사용하여, 적층된 금속 층을 건식 에칭하는 것이다. 플라즈마는 적층된 금속 층의 노출된 표면을 향해 가속되는 활동적인 이온들의 고도의 이방성 원인으로 인해 이방성 에칭을 제공한다. 그러나, 반응성 에칭 가스들에 대한 오랜 노출에 기인하여 하드 마스크의 최상부 부분이 침식될 수 있다. 따라서, 통상적으로, 마스크 층의 상부 부분은, 반응성 에칭 가스들에 대한 노출에 기인한 침식으로부터 마스크 층의 상부 부분을 보호하기 위한 패시베이션 층에 의해 커버되거나, 또는 산화된다. 그러나, 통상적으로, 하드 마스크의 상부 부분을 산화시키는 것은 하부의 적층된 금속 층을 에칭할 때 문제를 유발할 수 있는데, 그 이유는 하드 마스크를 산화시키기 위한 산소 함유 가스의 사용이 적층된 금속 층의 일부 금속 재료의 에칭 레이트를 적층된 금속 층의 다른 일부 금속 재료에 비해 변경할 수 있기 때문이다. 이러한 에칭 레이트 차이는 적층된 금속 층의 에칭 시 변형된 프로파일들, 높이 손실 또는 다른 결함들로 이어져서, 디바이스 구조들에서의 적층된 금속 층으로의 피처(feature) 전사 및 CD(critical dimension) 제어에 상당한 영향을 미칠 수 있다. 그 결과, 원하지 않는 구조 프로파일들 및 부정확한 결과적 치수들은 디바이스 성능의 조기 저하(early failure)를 초래한다.
[0004] 그러므로, 적층된 금속 층의 에칭 프로파일에 영향을 주지 않고 하드 마스크가 보호되는 에칭 프로세스를 수행하기 위한 방법이 필요하다.
[0005] 본 개시내용의 실시예들은 재료 층을 패터닝하기 위한 방법을 제공한다. 방법은, 기판 상에 배치된 재료 층 상에 하드 마스크 층을 형성하는 단계, 및 에칭 가스 혼합물 및 산소 함유 가스를 동시에 공급함으로써 하드 마스크 층을 통해 재료 층을 에칭하는 단계를 포함한다. 에칭 가스 혼합물은 연속적으로 공급되고, 산소 함유 가스는 펄싱된다(pulsed).
[0006] 본 개시내용의 실시예들은 또한, 프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법을 제공한다. 방법은, 프로세싱 챔버에서 하드 마스크 층이 상부에 형성되어 있는 재료 층에 에칭 가스 혼합물을 공급하는 단계, 및 프로세싱 챔버에서 재료 층에 산소 함유 가스를 동시에 공급하는 단계를 포함한다. 에칭 가스 혼합물은 연속적으로 공급되고, 산소 함유 가스는 펄싱된다.
[0007] 본 개시내용의 실시예들은 또한, 프로세싱 시스템을 제공한다. 프로세싱 시스템은 프로세싱 챔버, 및 프로세싱 시스템에서 프로세스가 수행되게 하도록 구성된 제어기를 포함하고, 프로세스는, 프로세싱 챔버에서 하드 마스크 층이 상부에 형성되어 있는 재료 층에 에칭 가스 혼합물을 공급하는 단계, 및 프로세싱 챔버에서 재료 층에 산소 함유 가스를 동시에 공급하는 단계를 포함하고, 에칭 가스 혼합물은 연속적으로 공급되고, 산소 함유 가스는 펄싱된다.
[0008] 본 개시내용의 위에서 언급된 특징들이 얻어지며 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더욱 상세한 설명이 그 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들은 첨부된 도면들에 예시된다.
[0009] 도 1은 본 개시내용의 하나 이상의 실시예들에 따른, 패터닝 프로세스를 수행하도록 구성된 프로세싱 챔버의 개략적인 단면도이다.
[0010] 도 2는 본 개시내용의 하나 이상의 실시예들에 따른, 기판 상의 재료 층을 패터닝하기 위한 방법의 흐름도이다.
[0011] 도 3a-도 3c는 도 2의 패터닝 프로세스 동안 구조의 단면도들을 예시한다.
[0012] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0013] 그러나, 첨부된 도면들이 본 개시내용의 단지 예시적인 실시예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0014] 적층된 금속 층에서 원하는 작은 치수들을 갖는 피처들을 패터닝하기 위한 방법들이 제공된다. 방법은, 적층된 금속 층을 에칭하기 위한 에칭 가스 혼합물을 연속적으로 공급하면서 산소 함유 가스를 펄싱하는 것을 활용한다. 그렇게 함으로써, 적층된 금속 층 상에 형성되고 에칭에 사용되는 하드 마스크가 부분적으로 재성장되며 침식으로부터 보호되는 한편, 산소 함유 가스 ―이러한 산소 함유 가스는 적층된 금속 층의 일부 재료에 대한 에칭 레이트를 변경할 것임― 는 적층된 금속 층에 도달하지 않는다. 따라서, 적층된 금속 층은 적절한 임계 치수들을 갖는 균일한 프로파일로 주로 에칭될 수 있다.
[0015] 도 1은 패터닝 프로세스를 수행하기에 적절한 예시적인 플라즈마 프로세싱 챔버(100)의 개략적인 단면도이다. 본 개시내용으로부터 이익을 얻도록 구성될 수 있는 플라즈마 프로세싱 챔버(100)의 일 예는 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 CENTRIS® Sym3™ 에칭 프로세싱 챔버이다. 다른 제조업체들로부터의 프로세스 챔버들을 포함하는 다른 프로세스 챔버들이 본 개시내용의 실시예들을 실시하도록 구성될 수 있다는 것이 고려된다.
[0016] 플라즈마 프로세싱 챔버(100)는 챔버 볼륨(104)이 내부에 정의되어 있는 챔버 바디(102)를 포함한다. 챔버 바디(102)는 접지(110)에 커플링된 측벽들(106) 및 최하부(108)를 갖는다. 측벽들(106)은, 측벽들(106)을 보호하고 플라즈마 프로세싱 챔버(100)의 유지보수 사이클들 사이의 시간을 연장하기 위한 라이너(112)를 갖는다. 플라즈마 프로세싱 챔버(100)의 챔버 바디(102) 및 관련 컴포넌트들의 치수들은 제한되지 않으며, 일반적으로, 내부에서 프로세싱될 기판(W)의 사이즈보다 비례해서 더 크다. 기판 사이즈들의 예들은 특히 200 mm 직경, 250 mm 직경, 300 mm 직경 및 450 mm 직경을 포함한다.
[0017] 챔버 바디(102)는 챔버 볼륨(104)을 둘러싸도록 챔버 덮개 조립체(114)를 지지한다. 챔버 바디(102)는 알루미늄 또는 다른 적절한 재료들로 제작될 수 있다. 기판 액세스 포트(116)가 챔버 바디(102)의 측벽(106)을 관통하여 형성되어서, 플라즈마 프로세싱 챔버(100) 안팎으로 기판(W)의 이송을 가능하게 한다. 기판 액세스 포트(116)는 기판 프로세싱 시스템(미도시)의 이송 챔버 및/또는 다른 챔버들에 커플링될 수 있다.
[0018] 펌핑 포트(118)가 챔버 바디(102)의 측벽(106)을 관통하여 형성되고, 챔버 볼륨(104)에 연결된다. 챔버 볼륨(104)을 진공배기하고 챔버 볼륨(104) 내의 압력을 제어하기 위해 펌핑 디바이스(미도시)가 펌핑 포트(118)를 통해 이러한 챔버 볼륨(104)에 커플링된다. 펌핑 디바이스는 하나 이상의 펌프들 및 스로틀 밸브들을 포함할 수 있다.
[0019] 가스 패널(120)이 챔버 볼륨(104) 내로 프로세스 가스들을 공급하기 위해 가스 라인(122)에 의해 챔버 바디(102)에 커플링된다. 가스 패널(120)은 하나 이상의 프로세스 가스 소스들(124, 126, 128, 130)을 포함할 수 있고, 추가적으로, 원하는 경우, 불활성 가스들, 비반응성 가스들 및 반응성 가스들을 포함할 수 있다. 가스 패널(120)에 의해 제공될 수 있는 프로세스 가스들의 예들은 메탄(CH4)을 포함하는 하이드로카본 함유 가스, 설퍼 헥사플루오라이드(SF6), 실리콘 클로라이드(SiCl4), 카본 테트라플루오라이드(CF4), 하이드로겐 브로마이드(HBr), 하이드로카본 함유 가스, 아르곤 가스(Ar), 염소(Cl2), 질소(N2), 헬륨(He) 및 산소 가스(O2)를 포함(그러나, 이에 제한되지 않음)한다. 추가적으로, 프로세스 가스들은 특히 질소, 염소, 불소, 산소 및 수소 함유 가스들, 이를테면, BCl3, C2F4, C4F8, C4F6, CHF3, CH2F2, CH3F, NF3, NH3, CO2, SO2, CO, N2, NO2, N2O 및 H2를 포함할 수 있다.
[0020] 밸브들(132)은 가스 패널(120)로부터의 프로세스 가스 소스들(124, 126, 128, 130)로부터의 프로세스 가스들의 유동을 제어하며, 제어기(134)에 의해 관리된다. 가스 패널(120)로부터 챔버 바디(102)로 공급되는 가스들의 유동은 가스들의 조합들을 포함할 수 있다.
[0021] 챔버 덮개 조립체(114)는 노즐(136)을 포함할 수 있다. 노즐(136)은 가스 패널(120)의 프로세스 가스 소스들(124, 126, 128, 130)로부터의 프로세스 가스들을 챔버 볼륨(104) 내로 유입시키기 위한 하나 이상의 포트들을 갖는다. 프로세스 가스들이 플라즈마 프로세싱 챔버(100) 내로 유입된 후에, 가스들이 에너자이징되어 플라즈마를 형성한다. 하나 이상의 인덕터 코일들과 같은 안테나(138)가 플라즈마 프로세싱 챔버(100)에 인접하게 제공될 수 있다. 안테나 전력 공급부(140)가 정합 회로(142)를 통해 안테나(138)에 전력을 공급하여, RF 에너지와 같은 에너지가 프로세스 가스에 유도 결합되어서, 플라즈마 프로세싱 챔버(100)의 챔버 볼륨(104)에서 프로세스 가스로부터 형성된 플라즈마가 유지될 수 있다. 안테나 전력 공급부(140)에 추가하여 또는 대안적으로, RF 전력을 프로세스 가스들에 용량 결합하여 챔버 볼륨(104) 내의 플라즈마를 유지하기 위해, 기판(W) 위의 그리고/또는 기판(W) 아래의 프로세스 전극들이 사용될 수 있다. 안테나 전력 공급부(140)의 동작은 플라즈마 프로세싱 챔버(100)에 있는 다른 컴포넌트들의 동작을 또한 제어하는 제어기(134)와 같은 제어기에 의해 제어될 수 있다.
[0022] 기판 지지 페데스탈(144)이 프로세싱 동안 기판(W)을 지지하도록 챔버 볼륨(104)에 배치된다. 기판 지지 페데스탈(144)은 프로세싱 동안 기판(W)을 홀딩하기 위한 정전 척(ESC; electrostatic chuck)(146)을 포함할 수 있다. ESC(146)는 정전기 인력을 사용하여 기판(W)을 기판 지지 페데스탈(144)에 홀딩한다. ESC(146)는 정합 회로(150)와 통합된 RF 전력 공급부(148)에 의해 전력을 공급받는다. ESC(146)는 유전체 바디 내에 내장된(embedded) 전극(152)을 포함한다. 전극(152)은 RF 전력 공급부(148)에 커플링되며, 챔버 볼륨(104)에서 프로세스 가스들에 의해 형성된 플라즈마 이온들을 ESC(146) 및 이러한 ESC(146) 상에 포지셔닝된 기판(W)으로 끌어당기는 바이어스를 제공한다. RF 전력 공급부(148)는 기판(W)의 프로세싱 동안 온(on) 및 오프(off)를 순환하거나 또는 펄싱할 수 있다. ESC(146)는, ESC(146)의 유지보수 수명 사이클을 늘이기 위해 ESC(146)의 측벽을 플라즈마에 대해 더 적은 인력이 있게 만들 목적으로 아이솔레이터(154)를 갖는다. 추가적으로, 기판 지지 페데스탈(144)은, 플라즈마 가스들로부터 기판 지지 페데스탈(144)의 측벽들을 보호하기 위해 그리고 플라즈마 프로세싱 챔버(100)의 유지보수 사이의 시간을 연장하기 위해 캐소드 라이너(156)를 가질 수 있다.
[0023] 더욱이, 전극(152)은 전력원(158)에 커플링된다. 전력원(158)은 약 200 볼트 내지 약 2000 볼트의 척킹 전압을 전극(152)에 제공한다. 전력원(158)은 또한, 기판(W)을 척킹 및 디척킹하기 위해 전극(152)으로 DC 전류를 지향시킴으로써 전극(152)의 동작을 제어하기 위한 시스템 제어기를 포함할 수 있다.
[0024] ESC(146)는, 기판을 가열하기 위해 이러한 ESC(146)에 배치되고 전력원(미도시)에 연결된 히터들을 포함할 수 있는 한편, ESC(146)를 지지하는 냉각 베이스(160)는, ESC(146) 및 이러한 ESC(146) 상에 배치된 기판(W)의 온도를 유지하기 위해 열 전달 유체를 순환시키기 위한 도관들을 포함할 수 있다. ESC(146)는 기판(W) 상에 제작되고 있는 디바이스의 열 버짓(thermal budget)에 의해 요구되는 온도 범위에서 수행하도록 구성된다. 예컨대, 특정 실시예들의 경우, ESC(146)는 약 25 ℃ 내지 약 500 ℃의 온도에서 기판(W)을 유지하도록 구성될 수 있다.
[0025] 냉각 베이스(160)는 기판(W)의 온도를 제어하는 것을 보조하기 위해 제공된다. 프로세스 드리프트 및 시간을 완화시키기 위해, 기판(W)의 온도는 기판(W)이 세정 챔버에 있는 시간 내내 냉각 베이스(160)에 의해 실질적으로 일정하게 유지될 수 있다. 일 실시예에서, 기판(W)의 온도는 약 30 ℃ 내지 120 ℃에서 후속 세정 프로세스들 내내 유지된다.
[0026] 커버 링(162)이 ESC(146) 상에 그리고 기판 지지 페데스탈(144)의 주변부를 따라 배치된다. 커버 링(162)은, 플라즈마 프로세싱 챔버(100) 내부의 플라즈마 환경으로부터 기판 지지 페데스탈(144)의 최상부 표면을 차폐하면서, 기판(W)의 노출된 최상부 표면의 원하는 부분으로 에칭 가스들을 국한시키도록 구성된다. 리프트 핀들(미도시)은, 기판 지지 페데스탈(144) 위로 기판(W)을 들어올려, 이송 로봇(미도시) 또는 다른 적절한 이송 메커니즘에 의한 기판(W)으로의 액세스를 가능하게 하기 위해, 기판 지지 페데스탈(144)을 통해 선택적으로 이동된다.
[0027] 제어기(134)는, 프로세스 시퀀스를 제어하여서, 가스 패널(120)로부터 플라즈마 프로세싱 챔버(100) 내로의 가스 유동들 및 다른 프로세스 파라미터들을 조절하기 위해 활용될 수 있다. 소프트웨어 루틴들은, CPU에 의해 실행될 때, 프로세스들이 본 개시내용에 따라 수행되도록 플라즈마 프로세싱 챔버(100)를 제어하는 특수 목적 컴퓨터(제어기)로 CPU를 변환한다. 소프트웨어 루틴들은 또한, 플라즈마 프로세싱 챔버(100)와 콜로케이팅되는(collocated) 제2 제어기(미도시)에 의해 저장 및/또는 실행될 수 있다.
[0028] 도 2는 기판 상에 배치된 재료 층을 패터닝하기 위한 방법(200)의 흐름도이다. 도 3a-도 3c는 방법(200)의 다양한 스테이지들에 대응하는, 기판(302) 상에 형성되는 구조(300)의 일부분의 단면도들이다. 방법(200)은, 재료 층에서 예컨대 약 5:1 또는 약 10:1을 초과하는 고 종횡비 피처들을 에칭하기 위해 활용될 수 있다. 방법(200)이 계단형 구조들을 갖는 전도성 재료 층을 에칭하는 것과 관련하여 아래에 설명되지만, 방법(200)은 또한, 다른 타입들의 구조들을 제조하기 위해 사용될 수 있다.
[0029] 기판(302)은 필요한 대로 실리콘계 재료 또는 임의의 적절한 절연 재료들 또는 전도성 재료들일 수 있다. 기판(302)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 옥사이드, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 그리고 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리 또는 사파이어와 같은 재료를 포함할 수 있다. 기판(302)은 다양한 치수들, 이를테면, 200 mm, 300 mm, 450 mm 또는 다른 직경의 웨이퍼들 뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에서 설명되는 구현들 및 예들은 200 mm 직경, 300 mm 직경 또는 450 mm 직경 기판으로 기판들에 대해 수행된다. SOI 구조가 기판(302)에 활용되는 구현에서, 기판(302)은 실리콘 결정질 기판 상에 배치된 매립된(buried) 유전체 층을 포함할 수 있다. 본원에서 묘사된 예에서, 기판(302)은 결정질 실리콘 기판이다.
[0030] 구조(300)는, 전도성 재료로 형성되고 게이트 전극들, 인터커넥트 라인들 및 콘택 플러그들과 같은 집적 회로의 일부인 것으로 활용되는 다중-재료 층(304)을 포함할 수 있다. 일부 실시예들에서, 다중-재료 층(304)은 도 3a에 도시된 바와 같이 기판(302) 상에 형성된 다수의 적층된 층들을 포함한다. 다중-재료 층(304)은, 기판(302) 위에 교대로 형성된 제1 층들(306) 및 제2 층들(308)을 포함할 수 있다. 도 3a가 기판(302) 상에 교대로 형성된 제1 층들(306) 및 제2 층들(308)의 6 개의 반복 층들을 도시하지만, 필요한 대로 제1 층들(306) 및 제2 층들(308)의 임의의 원하는 수의 반복 쌍들이 활용될 수 있다.
[0031] 일부 예들에서, 다중-재료 층(304)은 텅스텐(W), 몰리브데넘(Mo), 탄탈럼(Ta), 티타늄(Ti), 하프늄(Hf), 바나듐(V), 크로뮴(Cr), 망간(Mn), 루테늄(Ru), 이들의 합금들, 이들의 실리사이드 화합물들, 이들의 나이트라이드 화합물들, 또는 이들의 조합들과 같은 내화성 금속들로 형성될 수 있다. 다른 예들에서, 제1 층들(306) 및 제2 층들(308)은 구리(Cu), 니켈(Ni), 코발트(Co), 철(Fe), 알루미늄(Al), 팔라듐(Pd), 금(Au), 은(Au), 백금(Pt), 이들의 합금들, 이들의 나이트라이드 화합물, 또는 이들의 조합들과 같은 다른 금속들일 수 있다. 일 실시예에서, 제1 층들(306)은 몰리브데넘(Mo)으로 형성되고, 제2 층들(308)은 텅스텐(W)으로 형성된다. 다중-재료 층(304)은 약 200 nm 내지 약 4500 nm의 총 두께를 가질 수 있다. 제1 층들(306)은 각각 약 10 nm 내지 약 30 nm의 두께를 가질 수 있다. 제2 층들(308)은 각각 약 10 nm 내지 약 30 nm의 두께를 가질 수 있다.
[0032] 방법(200)은, 블록(202)에서, 에칭 프로세스 전에 프로세싱 챔버에서 다중-재료 층(304) 상에 에칭 레지스트 하드 마스크(이하, "하드 마스크"로 지칭됨)(310)를 형성함으로써 시작한다. 도 3b에 도시된 바와 같이, 구조(300)는 다중-재료 층(304) 상에 원하는 패턴으로 형성된 하드 마스크 층(310)을 포함한다. 하드 마스크 층(310) 상의 패턴은 약 1000 nm 내지 약 1300 nm의 치수를 갖는 개구들(314)을 가져서, (예컨대, 약 5:1을 초과하는) 고 종횡비 그리고 인접 개구들(314) 사이의 약 50 nm 내지 약 180 nm의 피치를 갖는 피처들을 형성할 수 있다. 구조(300)는 다중-재료 층(304)과 하드 마스크 층(310) 사이에 형성된 접착 층(312)을 포함한다. 접착 층(312)은 다중-재료 층(304)과 하드 마스크 층(310) 사이의 장벽 층으로서 기능할 수 있다. 접착 층(312)은 또한, 후속 에칭 단계 또는 CMP(chemical mechanical polishing) 단계를 위한 정지 층으로서 기능할 수 있다.
[0033] 하드 마스크 층(310)은 테트라-에틸-오르토실리케이트(TEOS) 또는 실리콘 옥시나이트라이드(SiON)로 형성될 수 있고, 약 500 nm 내지 약 2 ㎛의 두께를 가질 수 있다. 접착 층(312)은 실리콘 나이트라이드(Si3N4)와 같은 임의의 유전체 재료로 형성될 수 있고, 약 100 nm 미만의 두께를 가질 수 있다. 하드 마스크 층(310) 및 접착 층(312)은, CVD(chemical vapor deposition) 프로세스, PVD(physical vapor deposition) 프로세스, ALD(atomic layer deposition) 프로세스 및 스핀-온 프로세스와 같은 임의의 통상적인 증착 프로세스에 의해 증착될 수 있고, 후속하여, 하드 마스크 층(310)을 커버하는 패터닝된 포토레지스트 층(미도시)을 사용하는 통상적인 포토리소그래피 프로세스에 의해 패터닝될 수 있다.
[0034] 블록(204)에서, 도 1에 묘사된 플라즈마 프로세싱 챔버(100)와 같은 플라즈마 프로세싱 챔버에서 플라즈마 여기된 종(species) 또는 라디칼들을 사용하여 하드 마스크 층(310)을 통해 다중-재료 층(304)을 패터닝하기 위해 에칭 프로세스가 수행된다. 블록(204)에서의 에칭 프로세스는, 하드 마스크 층(310) 상에 충분한 보호를 제공하면서 다중-재료 층(304)이 주로 에칭될 수 있게 한다. 에칭 프로세스는 다중-재료 층(304)이 미리 결정된 깊이로 에칭될 때까지 계속될 수 있다. 일부 실시예들에서, 다중-재료 층(304)은 약 200 nm 내지 약 4500 nm의 깊이로 에칭된다.
[0035] 일 예에서, 에칭 프로세스는, 플라즈마 프로세싱 챔버에 에칭 가스 혼합물 및 산소 함유 가스를 동시에 공급함으로써 수행된다. 에칭 가스 혼합물은 불활성 가스, 이를테면, 헬륨(He) 또는 아르곤(Ar), 및 할로겐 함유 가스를 포함한다. 할로겐 함유 가스의 적절한 예들은 실리콘 함유 화합물들, 이를테면, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, SiBr4, SiHBr3, SiH2Br2, SiH3Br, SiH4, Si2H6, Si3H8, Si4H10, SiHI2, SiH2I, C4H12Si 및 Si(C2H3O2)4를 포함한다. 할로겐 함유 가스의 적절한 예들은 또한, 염소 또는 불소 함유 가스, 이를테면, Cl2, BCl3, NF3, HF, CF4 및 CHF3를 포함한다. 산소 함유 가스의 적절한 예들은 O2, NO2, N2O, O3, SO2, COS, CO 및 CO2를 포함한다. 일 특정 예에서, 에칭 가스 혼합물에 공급된 할로겐 함유 가스는 SiCl4, Cl2 및 BCl3를 포함하고, 산소 함유 가스는 O2를 포함한다. 하드 마스크 층(310)의 최상부 부분들(316)이 재성장되어 산소 함유 가스에 의해 실리콘 옥사이드를 형성하기 때문에, 최상부 부분들(316)은 하드 마스크 층(310)의 나머지(318)보다 더 느린 레이트로, 에칭 가스 혼합물에서 생성된 에칭 종 또는 라디칼들과 반응된다. 따라서, 하드 마스크 층(310)이 에칭 종 또는 라디칼들로부터 충분히 보호되어서, 하드 마스크 층(310)의 나머지(318)의 폭(322)과 실질적으로 동일한, 하드 마스크 층(310)의 최상부 부분(316)의 폭(320)이 남겨질 수 있다. 그러나, 산소 함유 가스가 또한, 제2 층들(308)에 비해 제1 층들(306)의 에칭 레이트를 변경하여서, 다중-재료 층(304)의 에칭 프로파일에 영향을 미칠 수 있다. 따라서, 본원에서 설명되는 실시예들에서, 산소 함유 가스가 펄싱되어 공급되어서, 산소 함유 가스는, 하드 마스크 층(310)의 최상부 부분들(316)을 재성장시켜 실리콘 옥사이드를 형성하는 데 소비되고, 다중-재료 층(304)의 노출된 표면(324)에는 도달하지 않는다. 에칭 가스 혼합물이 연속적으로 공급되고 산소 함유 가스가 펄싱되면, 다중-재료 층(304)의 에칭 프로파일에 영향을 미치지 않고 또는 하드 마스크 층(310)을 실질적으로 손상시키지 않고, 다중-재료 층(304)이 주로 에칭될 수 있다. 그 결과, 적절한 임계 치수들을 가지는 균일한 프로파일을 갖는 다중-재료 층(304)의 에칭이 달성될 수 있다.
[0036] 재성장된 최상부 부분들(316)의 두께는 도 3c에 도시된 바와 같이 하드 마스크 층(310)의 두께를 따라 감소될 수 있다. 일부 예들에서, 하드 마스크 층(310)의 중간 부분에서 또는 이러한 하드 마스크 층(310)의 중간 부분 주위에서 재성장된 최상부 부분들(316)의 두께는 0으로 감소된다. 개구들(314)의 깊이(즉, 하드 마스크 층(310)의 두께)에 대한 재성장된 최상부 부분들(316)의 높이의 비(ratio)는 약 50 nm 미만일 수 있다.
[0037] 에칭 프로세스 동안, 여러 프로세스 파라미터들이 또한 조절될 수 있다. 예시적인 일 실시예에서, 플라즈마 프로세싱 챔버(100) 내의 프로세스 압력은 약 10 mTorr 내지 약 5000 mTorr, 이를테면, 약 20 mTorr 내지 약 500 mTorr에서 조절된다. 산소 함유 가스는 약 1 초 내지 약 10 초, 예컨대, 약 5 초의 펄스 지속기간으로 공급된다. 듀티 사이클(즉, 산소 함유 가스가 공급되는 "온" 기간 대 산소 함유 가스가 공급되지 않는 "오프" 기간의 비)이 약 1:3 내지 약 3:1, 예컨대, 약 1:1일 수 있다. 총 에칭 시간은 다중-재료 층(304)의 총 두께에 따라 약 6 초 내지 약 1800 초, 예컨대, 약 170 초일 수 있다.
[0038] 에칭 프로세스를 수행하는 동안, RF 소스 및/또는 바이어스 전력이 활용될 수 있다. 에칭 가스 혼합물을 공급할 때 인가된 RF 바이어스 전력은, 다중-재료 층(304)을 주로 에칭하기 위해, 하드 마스크 층(310)으로 인해 노출된 다중-재료 층(304)의 표면(326)까지 아래로 이동하기 위하여 원하는 방향성을 갖는 반응성 에천트들을 형성하는 것을 보조한다. 대조적으로, 산소 함유 가스를 공급하는 동안 RF 바이어스 전력의 제거는, 하드 마스크 층(310)의 최상부 부분들(316)을 재성장시켜 실리콘 옥사이드를 형성하기 위하여, 하드 마스크 층(310)의 최상부 부분들(316)에 가깝게, 플라즈마 내의 반응성 종이 하드 마스크 층(310)에 걸쳐 더욱 균일하게 분배되도록 보조할 수 있다. 예컨대, 플라즈마 프로세싱 챔버(100) 내부의 플라즈마를 유지하기 위해 약 2000 와트 미만의 RF 소스 전력이 인가될 수 있다. 산소 함유 가스가 공급될 때 그리고 산소 함유 가스가 공급되지 않을 때 약 1000 와트 내지 약 6000 와트의 RF 바이어스 전력이 인가될 수 있다.
[0039] 에칭 가스 혼합물은 약 5 sccm 내지 약 900 sccm의 유량으로 챔버 내로 유동될 수 있다. 일 예에서, SiCl4, Cl2 및 BCl3 가스들은, 각각, 약 30 sccm 내지 약 140 sccm, 예컨대, 약 100 sccm, 약 100 sccm 내지 약 1000 sccm, 예컨대, 약 490 sccm, 그리고 약 300 sccm 미만, 예컨대, 약 300 sccm의 유량들로 공급될 수 있다. 산소(O2) 가스는 약 5 sccm 내지 약 200 sccm, 예컨대, 약 10 sccm의 유량으로 공급될 수 있다. 산소 함유 가스의 유량 대 에칭 가스 혼합물의 유량의 비는 약 1:20 내지 약 1:6, 예컨대, 약 1:10일 수 있다.
[0040] 기판 온도는 약 80 ℃ 내지 약 300 ℃, 예컨대, 약 190 ℃의 온도에서 유지된다.
[0041] 본 개시내용의 이익들은, 반도체 칩들의 3D(three dimensional) 적층을 위한 정확하고 균일한 프로파일들을 갖는 피처들을 패터닝할 때 개선을 포함한다. 본원에서 개시된 실시예들에 따른 방법들은, 적층된 금속 층을 에칭하기 위한 에칭 가스 혼합물을 연속적으로 공급하면서 산소 함유 가스를 펄싱하는 것을 활용한다. 그렇게 함으로써, 적층된 금속 층 상에 형성되고 에칭에 사용되는 하드 마스크가 부분적으로 재성장되어 실리콘 옥사이드를 형성하며 침식으로부터 보호되는 한편, 산소 함유 가스 ―이러한 산소 함유 가스는 적층된 금속 층의 일부 재료에 대한 에칭 레이트를 변경할 것임― 는 적층된 금속 층에 도달하지 않는다. 따라서, 적층된 금속 층은 적절한 임계 치수들을 갖는 균일한 프로파일로 주로 에칭될 수 있다.
[0042] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 상의 재료 층을 패터닝하기 위한 방법으로서,
    기판 상에 배치된 재료 층 상에 하드 마스크 층을 형성하는 단계; 및
    에칭 가스 혼합물 및 산소 함유 가스를 동시에 공급함으로써 상기 하드 마스크 층을 통해 상기 재료 층을 에칭하는 단계
    를 포함하고,
    상기 에칭 가스 혼합물은 연속적으로 공급되고, 상기 산소 함유 가스는 펄싱되는(pulsed),
    기판 상의 재료 층을 패터닝하기 위한 방법.
  2. 제1 항에 있어서,
    상기 산소 함유 가스는 1:3 내지 3:1의 듀티 사이클 그리고 1 초 내지 10 초의 펄스 지속기간으로 펄싱되는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  3. 제1 항에 있어서,
    상기 재료 층은 상기 기판 상에 교대로 형성된 제1 층들 및 제2 층들을 포함하는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  4. 제3 항에 있어서,
    상기 제1 층들은 몰리브데넘(Mo)을 포함하고, 상기 제2 층들은 텅스텐(W)을 포함하는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  5. 제3 항에 있어서,
    상기 재료 층은 200 nm 내지 4500 nm의 두께를 가지며, 그리고
    상기 제1 층들 및 상기 제2 층들은 각각 10 nm 내지 30 nm의 두께를 갖는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  6. 제1 항에 있어서,
    상기 하드 마스크 층은 테트라-에틸-오르토실리케이트(TEOS)를 포함하는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  7. 제1 항에 있어서,
    상기 하드 마스크 층은 500 ㎚ 내지 2 ㎛의 두께를 가지며, 인접 개구들 사이의 50 nm 내지 180 nm의 피치 그리고 1000 nm 내지 1300 nm의 치수를 갖는 개구들을 가지는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  8. 제1 항에 있어서,
    상기 재료 층과 상기 하드 마스크 층 사이에 실리콘 나이트라이드(Si3N4)를 포함하는 접착 층을 형성하는 단계를 더 포함하는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  9. 제1 항에 있어서,
    상기 에칭 가스 혼합물은 SiCl4를 포함하며, 그리고
    산소 함유 가스 혼합물은 O2를 포함하는,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  10. 제9 항에 있어서,
    상기 산소 함유 가스의 유량 대 상기 에칭 가스 혼합물의 유량의 비(ratio)는 1:20 내지 1:6인,
    기판 상의 재료 층을 패터닝하기 위한 방법.
  11. 프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법으로서,
    프로세싱 챔버에서 하드 마스크 층이 상부에 형성되어 있는 재료 층에 에칭 가스 혼합물을 공급하는 단계; 및
    상기 프로세싱 챔버에서 상기 재료 층에 산소 함유 가스를 동시에 공급하는 단계
    를 포함하고,
    상기 에칭 가스 혼합물은 연속적으로 공급되고, 상기 산소 함유 가스는 펄싱되는,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  12. 제11 항에 있어서,
    상기 산소 함유 가스는 1:3 내지 3:1의 듀티 사이클 그리고 1 초 내지 10 초의 펄스 지속기간으로 펄싱되는,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  13. 제11 항에 있어서,
    상기 재료 층은 상기 기판 상에 교대로 형성된, 몰리브데넘(Mo)을 포함하는 제1 층들 및 텅스텐(W)을 포함하는 제2 층들을 포함하는,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  14. 제11 항에 있어서,
    상기 하드 마스크 층은 테트라-에틸-오르토실리케이트(TEOS)를 포함하는,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  15. 제11 항에 있어서,
    상기 에칭 가스 혼합물은 SiCl4를 포함하며, 그리고
    산소 함유 가스 혼합물은 O2를 포함하는,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  16. 제15 항에 있어서,
    상기 산소 함유 가스의 유량 대 상기 에칭 가스 혼합물의 유량의 비는 1:20 내지 1:6인,
    프로세싱 챔버에서 하드 마스크를 통해 기판 상의 재료 층을 에칭하기 위한 방법.
  17. 프로세싱 시스템으로서,
    프로세싱 챔버; 및
    상기 프로세싱 챔버에서 프로세스가 수행되게 하도록 구성된 제어기
    를 포함하고,
    상기 프로세스는,
    상기 프로세싱 챔버에서 하드 마스크 층이 상부에 형성되어 있는 재료 층에 에칭 가스 혼합물을 공급하는 단계; 및
    상기 프로세싱 챔버에서 상기 재료 층에 산소 함유 가스를 동시에 공급하는 단계
    를 포함하고,
    상기 에칭 가스 혼합물은 연속적으로 공급되고, 상기 산소 함유 가스는 펄싱되는,
    프로세싱 시스템.
  18. 제17 항에 있어서,
    상기 산소 함유 가스는 1:3 내지 3:1의 듀티 사이클 그리고 1 초 내지 10 초의 펄스 지속기간으로 펄싱되는,
    프로세싱 시스템.
  19. 제17 항에 있어서,
    상기 재료 층은 기판 상에 교대로 형성된, 몰리브데넘(Mo)을 포함하는 제1 층들 및 텅스텐(W)을 포함하는 제2 층들을 포함하며, 그리고
    상기 하드 마스크 층은 테트라-에틸-오르토실리케이트(TEOS)를 포함하는,
    프로세싱 시스템.
  20. 제17 항에 있어서,
    상기 에칭 가스 혼합물은 SiCl4를 포함하며, 그리고
    상기 산소 함유 가스의 유량 대 상기 에칭 가스 혼합물의 유량의 비는 1:20 내지 1:6인,
    프로세싱 시스템.
KR1020210107357A 2020-08-18 2021-08-13 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들 KR20220022458A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063067106P 2020-08-18 2020-08-18
US63/067,106 2020-08-18

Publications (1)

Publication Number Publication Date
KR20220022458A true KR20220022458A (ko) 2022-02-25

Family

ID=80269756

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210107357A KR20220022458A (ko) 2020-08-18 2021-08-13 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들

Country Status (4)

Country Link
US (2) US11527414B2 (ko)
KR (1) KR20220022458A (ko)
TW (1) TW202213459A (ko)
WO (1) WO2022039848A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230004014A (ko) * 2021-06-30 2023-01-06 삼성전자주식회사 반도체 소자 제조 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287975B1 (en) * 1998-01-20 2001-09-11 Tegal Corporation Method for using a hard mask for critical dimension growth containment
KR100790652B1 (ko) * 1998-12-28 2007-12-31 동경 엘렉트론 에이티 주식회사 플라즈마 처리 방법
US6355979B2 (en) 1999-05-25 2002-03-12 Stmicroelectronics, Inc. Hard mask for copper plasma etch
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7141505B2 (en) 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
DE10330795B4 (de) * 2003-07-08 2008-01-24 Qimonda Ag Kohlenstoff-Hartmaske mit einer Stickstoff-dotierten Kohlenstoffschicht als haftfähiger Schicht zur Haftung auf Metall oder metallhaltigen anorganischen Materialien und Verfahren zu deren Herstellung
WO2012109572A1 (en) * 2011-02-11 2012-08-16 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
JP6138653B2 (ja) * 2013-10-08 2017-05-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
CN106206447A (zh) 2015-05-05 2016-12-07 中芯国际集成电路制造(上海)有限公司 3d nand器件的形成方法
US10867795B2 (en) * 2017-05-18 2020-12-15 Applied Materials, Inc. Method of etching hardmasks containing high hardness materials
US10868033B2 (en) 2017-11-16 2020-12-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
JP7328344B2 (ja) 2019-04-30 2023-08-16 長江存儲科技有限責任公司 三次元メモリデバイス

Also Published As

Publication number Publication date
US20220059366A1 (en) 2022-02-24
WO2022039848A1 (en) 2022-02-24
US20230072732A1 (en) 2023-03-09
US11527414B2 (en) 2022-12-13
TW202213459A (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9287124B2 (en) Method of etching a boron doped carbon hardmask
TW201826386A (zh) 用於高深寬比結構之移除方法
EP1774542A2 (en) Method for bilayer resist plasma etch
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US20220005831A1 (en) Vertical transistor fabrication for memory applications
US9653320B2 (en) Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US11417537B2 (en) Methods of etching metal-containing layers
KR20210021600A (ko) 반도체 디바이스들을 위한 인터커넥트 구조를 제조하기 위한 방법들
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
TW202226378A (zh) 選擇性各向異性金屬蝕刻
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
TW202412087A (zh) 高選擇性氧化矽移除之方法
WO2022186941A1 (en) Selective barrier metal etching
TW201839905A (zh) 選擇性側壁間隔物