TW202226378A - 選擇性各向異性金屬蝕刻 - Google Patents

選擇性各向異性金屬蝕刻 Download PDF

Info

Publication number
TW202226378A
TW202226378A TW110129272A TW110129272A TW202226378A TW 202226378 A TW202226378 A TW 202226378A TW 110129272 A TW110129272 A TW 110129272A TW 110129272 A TW110129272 A TW 110129272A TW 202226378 A TW202226378 A TW 202226378A
Authority
TW
Taiwan
Prior art keywords
containing layer
metal
substrate
plasma
gas
Prior art date
Application number
TW110129272A
Other languages
English (en)
Inventor
喬納森 蕭
普瑞亞達爾西 潘達
南西 馮
董永昶
索馬葉 拉索利
吉尼 李
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202226378A publication Critical patent/TW202226378A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供圖案化基板之方法。方法包括以下步驟:藉由將含金屬層之表面暴露至含氯氣體前驅物與含氧氣體前驅物之電漿流出物來修飾形成於基板上方之含金屬層之表面以形成含金屬層之經修飾的表面,而基板安置於處理腔室之處理區域中。方法進一步包括步驟:導引惰性氣體前驅物之電漿流出物朝向含金屬層之經修飾的表面。藉由施加偏壓至固持基板之基板支座來導引惰性氣體前驅物之電漿流出物。方法進一步包括步驟:利用惰性氣體前驅物之電漿流出物各向異性地蝕刻含金屬層之經修飾的表面以形成於含金屬層具有第一側壁之第一凹部。

Description

選擇性各向異性金屬蝕刻
本揭示之實作大致上關於半導體裝置及半導體裝置製造。更具體地,本揭示之實作關於選擇性各向異性蝕刻於半導體裝置中使用之導電材料的方法。
半導體裝置之製造包括形成(如,圖案化)一或更多具有所欲尺寸及間距的材料。舉例而言,導電材料可經圖案化成導電線,諸如存取線(如,字線)、數字線(如,感測線、位元線)、導電接點,及導電跡線。其他特徵可經圖案化以形成,例如,記憶體單元之選擇裝置、記憶體存儲元件,及半導體裝置之其他組件。
由於半導體裝置之特徵尺寸持續縮減,越來越難形成具有所欲臨界尺寸之特徵的圖案。此外,由於半導體裝置之複雜度增加,包括待圖案化材料之堆疊結構可展現較大厚度(如,高度)。另外,由於圖案化動作之數目因半導體裝置之增加的複雜度而增加,諸如光阻劑材料及硬遮罩材料之遮罩材料之尺寸(如,高度)或深寬比(定義為結構之高度與寬度間之比例)可增加以促進半導體裝置之所欲數目特徵的圖案化。然而,由於遮罩材料之高度和/或深寬比增加,透過遮罩形成的材料可展現側壁粗糙度、線寬粗糙度(LWR),或彼等的組合之非所欲的增加。此外,用於導電材料之當前的蝕刻製程經常側向地蝕刻導電材料,其惡化側壁粗糙度及LWR。另外,當使用的導電材料(金屬)為晶粒生長金屬時,其於諸如釕之裝置之溫度及熱預算內生長晶粒,沿著金屬的弱晶界有額外的側向蝕刻傾向,造成側壁粗糙度及LWR。
因此,需要蝕刻導電材料之改進的方法。
本揭示之實作大致上關於半導體裝置及半導體裝置製造。更特別地,本揭示之實作關於選擇性各向異性蝕刻於半導體裝置中使用之導電材料的方法。
於一態樣中,提供圖案化基板之方法。方法包括步驟:藉由將含金屬層之表面暴露至含氯氣體前驅物及含氧氣體前驅物來修飾形成於基板上方之含金屬層之表面以形成含金屬層之經修飾的表面,而基板安置於處理腔室之處理區域中。方法進一步包括步驟:導引惰性氣體前驅物之電漿流出物朝向含金屬層之經修飾的表面,其中藉由施加偏壓至固持基板之基板支座來導引惰性氣體前驅物之電漿流出物。方法進一步包括步驟:利用惰性氣體前驅物之電漿流出物各向異性地蝕刻含金屬層之經修飾的表面以於含金屬層中形成具有第一側壁之第一凹部,其中惰性氣體前驅物之電漿流出物,相對於未經修飾的部分,選擇性地蝕刻含金屬層之經修飾的表面。
實作包括下列之一或更多者。惰性氣體前驅物為氬。含金屬層包括由下列組成之一或更多者:釕(Ru)、銥(Ir)、鉑(Pt),及銠。各向異性地蝕刻含金屬層之經修飾的表面形成包含字元線含金屬層之特徵。含氯氣體前驅物以自約10 sccm至約50 sccm之流動速率流入處理區域中以及含氧氣體前驅物以自約100 sccm至約150 sccm之流動速率流入處理區域中。於修飾含金屬層之表面及各向異性地蝕刻含金屬層之經修飾的表面時,處理區域內的壓力維持於或低於約20毫托。導引惰性氣體前驅物之電漿流出物朝向含金屬層之經修飾的表面之偏壓為或低於約150瓦。重複方法至少一個額外的循環。維持處理腔室靜電卡盤(ESC)之溫度於或低於約攝氏50度。執行修飾含金屬層之表面而不蝕刻含金屬層之表面。在修飾含金屬層之表面之前,佈植惰性氣體離子至含金屬層之表面中。將第一凹部暴露至包括鈍化氣體及蝕刻劑氣體之蝕刻劑氣體混合物以自含金屬層移除額外的金屬。方法進一步包括步驟:形成蝕刻劑氣體混合物之電漿;利用鈍化氣體之電漿流出物來鈍化第一凹部之第一側壁;以及利用蝕刻劑氣體之電漿流出物各向異性地蝕刻第一凹部,以利用與第一側壁對準之含金屬層中之第二側壁來加深第一凹部。鈍化氣體選自氮(N 2)、二氧化硫(SO 2),或彼等的組合。蝕刻劑氣體包含氧(O 2)及氯(Cl 2)。
於另一態樣中,提供圖案化基板之方法。方法包括步驟:將形成於基板上方之含金屬層之表面暴露至包括選自N 2及SO 2之鈍化氣體及包含O 2及Cl 2之蝕刻劑氣體的蝕刻劑氣體混合物,而基板安置於處理腔室之處理區域中。方法進一步包括步驟:利用蝕刻劑氣體混合物之電漿各向異性地蝕刻含金屬層。
實作可包括下列之一或更多者。方法進一步包括步驟:在暴露含金屬層之表面至蝕刻劑氣體混合物之前,藉由將含金屬層之表面暴露至含氯氣體前驅物及含氧氣體前驅物的電漿流出物來修飾含金屬層之表面以形成含金屬層之經修飾的表面。利用蝕刻劑氣體混合物之電漿各向異性地蝕刻含金屬層來移除含金屬層之經修飾的表面。
於又另一態樣中,提供圖案化基板之方法。方法包括步驟:將形成於基板上方之含釕層之表面暴露至蝕刻劑氣體混合物,而基板安置於處理腔室之處理區域中。蝕刻劑氣體混合物包括50-200 sccm之O 2;10-100 sccm之Cl 2;100-300 sccm之氬;以及5-100 sccm之N 2或10-30 sccm之SO 2。方法進一步包括步驟:利用蝕刻劑氣體混合物之電漿各向異性地蝕刻含釕層,包括將基板維持於自約攝氏20度至約攝氏40度之溫度以及將蝕刻劑氣體混合物之電漿維持於自約10毫托至約20毫托之壓力。
實作可包括下列一或更多者。各向異性地蝕刻含釕層來形成包含字元線含釕層之特徵。
於又另一態樣中,非暫態電腦可讀取媒體具有儲存於其上的指令,當由處理器執行彼等時使製程執行以上裝置和/或方法之操作。
以下揭示描述導電特徵之蝕刻。於以下敘述及圖1-7E中闡述一些特定細節以提供此揭示之各種實作的透徹理解。於以下揭示中不提及描述與蝕刻相關之已知結構及系統的其他細節,以避免不必要地模糊各種實作之敘述。此外,本文中描述之設備敘述為說明性的且不應被視為或解釋為限制本文描述之實作的範疇。
圖式中顯示之許多細節、操作、尺寸、角度及其他特徵謹說明特定實作。因此,其他實作可具有其他細節、組件、尺寸、角度及特徵而不背離本揭示之精神或範疇。此外,可實施此揭示之進一步實作而不需要以下描述之一些細節。
本揭示之實作關於用於包括導電特徵之半導體裝置中之導電材料之選擇性各向異性蝕刻的方法,及用於形成具降低的電阻和表面粗糙度之例如字元線堆疊之導電特徵的方法,以及用於形成具降低的電阻及字元線表面粗糙度之字元線堆疊的方法。儘管需要縮小節點,此揭示之一或更多實作有利地解決電阻率降低的問題。於一些實作中,藉由降低字元線金屬之表面粗糙度來降低字元線之電阻率。此揭示之一些實作有利地提供改進的粗糙度、受控制的各向異性蝕刻、對硬遮罩材料之改進的選擇性,及改進的晶圓對晶圓及晶圓內均勻性之一或多者。
現有習知的蝕刻製程典型地沿著晶粒邊界蝕刻金屬材料,其可導致粗糙側壁。現有之逐層蝕刻之原子層蝕刻製程經常缺少方向性。此缺少方向性可導致垂直側壁之側向蝕刻,其降低臨界尺寸並且劣化側壁粗糙度,造成沿著諸如字元線之長度之結構的缺陷。本文中描述的實作減少存在現有已知蝕刻技術中之側向蝕刻並因此提供具降低的電阻率之平滑側壁。
儘管於其中可實現本文中描述之實作的特定設備並不受到限制,特別有益於實現實作的是由加州聖塔克拉拉市之應用材料公司所販售之SYM3®蝕刻系統。此外,其他可用的蝕刻系統亦可受益於本文中描述的實作。
如本文中所使用的「基板」,意指材料之表面,或於製造製程期間於其上執行薄膜處理之表面或材料的一部分。舉例而言,取決於應用,於其上可執行處理之基板表面包括諸如下述之材料,矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的氧化矽、非晶矽、經摻雜的矽、經摻雜的非晶矽、聚矽、經摻雜的聚矽、鍺、砷化鎵、玻璃、藍寶石,及任何其他材料,諸如金屬、金屬氮化物、金屬合金,及其他導電材料。基板包括,但不限於,半導體晶圓。除了直接於基板自身之表面上的薄膜處理,於本揭示中,如以下更仔細揭示的亦可於形成於基板上之下層(under-layer)上執行揭示之任何薄膜處理步驟,以及如內文表明的,術語「基板表面」意圖包括此下層。因此,例如,當薄膜/層或部分的薄膜/層沉積於基板表面上時,新沉積之薄膜/層之暴露的表面成為基板表面。
基板可為矽晶圓,如200-mm晶圓、300-mm晶圓,或450-mm晶圓,包括具有一或多層諸如介電、導電,或半導電之材料沉積於其上的晶圓。圖案化的基板可具有諸如介層窗或接觸窗之「特徵」,其特徵可為窄和/或凹入開口、特徵內之縊縮,及高深寬比之一或多者。特徵可形成於以上描述之層的一或多者中。特徵之一個實例為於基板上之半導體基板或層中之孔或介層窗。另一實例為於基板或層中之溝槽。於一些實作中,特徵可具有下層,諸如阻障層或黏著層。下層之非限制性實例包括介電層及導電層,如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物,及金屬層。
於一些實作中,由執行揭示之實作所製造之基板的類型可取決於執行揭示之實施例之前之基板上之特徵的深寬比。深寬比為特徵之深度對特徵之臨界尺寸的比較(如,寬度/直徑)。於一些實作中,於基板上之特徵可具有至少約2:1、至少約3:1、至少約4:1、至少約6:1、至少約10:1,或更高之深寬比。特徵亦可具有靠近開口之尺寸,如介於約5 nm至500 nm間之線寬之開口直徑,例如介於約25 nm和約300 nm間。於針對DRAM應用之一個實例中,特徵具有具自約10 nm至約30 nm之線間距之自約10 nm至約40 nm之線寬(如,具20 nm間距之24 nm線寬;具30 nm間距之35 nm線寬;或具6 nm間距之12 nm線寬)。
此揭示之一或更多實作大致上提供結構,該等結構包括一或更多由薄膜耐火金屬(如,釕)形成之低電阻率特徵,如可實施於字元線結構和/或閘極堆疊中者。一些實作包括用於形成字元線堆疊之方法。作為實例,根據本揭示之實作所形成之字元線結構可為記憶體類型半導體裝置,諸如DRAM類型積體電路。
圖1為適用於圖案化材料層以及形成設置於電漿處理腔室100中之基板300上之材料層之電漿處理腔室100之一個實例的簡化剖視圖。電漿處理腔室100適用於執行如本文中所描述之蝕刻製程。可適應以受益於此揭示之電漿處理腔室100的一個實例為購自位於加州聖塔克拉拉市之應用材料公司之CENTRIS® SYM3®處理腔室。所考量的是,包括得自其他製造商之其他製程腔室可適應以實施此揭示之實施例。
電漿處理腔室100包括具有處理容積101定義於其中之腔室主體105。腔室主體105具有側壁112及底部118,彼等耦合至接地126。側壁112具有襯墊115以保護側壁112且延長電漿處理腔室100之維修循環間的時間。腔室主體105及電漿處理腔室100之相關組件的尺寸不受限並且可按比例大於待於其中處理之基板300的大小。工作件大小之實例尤其包括直徑200 mm、直徑250 mm、直徑300 mm及直徑450 mm。
腔室主體105支撐腔室蓋組件110以包圍處理容積101。可由鋁或其他適合的材料來製造腔室主體105。通過腔室主體105之側壁112形成基板存取出入口113,促進基板300移送進及出電漿處理腔室100。基板存取出入口113可耦合至移送腔室和/或基板處理系統之其他腔室(未顯示)。
泵送埠145定義於腔室主體105中且連接至處理容積101。泵送裝置(未顯示)通過泵送埠145而耦合至處理容積101以排空並控制處理容積101之壓力。泵送裝置可包括一或更多泵及節流閥。
氣體分配盤160藉由氣體線167而耦合至腔室主體105以供應製程氣體至處理容積101中。氣體分配盤160可包括一或更多製程氣體來源161、162、163、164及若需要,可額外地包括惰性氣體、非反應性氣體,及反應性氣體。可由氣體分配盤160提供之製程氣體的實例包括,但不限於,含氧氣體,包括O 2、H 2O、H 2O 2、O 3、N 2O、NO 2;含鹵素氣體,包括Cl 2、HCl、HF、F 2、Br 2、HCl、HBr、SF 6、NF 3;鈍化氣體,包括氮(N 2)及二氧化硫(SO 2);以及惰性氣體,包括氬、氦。此外,製程氣體可包括含氮、氯、氟、氧及氫氣體,尤其是諸如BCl 3、C 2F 4、C 4F 8、C 4F 6、CHF 3、CH 2F 2、CH 3F、NF 3、NH 3、CO 2、SO 2、CO、N 2、NO 2、N 2O及H 2
閥166控制來自氣體分配盤160來自來源161、162、163、164之製程氣體流並且受到系統控制器165管理。來自氣體分配盤160供應至腔室主體105之氣體流可包括氣體的組合。
腔室蓋組件110可包括噴嘴114。噴嘴114具有用於引導來自氣體分配盤160之來源161、162、163、164之製程氣體進入處理容積101中之一或更多埠。於引導製程氣體進入電漿處理腔室100中之後,激勵氣體以形成電漿。可提供諸如一或更多感應器線圈之天線148鄰接電漿處理腔室100。天線電源142可通過匹配電路141供電給天線148以感應地耦合諸如RF能量之能量至製程氣體,以維持由電漿處理腔室100之處理容積101中之製程氣體所形成的電漿。可供選擇地,或除了天線電源142以外,可使用基板300下方和/或基板300上方之製程電極以電容地耦合RF功率至製程氣體以維持處理容積101內之電漿。藉由諸如系統控制器165之控制器可控制天線電源142之操作,控制器亦控制電漿處理腔室100中其他組件之操作。
基板支持托架135設置於處理容積101中以於處理期間支撐基板300。基板支持托架135可包括用於在處理期間固持基板300之靜電卡盤122。ESC 122使用靜電吸引將基板300固持至基板支持托架135。由與匹配電路124整合之RF電源125供電給ESC 122。ESC 122包括埋入介電主體內之電極121。電極121耦合至RF電源125並且提供偏壓,其吸引由處理容積101中之製程氣體所形成之電漿離子至ESC 122及安置於其上之基板300。於基板300之處理期間,RF電源125可循環開跟關,或脈衝。為使ESC 122之側壁較不吸引電漿以延長ESC 122之維修壽命循環的目的,ESC 122具有隔離器128。此外,基板支持托架135可具有陰極襯墊136以保護基板支持托架135之側壁不遭受電漿氣體並且延長電漿處理腔室100之維修間之時間。
另外,電極121耦合至電源150。電源150提供約200伏特至約2000伏特之夾持電壓至電極121。藉由導引DC電流至電極121來夾持及去夾持基板300,電源150亦可包括用於控制電極121之操作的系統控制器。
ESC 122可包括用於加熱基板之加熱器設置於其中並且連接至電源(未顯示),而支撐ESC 122之冷卻基座129可包括用於循環傳熱流體之管道以維持ESC 122及設置於其上之基板300的溫度。ESC 122經配置以於待於基板300上製造之裝置之熱預算所希望的溫度範圍中執行。舉例而言,ESC 122可經配置以維持基板300於約攝氏25度至約攝氏150度之溫度。
提供冷卻基座129以協助控制基板300之溫度。為減緩製程飄移及時間,於基板300位於電漿處理腔室100中之全部時間藉由冷卻基座129可維持基板300之溫度實質上恆定。於一個實作中,於全部的蝕刻製程維持基板300之溫度於約攝氏25度至約攝氏150度。
蓋環130設置於ESC 122上且沿著基板支持托架135之周邊。蓋環130經配置以侷限蝕刻氣體至基板300之暴露的頂表面之所欲部分,而遮蔽基板支持托架135之頂表面不遭受電漿處理腔室100內之電漿環境。升舉銷(未顯示)透過基板支持托架135選擇性地移動以抬升基板300至基板支持托架135上方以促進由傳送機器人(未顯示)或其他適合的傳送機構對基板300的存取。
可利用系統控制器165來控制製程順序、調節來自氣體分配盤160至電漿處理腔室100中之氣體流及其他製程參數。當由CPU執行時,軟體常式將CPU轉換成控制電漿處理腔室100之專用電腦(控制器),使得根據本揭示來執行製程。軟體常式亦可由與電漿處理腔室100併置之第二控制器(未顯示)儲存和/或執行。
圖2說明根據本文中揭示之態樣之於基板中蝕刻特徵之方法200的流程圖。圖3A-3G說明根據本文中揭示之態樣之蝕刻製程的各種階段。雖然於含金屬層中蝕刻高深寬比特徵之前後文中論述方法200及圖3A-3G,應理解的是可使用方法200於其他類型的基板中蝕刻其他特徵。大致上,方法200可適用至DRAM、快閃記憶體及邏輯裝置之HAR接觸遮罩開口製程以及HAR線/間距圖案(如,用於閘極線、字元線、互連線蝕刻)。舉例而言,於DRAM應用中,用於形成字元線之線/間距蝕刻。
藉由提供基板,方法200開始於操作210。基板可為圖1中描繪之基板300。基板300包括設置於其上之薄膜堆疊302。於圖3A中顯示之實作中,薄膜堆疊302包括具有硬遮罩層312設置於其上之含金屬層310。沒有硬遮罩層312之薄膜堆疊302 (即,僅含金屬層310)亦可根據方法200進行處理。此外,薄膜堆疊302可包括額外的層。舉例而言,針對一些DRAM應用,薄膜堆疊302可進一步包括帽材料、阻障層材料,和/或光阻劑材料。於一些實作中,含金屬層310包含、基本上由下列組成,或由下列組成:諸如釕之晶粒生長金屬層,其於用於記憶體製造之正常熱預算(如,攝氏900度持續五分鐘)生長晶粒。於一些實作中,含金屬層310包含釕(Ru)、銥(Ir)、鉑(Pt),或銠(Rh)之一或多者。於一個實例中,含金屬層310包含、基本上由下列組成,或由下列組成:釕。如本文中所使用的,術語「基本上由釕組成」代表含金屬層310之釕或組成大於或等於含金屬層310之約95%、98%,或99%。於一個實例中,含金屬層310為字元線金屬層。字元線金屬層可包含、基本上由下列組成,或由下列組成:釕。於一些實作中,硬遮罩層312包含氧化矽、氮化矽、氧氮化矽、碳化矽,或碳氮化矽之一或多者。於一個實例中,硬遮罩層312包含氮化矽或由氮化矽組成。於一個實例中,含金屬層310為釕層及硬遮罩層312為氮化矽硬遮罩層。
於圖3A中顯示之實作中,含金屬層310為毯覆層及硬遮罩層312為具有開口或孔隙314形成通過其中之圖案化的硬遮罩。接續硬遮罩層312之沈積,可採用眾所周知之光微影術及蝕刻製程以圖案化硬遮罩層312及形成延伸通過硬遮罩層312之孔隙314。接著可提供基板300至處理區域以繼續方法200。
然而,如圖3B之實作中所示者,含金屬層310可具有至少第一特徵320形成或部分地形成於其中。至少一個第一特徵320可自含金屬層310之頂表面322朝向含金屬層310之底表面324延伸一特徵深度。至少一個第一特徵320具有由第一側壁326a及第二側壁326b定義之寬度。至少一個第一特徵320可自含金屬層310之頂表面322延伸特徵深度至第一特徵320之底表面328。
於操作220,執行任選的預非晶化處理製程以修飾含金屬層310之暴露的表面。預非晶化處理可包括暴露基板300至離子摻雜/佈植製程。於一個實作中,採用光束線佈植技術來佈植摻雜劑物種。於另一實作中,可採用諸如電漿摻雜(PLAD)技術之共形摻雜技術來佈植摻雜劑物種。
於未執行操作220之預非晶化處理製程的實作中,於操作230可於含金屬層310上不需預非晶化處理而直接地執行修飾製程,其將如下更仔細描述。
於一些實作中,執行操作220之離子摻雜/佈植製程以摻雜、塗佈、處理、佈植、插入或修飾於特定位置上之特定薄膜/表面性質,包括具摻雜劑形成至其中之含金屬層310之第一特徵320之底表面328,形成含金屬層310中之摻雜的區域332。離子摻雜/佈植製程利用入射離子來修飾含金屬層310上之薄膜/表面性質,具摻雜劑摻雜至彼以形成摻雜的區域332。可以所欲濃度摻雜包括所欲類型原子(如,惰性物種)之離子至含金屬層310中。摻雜至含金屬層310中之離子可修飾含金屬層310之薄膜/表面性質,其可影響、改進或改變含金屬層310之晶格結構、結晶程度、接合結構或薄膜密度,形成摻雜的區域332。典型地執行操作220之離子摻雜/佈植製程以修飾含金屬層310之表面而不濺射或實質上濺射含金屬層310。
可自諸如氦、氬、氖、氪,及氙之惰性前驅物材料產生用於離子摻雜/佈植製程之適合的離子物種。於一個實作中,摻雜劑或惰性物種選自氦、氬、氖、氪,或彼等的組合。
於一些實作中,預非晶化處理製程之摻雜/佈植製程包括經執行以佈植離子330至含金屬層310中至一深度以形成摻雜的區域332之摻雜/佈植製程,摻雜的區域332於第一特徵320之底表面328下方延伸。取決於離子之類型及大小以及用以激勵離子330之功率及偏壓,離子330穿透含金屬層310至各種深度。可制定離子330之物種以提供摻雜的區域332之增加的蝕刻選擇性。不受到理論限制,但相信的是於摻雜的區域332內,於操作220離子佈植於金屬晶格結構中產生受損的原子鍵結,使得由摻雜的區域332定義之材料容易沿著摻雜的區域332分離。於一個實例中,自約10 Å至約2,000 Å,諸如自第一特徵320之底表面328下方約100 Å可形成摻雜的區域332。
於操作220期間可控制數個製程參數。離子劑量及佈植能量選擇可取決於利用的摻雜劑類型、用作含金屬層310之材料種類及含金屬層310之所欲修飾。於操作220期間可控制基板溫度於自約或於攝氏5度至約或於攝氏80度,諸如自約或於攝氏40度至約或於攝氏50度。操作220期間整體腔室壓力可為自約或於1毫托至約或於50毫托,例如自約或於約10毫托至約或於30毫托;或自約或於約10毫托至約或於約15毫托。
可於不同於方法200之其他操作的腔室中執行操作220之任選的預非晶化處理。舉例而言,基板300安置於不同於電漿處理腔室100之腔室中,諸如離子佈植腔室。
方法200續行至操作230,其中基板300暴露至修飾製程以藉由吸附和/或化學吸附來修飾基板之表面。基板300可安置於諸如圖1中描繪之電漿處理腔室100中之基板支持托架135的基板支持托架上。修飾製程可包括使含金屬層310接觸鹵化物及含氧化學組成。鹵化物及含氧化學組成可呈氣體、電漿,或反應性物種的形式。修飾製程可包括使含金屬層310接觸鹵化物及含氧氣體或氣體混合物,其藉由吸附作用而吸附至含金屬層的表面或化學吸附至含金屬層上。修飾製程可包括使含金屬層310接觸鹵化物及含氧電漿之流出物。含氧氣體、含鹵素氣體,或彼等的混合物可流進處理區域中以形成原位電漿或進入遠端電漿區域中以產生電漿流出物。電漿流出物可流進處理區域中以與基板300之第一特徵320之暴露的表面交互作用。舉例而言,如圖3C中所顯示者,電漿流出物形成薄的反應性表面層340於側壁326a、326b及底表面328上。於目前文件的上下文中,「反應(reacting或reaction)」意指改變或轉變,於其中物質分解、與其他物質組合,或與其他物質交換成分。因此,將認識到的是「化學吸附(chemisorbing或chemisorption)」為特定類型反應,其意指佔用及化學地接合(物質)至另一物質之表面上。
於一些實作中,於操作230執行之修飾製程包括在能量來源存在下暴露基板300至修飾氣體混合物。修飾氣體混合物包括含氧氣體、含鹵素氣體,及任選地惰性氣體。含氧氣體可選自O 2、H 2O、H 2O 2、O 3、N 2O、NO 2,或彼等的組合。於一個實例中,含氧氣體選自O 2、O 3,或彼等的組合。不受到理論限制,但相信的是氧與釕反應以形成蝕刻副產物,其可輕易自處理腔室泵送。含鹵素氣體可選自鹵素、Cl 2、HCl、HF、F 2、Br 2、HCl、HBr、SF 6、NF 3,或彼等的組合。於一個實例中,含鹵素氣體為Cl 2。惰性氣體可包括氬、氦、氙、氪、氮,或彼等的組合。於一個實例中,含氧氣體為O 2及含鹵素氣體為Cl 2。執行操作230使得待移除或蝕刻之含金屬層310之表面材料之修飾優先存在於基板300上之其他表面材料。不受理論限制,但相信的是於操作230形成具有較未經修飾的表面更易移除之厚度之薄的反應性表面層340。舉例而言,於一個實作中,其中金屬層為釕,Cl 2及O 2電漿物種與暴露的釕表面形成共價鍵(如,Ru → RuO 2-RuO 2Cl x→ RuO 4+ Cl 2)。
於一些實作中,於操作230期間可產生基於修飾氣體混合物之電漿。藉由於容納基板之製程腔室中形成電漿可原位產生自基於修飾氣體混合物之電漿所產生的物種,或於非容納基板之製程腔室中可遠端地產生自基於修飾氣體混合物之電漿所產生的物種,並且可供應至容納基板之製程腔室中。於一些實作中,電漿可為電感耦合電漿或電容耦合電漿或微波電漿。用於電感耦合電漿之功率可設定為自約50 W至約2000 W,諸如約300 W。可設定功率於足夠低的位準以不會造成基板之直接電漿蝕刻。
於一些實作中,施加低於約500瓦之低RF偏壓功率至由氧及含鹵素氣體所形成的離子以利用低能量轟擊基板。低RF偏壓功率降低基板之表面之藉由修飾氣體混合物之自發性蝕刻,而允許於基板之暴露的表面上之修飾氣體化學組成的化學吸附。於一個實例中,維持偏壓RF功率於自約10瓦至約500瓦,例如自約10瓦至約200瓦,諸如自約50瓦自100瓦。
於一個實例中,於操作230期間,針對300 mm基板,含氧氣體可以自約10 sccm至約200 sccm,諸如自約100 sccm至約150 sccm之速率流進處理區域中。含鹵素氣體可以自約10 sccm至約50 sccm,諸如自約30 sccm至約50 sccm之速率流進處理區域中。於自約0伏特至約500伏特之RF電壓,可維持來源RF功率於自約50瓦至約2,000瓦,諸如自約200瓦至約300瓦。於自約0伏特至約500伏特,諸如自約50伏特及約250伏特,例如低於200伏特之RF電壓,可維持偏壓RF功率於自約10瓦至約500瓦,諸如自約100瓦至約200瓦。於操作230期間可控制基板溫度於自約或於攝氏5度至約或於攝氏80度,諸如自約或於攝氏40度至約或於攝氏50度。於操作230期間整體腔室壓力可為自約或於1毫托至約或於50毫托,例如自約或於約10毫托至約或於30毫托;或自約或於10毫托至約或於20毫托。
於一些實作中,於操作230之修飾製程之後可執行淨化。於淨化操作中,自製程腔室移除非表面結合的氧及氯物種。此可藉由淨化和/或排空製程腔室以移除未吸附的修飾化學組成而不移除化學吸附層來完成。藉由停止電漿並允許剩餘物種衰變,任選地與腔室之淨化和/或排空組合,可移除於基於氯及氧之電漿中產生的物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
於操作240繼續方法200,其中基板300暴露至諸如電漿或離子轟擊氣體之移除氣體以選擇性地蝕刻或移除基板300之表面之經修飾的部分,如圖3D中顯示者。移除氣體或離子轟擊氣體可為惰性氣體電漿。惰性氣體選自氬、氖、氪、氦,或彼等的組合。於一個實例中,惰性氣體為氬。於一個實例中,藉由於含有基板300之處理區域中形成電漿而原位產生惰性氣體電漿。於另一實例中,惰性氣體電漿遠端地產生並且可供應至容納基板之製程腔室中。於一些實作中,電漿可為電感耦合電漿或電容耦合電漿或微波電漿。
執行操作240使得自諸如第一特徵320之底表面328之水平表面移除經修飾的部分優先於自諸如第一特徵320之側壁326a、326b之垂直表面移除經修飾的部分。於操作240期間於一些實作中,利用離子通量350轟擊基板300以蝕刻基板300。離子通量350提供方向性能量傳送以促進含金屬層310之經修飾的部分之移除。於一個實例中,離子通量為各向異性,使得第一特徵320之側壁326a、326b的暴露減少。離子通量350轟擊諸如第一特徵320之底表面328之水平,如圖3D中所顯示者,以自第一特徵320之底表面328相對側壁326a、326b而選擇性地移除經修飾的部分,將第一特徵320向下延伸至第二底表面352,如圖3E中所顯示者。於其中執行操作220之一些實作中,於操作240期間亦可選擇性地蝕刻或移除摻雜的區域332。
於一個實例中,由任何上述惰性氣體使用低頻率RF電源功率可產生離子通量350。離子通量350可為具低離子能量之一或更多類型的原子或分子惰性物種。適合的離子物種之實例包括具有低游離電位之氦離子、氖離子、氙離子、氬離子,或彼等的組合,使得可提供非常低的電漿偏壓以降低離子通量之能階。於一個實例中,惰性氣體為氬及電漿活化於處理區域中產生氬離子,其轟擊及方向性地蝕刻基板300之經修飾的部分。
於操作240期間,亦施加偏壓至基板300以導引離子朝向基板300之水平表面。使用自約50瓦至約1500瓦,例如自約50瓦至約250瓦;或自約50瓦至約100瓦之功率可產生偏壓。
於一個實例中,於操作240期間,針對300 mm基板,氬氣可以自約10 sccm至約400 sccm,例如自約100 sccm至150 sccm之速率流進電漿反應器中。於自約0伏特至約500伏特之RF電壓,可維持來源RF功率於自約50瓦至約200瓦,例如自約100瓦至約150瓦。於自約0伏特至約500伏特,諸如自約50伏特及約250伏特,例如小於200伏特之RF電壓,可維持偏壓RF功率於自約50瓦至約300瓦,例如自約100瓦至約150瓦。於操作240期間可控制基板溫度於自約或於攝氏5度至約或於攝氏80度,諸如自約或於攝氏40度至約或於攝氏50度。於操作240期間,整體腔室壓力可為自約或於1毫托至約或於50毫托,例如自約或於約10毫托至約或於30毫托;或自約或於10毫托至約或於20毫托。
不受限於理論但相信的是,以離子通量轟擊含金屬層310造成使用氬之方向性能量傳送以促進經修飾的部分之「蝕刻」或移除。操作240之蝕刻可視為原子層蝕刻或分子水準蝕刻(MLE),因移除的部分為金屬薄膜中之分子組分之尺寸等級。
於一些實作中,可於操作240之選擇性蝕刻之後執行淨化。於淨化操作中,自製程腔室移除電漿物種。此可藉由淨化和/或排空製程腔室以移除剩餘電漿物種及蝕刻副產物而完成。藉由停止電漿並允許剩餘的物種衰變,任選地與腔室之淨化和/或排空組合,可移除產生的電漿物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
於一些實作中,於佈植之循環中可重複地執行或循環操作220至240,接續為金屬層之修飾接著為蝕刻以達成金屬層之目標蝕刻深度。於一些實作中,於修飾之循環中可重複地執行或循環操作230及240,接續為金屬層之蝕刻以達成含金屬層310之目標蝕刻深度。於一個實例中,重複操作220、230,及240之至少一者直到暴露基板300之頂表面370,如圖3G中所顯示者。
於操作250,執行任選的鈍化及蝕刻製程,其中基板300暴露至包括鈍化氣體及蝕刻劑氣體之蝕刻劑氣體混合物以自含金屬層310選擇性地鈍化及蝕刻額外的金屬。執行操作250使得側壁326a、326b經鈍化,而來自第一特徵320之第二底表面352之額外的金屬經移除以形成具有側壁372a、372b(統稱為372)之第二特徵371同時維持平滑的側壁蝕刻輪廓。如圖3F中所顯示者,第二特徵之側壁372a、372b實質上對準第一特徵320之側壁326a、326b。鈍化氣體主要地用作側壁鈍化功能以減少含金屬層310之底切及蝕刻輪廓彎曲。鈍化氣體選自氮(N 2)、二氧化硫(SO 2),或彼等的組合。蝕刻劑氣體包括氧(O 2)及氯(Cl 2)。蝕刻劑氣體可進一步包括惰性氣體。惰性氣體選自氬、氖、氪、氦,或彼等的組合。於一個實作中,蝕刻劑氣體混合物包括O 2、Cl 2、N 2,及Ar。於一個實例中,蝕刻劑氣體混合物包含、基本上由下列組成,或由下列組成:50-200 sccm之O 2、10-100 sccm之Cl 2、5-100 sccm之N 2,及100-300 sccm之氬。如本文中所使用的,術語「基本上由…組成」代表列示之蝕刻劑氣體混合物的成分大於或等於總蝕刻劑氣體混合物之約95%、98%,或99%。於另一實作中,蝕刻劑氣體混合物包括O 2、Cl 2、SO 2,及Ar。於一個實例中,蝕刻劑氣體混合物包含、基本上由下列組成,或由下列組成: 50-200 sccm之O 2、10-100 sccm之Cl 2、10-30 sccm之SO 2及100-300 sccm之氬。
電漿由蝕刻劑氣體混合物形成。於一個實例中,藉由於含有基板300之處理區域中形成電漿來原位產生蝕刻劑電漿。於另一實例中,蝕刻劑氣體電漿遠端地產生並可供應至含有基板300之製程處理區域中。於一些實作中,電漿可為電感耦合電漿、電容耦合電漿,或微波電漿。
鈍化氣體之電漿流出物將第一側壁326a及第二側壁326b(統稱為326)之暴露的表面轉換成鈍化層360。鈍化層360之形成致能基板之蝕刻而沒有第一側壁326a及第二側壁326b之側壁輪廓的損害。鈍化層360有別於沉積材料,因為鈍化層360為含金屬層310之一部分之轉換的結果。因此,鈍化層360不僅是沉積於含金屬層310之側壁326a、326b上,反而側壁326a、326b之表面層在反應中消耗以形成鈍化層360。於實作中,由於鈍化層360自含金屬層310之層轉換,操作250沿著第一特徵320之側壁326a、326b轉換含金屬層310之部分。因此,僅含金屬層310之薄表面層轉換成鈍化層360,限制了鈍化層的厚度,並因此於接續蝕刻第二特徵371時,避免第一特徵320之側壁326a、326b與接續形成之第二特徵371之側壁372a、372b(統稱為372)間之台階形成。第二特徵之側壁372a、372b實質上對準第一特徵320之側壁326a、326b。於一個實例中,於第一側壁326a及第二側壁326b上之含金屬層310之表面層之自約3 Å至約15 Å轉換成鈍化層360。於另一實例中,鈍化層360小於50 Å。於又另一實例中,鈍化層360具有不大於基板之原生氧化物之厚度的厚度。於又另一實例中,其中含金屬層310為釕,鈍化層360為自約10 Å至約20 Å。
鈍化層360可為含金屬層310之氧化物或氮化物。於一個實作中,藉由利用氧化電漿等向性地氧化第一特徵320a可形成鈍化氧化物。弱氧化電漿形成適當厚度之鈍化層。於一個實作中,弱氧化電漿可包括低分壓的二氧化硫(SO 2)氣體或低分壓的氧(O 2)氣體。於一個實例中,氧化電漿含有小於100 sccm之O 2或SO 2,例如自約10 sccm至約30 sccm之O 2。於另一實作中,提供諸如氮(N 2)之氮來源至處理區域以將第一側壁326a及第二側壁326b上之基板300之含金屬層之表面310轉換成含金屬層310之氮化物。於一個實例中,氮化電漿含有小於100 sccm之N 2,例如自約10 sccm至約30 sccm之N 2
於一些實作中,於操作250期間製程壓力低以減少含金屬層310之底切及彎曲。於一些實作中,製程壓力為於或低於50毫托(如,自約10毫托至約50毫托)。於一些實作中,製程壓力為於或低於40毫托(如,自約10毫托至約40毫托)。於一實作中,製程壓力為於或低於30毫托(如,自約10毫托至約30毫托)。於一些實作中,製程壓力為於或低於20毫托(如,自約10毫托至約20毫托)。於一個實例中,包括50-200 sccm之O 2、10-100 sccm之Cl 2、10-100 sccm之N 2,及100-300 sccm之氬之蝕刻劑氣體混合物之電漿維持於或低於20毫托之壓力。於另一此等實例中,包括50-200 sccm之O 2、10-100 sccm之Cl 2、10-100 sccm之SO 2,及100-300 sccm之氬之蝕刻劑氣體混合物之電漿維持於或低於20毫托之壓力。
於進一步實作中,於操作250期間,維持基板於自約攝氏5度至約攝氏80度,及更特別地自約攝氏20度至約攝氏50度之溫度。已發現這些低製程溫度顯著地改進含金屬層310中之蝕刻輪廓(如,減少彎曲)。於一實作中,維持基板於自約攝氏30度及攝氏40度之溫度。於一實作中,維持基板於自約攝氏40度及攝氏50度之溫度。於一個實例中,於操作250期間,包括50-200 sccm之O 2、10-100 sccm之Cl 2、10-100 sccm之N 2,及100-300 sccm之氬之蝕刻劑氣體混合物之電漿維持於或低於20毫托之壓力,而基板為自約攝氏30度至約攝氏40度。於另一實例中,於操作250期間,包括50-200 sccm之O 2、10-100 sccm之Cl 2、10-100 sccm之N 2,及100-300 sccm之氬之蝕刻劑氣體混合物之電漿維持於或低於20毫托之壓力,而基板為自約攝氏30度至約攝氏40度。
於一些實作中,於操作250期間於含金屬層310之蝕刻期間,以2 MHZ、60 MHz,或162 MHz操作之至少一個RF產生器激勵蝕刻氣體混合物成為電漿。RF能量可為CW (連續波)或以10-100 KHz脈衝頻率脈衝。針對採用兩個或更多RF能量來源(產生器)之實作,一個RF產生器可經脈衝(單一)或多個RF產生器可經脈衝(同步)。於包括2 MHz及60 MHz、底部(偏壓)電源及162 MHz頂部(來源)電源兩者的一個實作中,可操作2 MHz產生器以輸出0-1,000瓦為CW、單一脈衝模式或同步脈衝模式,而操作60 MHz產生器以輸出0-3,000瓦為CW、單一脈衝或同步脈衝模式。於進一步實作中,2 MHz及60 MHz兩者輸出大於0瓦之功率。於進一步實作中,以0-2500瓦利用CW、單一脈衝模式或同步脈衝模式,來操作162 MHz來源功率。
於一些實作中,於自約0伏特至約500伏特之RF電壓,可維持來源RF功率於自約1000瓦至約3000瓦,例如自於或約1500瓦至於或約2000瓦。於自約0伏特至約500伏特,諸如自約50伏特及約250伏特,例如小於200伏特之RF電壓,可維持偏壓RF功率於自約50瓦至約300瓦,例如自約100瓦至約150瓦。
於一些實作中,於操作250之蝕刻之後可執行淨化。於淨化操作中,自製程腔室移除電漿物種。此可藉由淨化和/或排空製程腔室以移除剩餘的電漿物種及蝕刻副產物來完成。藉由停止電漿並允許剩餘的物種衰變,任選地與腔室之淨化和/或排空組合,可移除產生的電漿物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
於一些實作中,於佈植之循環中可重複地執行或循環操作220至250,接續為金屬層之修飾接著為選擇性蝕刻,再接續為鈍化及蝕刻,以達成金屬層之目標蝕刻深度。於一實作中,於修飾之循環中可重複地執行或循環操作230、240,及250,接續為金屬層之蝕刻以達成含金屬層310之目標蝕刻深度。於一個實例中,重複操作220、230,及240之至少一者直到暴露基板300之頂表面370,如圖3G中所顯示者。
圖4說明根據本文中揭示之態樣之於基板中蝕刻特徵之另一方法400的流程圖。圖5A-5C說明根據本文中揭示之態樣之蝕刻製程的各種階段。雖然於含金屬層中蝕刻高深寬比特徵之前後文中論述方法400及圖5A-5C,應理解的是可使用方法400於其他類型的基板中蝕刻其他特徵。大致上,方法400可適用至DRAM、快閃記憶體及邏輯裝置之HAR接觸遮罩開口製程以及HAR線/間距圖案(如,用於閘極線、字元線、互連線蝕刻)。舉例而言,於DRAM應用中,用於形成字元線之線/間距蝕刻。
藉由將基板載入諸如圖1中描繪之電漿處理腔室100之腔室中,方法400起始於操作410。基板可為基板300。於一個實例中,基板300安置於諸如基板支持托架135之基板支持托架上,可操作以控制基板300之溫度。於以上描述基板300。
於圖5A中顯示之實作中,含金屬層310為毯覆層及硬遮罩層312為具有開口或孔隙314形成通過其中之圖案化的硬遮罩。接續硬遮罩層312之沈積,可採用眾所周知之光微影術及蝕刻製程以圖案化硬遮罩層312及形成孔隙314。接著可提供基板300至處理區域以繼續方法400。
於如於圖5B中顯示之一些實作中,含金屬層310可具有至少第一凹部520形成或部分地形成於其中。於一個實作中,含金屬層310暴露至電漿蝕刻製程以形成第一凹部520。電漿蝕刻製程可為任何適合的電漿蝕刻製程,諸如於本文中描述之任何電漿蝕刻。第一凹部520可自含金屬層310之頂表面322朝向含金屬層310之底表面324延伸一特徵深度。第一凹部520具有由與圖案化的硬遮罩層312對準之第一側壁526a及第二側壁526b (統稱為526)所定義的寬度。第一凹部520可自含金屬層310之頂表面322朝向第一凹部520之底表面528延伸一特徵深度。
任選地,方法400續行至操作420,其中基板300暴露至修飾製程以藉由吸附和/或化學吸附來修飾基板之表面。可類似於本文中描述之操作230來執行操作420。修飾可包括使含金屬層310接觸鹵化物及含氧電漿之流出物。含氧氣體、含鹵素氣體,或彼等的混合物可流進處理區域中以形成原位電漿或流進遠端電漿區域中以產生電漿流出物。可流動電漿流出物至處理區域中以與基板300之第一凹部520之暴露的表面交互作用。舉例而言,如於圖5B中顯示者,電漿流出物形成薄的反應性表面層540於側壁526a、526b及底表面528上。
於一些實作中,於操作420之修飾製程之後可執行淨化。於淨化操作中,自製程腔室移除非表面結合的氧及氯物種。此可藉由淨化和/或排空製程腔室以移除未吸附的修飾化學組成而不移除化學吸附層來完成。藉由停止電漿並允許剩餘物種衰變,任選地與腔室之淨化和/或排空組合,可移除於基於氯及氧之電漿中產生的物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
方法續行至操作430。於操作430,執行鈍化及蝕刻製程,其中基板300暴露至包括鈍化氣體及蝕刻劑氣體之蝕刻劑氣體混合物以自含金屬層310選擇性地鈍化及蝕刻額外的金屬以加深第一凹部520。可類似於本文中描述之操作250來執行操作430。執行操作430使得側壁526a、526b經鈍化,而移除來自底表面528之額外的金屬以形成具有側壁572a、572b之第二特徵571同時維持平滑的側壁蝕刻輪廓。如圖5C中所顯示者,第二特徵之側壁572a、572b實質上對準第一特徵320之側壁326a、326b。
於一些實作中,於操作430之蝕刻之後可執行淨化。於淨化操作中,自製程腔室移除電漿物種。此可藉由淨化和/或排空製程腔室以移除剩餘的電漿物種及蝕刻副產物來完成。藉由停止電漿並允許剩餘物種衰變,任選地與腔室之淨化和/或排空組合,可移除產生的電漿物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
於一些實作中,於修飾之循環中可重複地執行或循環操作420及430,接續為鈍化及蝕刻以達成含金屬層之目標蝕刻深度。於一個實例中,重複操作420及430之至少一者直到暴露基板300之頂表面570,如圖5D中所顯示者。
圖6說明根據本文中揭示之態樣之於基板中蝕刻特徵之另一方法600的流程圖。圖7A-7E說明根據本文中揭示之態樣之蝕刻製程的各種階段。雖然於含金屬層中蝕刻高深寬比特徵之前後文中論述方法600及圖7A-7E,應理解的是可使用方法600於其他類型的基板中蝕刻其他特徵。大致上,方法600可適用至DRAM、快閃記憶體及邏輯裝置之HAR接觸遮罩開口製程以及HAR線/間距圖案(如,用於閘極線、字元線、互連線蝕刻)。舉例而言,於DRAM應用中,用於形成字元線之線/間距蝕刻。
藉由提供如上描述之基板,方法600起始於操作610。基板可為如上描述之基板300。於圖7A中顯示之實作中,含金屬層310為毯覆層及硬遮罩層312為具有開口或孔隙314形成通過其中之圖案化的硬遮罩。於一實作中,如圖7B中所顯示者,含金屬層310可具有至少第一凹部720形成或部分地形成於其中。
於操作620,執行任選的預非晶化處理製程以修飾含金屬層310之暴露的表面。可類似於操作220來執行預非晶化處理。
於其中未執行操作620之預非晶化處理製程之實作中,於將於以下更仔細描述之操作630,可直接地於含金屬層310上執行鈍化製程而不需預非晶化處理。
於操作630,執行鈍化製程,其中基板300暴露至鈍化氣體以鈍化含金屬層310之暴露的表面。執行操作630使得利用鈍化層760來鈍化側壁726a、726b。鈍化氣體選自氮(N 2)、二氧化硫(SO 2),或彼等的組合。於一個實例中,鈍化氣體混合物包含、基本上由下列組成,或由下列組成:5-100 sccm之N 2。如本文中所使用的,術語「基本上由…組成」代表列示之鈍化氣體混合物的成分大於或等於總鈍化氣體混合物之約95%、98%,或99%。於另一實作中,鈍化氣體混合物包括SO 2。於一個實例中,鈍化氣體混合物包含、基本上由下列組成,或由下列組成:10-30 sccm之SO 2
電漿由鈍化氣體混合物形成。於一個實例中,藉由於含有基板300之處理區域中形成電漿來原位產生鈍化氣體電漿。於另一實例中,鈍化氣體電漿遠端地產生並可供應至含有基板300之製程處理區域中。於一實作中,電漿可為電感耦合電漿、電容耦合電漿,或微波電漿。
鈍化氣體之電漿流出物將第一側壁726a及第二側壁726b之暴露的表面轉換成鈍化層760。鈍化層760之形成致能基板之蝕刻而沒有第一側壁726a及第二側壁726b之側壁輪廓的損害。鈍化層760有別於沉積材料,因為鈍化層760為含金屬層310之一部分之轉換的結果。因此,鈍化層760不僅是沉積於含金屬層310之側壁726a、726b上,反而側壁726a、726b之表面層在反應中消耗以形成鈍化層760。於實作中,由於鈍化層760自含金屬層310之層轉換,操作630沿著第一凹部720之側壁726a、726b轉換含金屬層310之部分。因此,僅含金屬層310之薄表面層轉換成鈍化層760,限制了鈍化層的厚度,並因此於接續蝕刻第二凹部771時,避免第一凹部720之側壁726a、726b與接續形成之第二凹部771之側壁772a、772b (統稱為772)間之台階形成,如圖7E中所顯示者。如圖7E中所顯示的,第二特徵之側壁772a、772b實質上對準第一凹部720之側壁726a、726b(統稱為726)。於一個實例中,於第一側壁726a及第二側壁726b上之含金屬層310之表面層之自約3 Å至約15 Å轉換成鈍化層760。於另一實例中,鈍化層760小於50 Å。於又另一實例中,鈍化層760具有不大於基板之原生氧化物之厚度的厚度。於又另一實例中,其中含金屬層310為釕,鈍化層760具有自約10 Å至約20 Å之厚度。
鈍化層760可為含金屬層310之氧化物或氮化物。於一個實作中,藉由利用氧化電漿等向性地氧化第一凹部720可形成鈍化氧化物。弱氧化電漿形成適當厚度之鈍化層。於一個實作中,弱氧化電漿可包括低分壓的二氧化硫(SO 2)氣體或低分壓的氧(O 2)氣體。於一個實例中,氧化電漿含有小於100 sccm之O 2或SO 2,例如自約10 sccm至約30 sccm之O 2。於另一實作中,提供諸如氮(N 2)之氮來源至處理區域以將第一側壁326a及第二側壁326b上之基板300之含金屬層310之表面轉換成含金屬層310之氮化物。於一個實例中,氮化電漿含有小於100 sccm之N 2,例如自約10 sccm至約30 sccm之N 2
於一些實作中,於操作630期間製程壓力低以減少含金屬層310之底切及彎曲。於一些實作中,製程壓力為於或低於50毫托(如,自約10毫托至約50毫托)。於一些實作中,製程壓力為於或低於40毫托(如,自約10毫托至約40毫托)。於一實作中,製程壓力為於或低於30毫托(如,自約10毫托至約30毫托)。於一些實作中,製程壓力為於或低於20毫托(如,自約10毫托至約20毫托)。於一個實例中,包括50-200 sccm之N 2之鈍化氣體之電漿維持於或低於10毫托之壓力。於另一此等實例中,包括10-100 sccm之SO 2之鈍化氣體混合物之電漿維持於或低於10毫托之壓力。
於進一步實作中,於操作630期間,維持基板於自約攝氏5度至約攝氏80度,及更特別地自約攝氏20度至約攝氏50度之溫度。已發現這些低製程溫度顯著地改進含金屬層310中之蝕刻輪廓(如,減少彎曲)。於一實作中,維持基板於自約攝氏30度及攝氏40度之溫度。於一實作中,維持基板於自約攝氏40度及攝氏50度之溫度。於一個實例中,於操作630期間,包括10-100 sccm之N 2之鈍化氣體混合物之電漿維持於或低於10毫托之壓力之,而基板為自約攝氏30度至約攝氏40度。於另一實例中,於操作630期間,包括10-100 sccm之N 2之鈍化氣體混合物之電漿維持於或低於10毫托之壓力,而基板為自約攝氏30度至約攝氏40度。
於一些實作中,於操作630期間於含金屬層310之鈍化期間,以2 MHZ、60 MHz,或162 MHz操作之至少一個RF產生器激勵鈍化氣體混合物成為電漿。RF能量可為CW(連續波)或以10-100 KHz脈衝頻率脈衝。針對採用兩個或更多RF能量來源(產生器)之實作,一個RF產生器可經脈衝(單一)或多個RF產生器可經脈衝(同步)。於一個實作中,可操作包括2 MHz之產生器以輸出0-1,000瓦(如,150瓦)為CW、單一脈衝模式或同步脈衝模式。
於一些實作中,於操作630之鈍化製程之後可執行淨化。於淨化操作中,自製程腔室移除電漿物種。此可藉由淨化和/或排空製程腔室以移除剩餘的電漿物種來完成。藉由停止電漿及繼續氮氣體流,可移除產生的電漿物種。
方法600續行至操作640,其中基板300暴露至修飾製程以藉由吸附和/或化學吸附來修飾基板之表面。修飾製程可包括使含金屬層310接觸鹵化物及含氧化學組成。鹵化物及含氧化學組成可呈氣體、電漿,或反應性物種的形式。修飾製程可包括使含金屬層310接觸鹵化物及含氧氣體或氣體混合物,其藉由吸附作用而吸附至含金屬層的表面或化學吸附至含金屬層上。修飾製程可包括使含金屬層310接觸鹵化物及含氧電漿之流出物。含氧氣體、含鹵素氣體,或彼等的混合物可流進處理區域中以形成原位電漿或進入遠端電漿區域中以產生電漿流出物。電漿流出物可流進處理區域中以與基板300之第一凹部720之暴露的表面交互作用。舉例而言,如圖7C中所顯示者,電漿流出物形成薄的反應性表面層740於側壁726a、726b及底表面728上。可類似於操作230之修飾製程來執行操作640之修飾製程。
於一些實作中,於操作640之修飾製程之後可執行淨化。於淨化操作中,自製程腔室移除非表面結合的氧及氯物種。此可藉由淨化和/或排空製程腔室以移除未吸附的修飾化學組成而不移除化學吸附層來完成。藉由停止電漿並允許剩餘物種衰變,任選地與腔室之淨化和/或排空組合,可移除於基於氯及氧之電漿中產生的物種。使用任何惰性氣體,諸如N 2、Ar、Ne、He,或彼等的組合,可完成淨化。
方法600續行至操作650,其中基板300暴露至諸如電漿或離子轟擊氣體之移除氣體以選擇性地蝕刻或移除基板300之表面之經修飾的部分,如圖7D中所顯示者。移除氣體或離子轟擊氣體可為惰性氣體電漿。惰性氣體選自氬、氖、氪、氦,或彼等的組合。於一個實例中,惰性氣體為氬。於一個實例中,藉由於含有基板300之處理區域中形成電漿而原位產生惰性氣體電漿。於另一實例中,惰性氣體電漿遠端地產生並可供應至容納基板之製程腔室中。於一實作中,電漿可為電感耦合電漿或電容耦合電漿或微波電漿。
執行操作650使得自諸如第一凹部720之底表面728之水平表面移除經修飾的部分優先於自諸如第一凹部720之側壁726a、726b之垂直表面移除經修飾的部分。於一些實作中,於操作650期間,利用離子通量750轟擊基板300以蝕刻基板300。離子通量750提供方向性能量傳送以促進含金屬層310之經修飾的部分之移除。於一個實例中,離子通量750為各向異性使得減少第一凹部720之鈍化的側壁726a、726b之暴露。離子通量750轟擊諸如第一凹部720之底表面728之水平表面,如圖7D中所顯示者,以自第一凹部720之底表面728相對側壁726a、726b選擇性地移除經修飾的部分,將第一凹部720向下延伸至第二底表面752,如圖7E中所顯示者。第二底表面752沿著側壁772a、772b定義第二凹部771。
於一些實作中,於鈍化之循環中可重複地執行或循環操作630至650,接續為金屬層之修飾接著為蝕刻以達成金屬層之目標蝕刻深度。於一個實例中,重複操作630、640,及650直到暴露基板300之頂表面。於一個實例中,重複操作630、640,及650二十至三十次。
實作可包括下列潛在優點之一或多者。儘管需要縮小節點,此揭示之一或更多實作有利地解決電阻率降低的問題。於一些實作中,藉由降低字元線金屬之表面粗糙度來降低字元線之電阻率。此揭示之一些實作有利地提供改進的粗糙度、受控制的各向異性蝕刻、對硬遮罩材料之改進的選擇性,及改進的晶圓對晶圓及晶圓內均勻性之一或多者。此外,因表面粗糙度降低,字元線金屬材料之選擇不受限於金屬之晶粒生長特性。
可於數位電子電路中,或於電腦軟體、韌體,或硬體中(包括此說明書中揭露之結構性手段及彼等的結構性等效物,或彼等的組合)實踐於此說明書中描述之實作及所有功能性操作。可實踐本文中描述之實作作為一或更多非暫態電腦程式產品,即,有形地體現於機器可讀取存儲裝置中之一或更多電腦程式,用於藉由數據處理設備執行或控制數據處理設備之操作,數據處理設備例如為可編程處理器、電腦,或多重處理器或電腦。
藉由一或更多可編程處理器可執行於此說明書中所描述之製程及邏輯流程,一或更多可編程處理器執行一或更多電腦程式以藉由操作輸入數據及產生輸出來執行功能。亦可由設備執行製程及邏輯流程,且設備亦可實踐作為特殊目的邏輯電路,如FPGA(場效可編程閘極陣列)或ASIC(特定應用積體電路)。
術語「數據處理設備」涵蓋用於處理數據的所有設備、裝置,及機器,包括例如可編程處理器、電腦,或多重處理器或電腦。除硬體之外,設備可包括產生執行環境給有問題之電腦程式之編碼,如制定處理器韌體、協定堆疊、資料庫管理系統、操作系統,或彼等之一或多者的組合之編碼。適用於執行電腦程式之處理器包括例如通用及特殊目的微處理器兩者,及任何種類的數位電腦之任何一或更多處理器。
適用於儲存電腦程式指令及數據之電腦可讀取媒體包括所有形式之非揮發記憶體、媒體及記憶體裝置,包括例如半導體記憶體裝置,如EPROM、EEPROM,及快閃記憶體裝置;磁碟,如內部硬碟或可移磁碟;磁光碟;以及CD ROM及DVD-ROM光碟。處理器及記憶體可由特殊目的邏輯電路增補或併入特殊目的邏輯電路中。
當介紹本揭示或彼之例示性態樣或實作之元件時,冠詞「一(a及an)」以及「該(the及said)」意圖表示有一或多個元件。
術語「包含」、「包括」及「具有」意圖包括及表示可有列示元件以外之額外的元件。
儘管前述關於本揭示之實施例,可設想此揭示之其他及進一步實施例而不背離此揭示之基本範疇,並且由以下之申請專利範圍來決定此揭示之範疇。
100:電漿處理腔室 101:處理容積 105:腔室主體 110:腔室蓋組件 112:側壁 113:基板存取出入口 114:噴嘴 115:襯墊 118:底部 121:電極 122:靜電卡盤(ESC) 124:匹配電路 125:RF電源 126:接地 128:隔離器 129:冷卻基座 130:蓋環 135:基板支持托架 136:陰極襯墊 141:匹配電路 142:天線電源 145:泵送埠 148:天線 150:電源 160:氣體分配盤 161:製程氣體來源 162:製程氣體來源 163:製程氣體來源 164:製程氣體來源 165:系統控制器 166:閥 167:氣體線 200:方法 210:操作 220:操作 230:操作 240:操作 250:操作 300:基板 302:薄膜堆疊 310:含金屬層 312:硬遮罩層 314:孔隙 320:特徵 322:頂表面 324:底表面 326a:側壁 326b:側壁 328:底表面 330:離子 332:摻雜的區域 340:反應性表面層 350:離子通量 352:底表面 360:鈍化層 370:頂表面 371:特徵 372a:側壁 372b:側壁 400:方法 410:操作 420:操作 430:操作 520:凹部 526a:側壁 526b:側壁 528:底表面 540:反應性表面層 570:頂表面 571:特徵 572a:側壁 572b:側壁 600:方法 610:操作 620:操作 630:操作 640:操作 650:操作 720:凹部 726a:側壁 726b:側壁 728:底表面 740:反應性表面層 750:離子通量 752:底表面 760:鈍化層 771:凹部 772a:側壁 772b:側壁
為可仔細理解本揭示之以上記載的特徵,藉由參照實作可得到以上簡要綜述之實作之更具體的描述,於附圖中說明一些實作。然而,要注意的是,附圖僅說明此揭示之典型實作並因此不視為限制此揭示之範疇,因為本揭示可承認其他等效的實作。
圖1說明根據本揭示之態樣之電漿處理腔室之一個實例的截面圖。
圖2說明根據本文中揭示之態樣之於基板中蝕刻特徵之方法的流程圖。
圖3A-3G說明根據本文中揭示之態樣之蝕刻製程的各種階段。
圖4說明根據本文中揭示之態樣之於基板中蝕刻特徵之另一方法的流程圖。
圖5A-5D說明根據本文中揭示之態樣之蝕刻製程的各種階段。
圖6說明根據本文中揭示之態樣之於基板中蝕刻特徵之另一方法的流程圖。
圖7A-7E說明根據本文中揭示之態樣之蝕刻製程的各種階段。
為促進理解,已儘可能使用相同的元件符號表示圖式共同之相同的元件。所考量的是,一個實作之元件及特徵可有利地併入其他實作而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
210:操作
220:操作
230:操作
240:操作
250:操作

Claims (20)

  1. 一種圖案化一基板之方法,包含以下步驟: 藉由以下步驟,修飾形成於一基板上方之一含金屬層之一表面,該基板安置於一處理腔室之一處理區域中:將該含金屬層之該表面暴露至一含氯氣體前驅物及一含氧氣體前驅物以形成該含金屬層之一修飾的表面; 導引一惰性氣體前驅物之電漿流出物朝向該含金屬層之該修飾的表面,其中藉由施加一偏壓至固持該基板之一基板支座來導引該惰性氣體前驅物之該等電漿流出物;以及 利用該惰性氣體前驅物之該等電漿流出物各向異性地蝕刻該含金屬層之該修飾的表面以形成一第一凹部,該第一凹部於該含金屬層中具有一第一側壁,其中該惰性氣體前驅物之該等電漿流出物,相對未經修飾的部分,選擇性地蝕刻該含金屬層之該修飾的表面。
  2. 如請求項1所述之方法,其中該惰性氣體前驅物為氬。
  3. 如請求項1所述之方法,其中該含金屬層包含釕。
  4. 如請求項1所述之方法,其中各向異性地蝕刻該含金屬層之該修飾的表面形成包含一字元線含金屬層之一特徵。
  5. 如請求項1所述之方法,其中該含氯氣體前驅物以自約10 sccm至約50 sccm之一流動速率流動至該處理區域中以及該含氧氣體前驅物以自約100 sccm至約150 sccm之一流動速率流動至該處理區域中。
  6. 如請求項1所述之方法,其中當修飾該含金屬層之該表面及各向異性地蝕刻該含金屬層之經修飾的表面時,該處理區域內之一壓力維持於或低於約20毫托。
  7. 如請求項1所述之方法,其中導引該惰性氣體前驅物之該等電漿流出物朝向該含金屬層之該修飾的表面之該偏壓為或低於約150瓦。
  8. 如請求項1所述之方法,進一步包含重複該方法至少一個額外的循環。
  9. 如請求項1所述之方法,其中該處理腔室之一溫度維持於或低於約攝氏50度。
  10. 如請求項1所述之方法,其中執行修飾該含金屬層之該表面而不蝕刻該含金屬層之該表面。
  11. 如請求項1所述之方法,進一步包含以下步驟: 於修飾至該含金屬層之該表面之前,佈植惰性氣體離子至該含金屬層之該表面中。
  12. 如請求項1所述之方法,進一步包含以下步驟: 暴露該第一凹部至包括一鈍化氣體及一蝕刻劑氣體之一蝕刻劑氣體混合物以自該含金屬層移除額外的金屬。
  13. 如請求項12所述之方法,進一步包含以下步驟: 形成該蝕刻劑氣體混合物之一電漿; 利用該鈍化氣體之電漿流出物來鈍化該第一凹部之該第一側壁;以及 利用該蝕刻劑氣體之電漿流出物各向異性地蝕刻該第一凹部,以利用與該第一側壁對準之該含金屬層中之一第二側壁來加深該第一凹部。
  14. 如請求項13所述之方法,其中該鈍化氣體選自氮(N 2)、二氧化硫(SO 2),或彼等的一組合。
  15. 如請求項14所述之方法,其中該蝕刻劑氣體包含氧(O 2)及氯(Cl 2)。
  16. 一種圖案化一基板之方法,包含以下步驟: 將形成於一基板上方之一含釕層之一表面暴露至一蝕刻劑氣體混合物,該基板安置於一處理腔室之一處理區域中,該蝕刻劑氣體混合物包括選自N 2及SO 2之一鈍化氣體以及包含O 2及Cl 2之一蝕刻劑氣體;以及 利用該蝕刻劑氣體混合物之一電漿各向異性地蝕刻該含釕層。
  17. 如請求項16所述之方法,進一步包含以下步驟: 於暴露該含釕層之該表面至該蝕刻劑氣體混合物之前,藉由將該含釕層之該表面暴露至一含氯氣體前驅物及一含氧氣體前驅物之電漿流出物來修飾該含釕層之一表面,以形成該含金屬層之一修飾的表面。
  18. 如請求項17所述之方法,其中利用該蝕刻劑氣體混合物之一電漿各向異性地蝕刻該含釕層移除該含釕層之該經修飾的表面。
  19. 一種圖案化一基板之方法,包含以下步驟: 將形成於一基板上方之一含釕層之一表面暴露至一蝕刻劑氣體混合物,該基板安置於一處理腔室之一處理區域中,該蝕刻劑氣體混合物包含: 50-200 sccm之O 2; 10-100 sccm之Cl 2; 100-300 sccm之氬;以及 5-100 sccm之N 2或10-30 sccm之SO 2;以及 利用該蝕刻劑氣體混合物之一電漿各向異性地蝕刻該含釕層,包含以下步驟: 維持該基板於自約攝氏20度至約攝氏40度之一溫度;以及 維持該蝕刻劑氣體混合物之該電漿於自約10毫托至約20毫托之一壓力。
  20. 如請求項19所述之方法,其中各向異性地蝕刻該含釕層之步驟形成包含一字元線含釕層之一特徵。
TW110129272A 2020-09-03 2021-08-09 選擇性各向異性金屬蝕刻 TW202226378A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063074176P 2020-09-03 2020-09-03
US63/074,176 2020-09-03

Publications (1)

Publication Number Publication Date
TW202226378A true TW202226378A (zh) 2022-07-01

Family

ID=80358918

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129272A TW202226378A (zh) 2020-09-03 2021-08-09 選擇性各向異性金屬蝕刻

Country Status (6)

Country Link
US (1) US11658043B2 (zh)
JP (1) JP2023540291A (zh)
KR (1) KR20220030906A (zh)
CN (1) CN116034456A (zh)
TW (1) TW202226378A (zh)
WO (1) WO2022051045A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023220054A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Simultaneous dielectric etch with metal passivation
WO2023242977A1 (ja) * 2022-06-15 2023-12-21 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100362906B1 (ko) * 2000-04-22 2002-12-11 가부시키가이샤 히타치세이사쿠쇼 고체 표면, 기판 및 반도체 제조 장치의 처리 방법 및이들을 이용한 반도체 장치의 제조 방법
US8962224B2 (en) 2012-08-13 2015-02-24 Applied Materials, Inc. Methods for controlling defects for extreme ultraviolet lithography (EUVL) photomask substrate
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR20200123481A (ko) * 2018-03-16 2020-10-29 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
CN111937122A (zh) * 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10515955B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier

Also Published As

Publication number Publication date
KR20220030906A (ko) 2022-03-11
WO2022051045A1 (en) 2022-03-10
US20220068661A1 (en) 2022-03-03
US11658043B2 (en) 2023-05-23
CN116034456A (zh) 2023-04-28
JP2023540291A (ja) 2023-09-22

Similar Documents

Publication Publication Date Title
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
TWI352387B (en) Etch methods to form anisotropic features for high
KR20190026589A (ko) 에칭 방법
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20200227276A1 (en) Methods of etching metal-containing layers
US11658043B2 (en) Selective anisotropic metal etch
TW202213505A (zh) 蝕刻方法及電漿處理裝置
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
US20220093406A1 (en) Method and apparatus for processing a substrate
KR20220022458A (ko) 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들
US20230083577A1 (en) Recessed metal etching methods
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
US20220189786A1 (en) Tin oxide and tin carbide materials for semiconductor patterning applications
TW202249058A (zh) 選擇性阻障金屬蝕刻