CN111937122A - 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化 - Google Patents

难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化 Download PDF

Info

Publication number
CN111937122A
CN111937122A CN201980024060.8A CN201980024060A CN111937122A CN 111937122 A CN111937122 A CN 111937122A CN 201980024060 A CN201980024060 A CN 201980024060A CN 111937122 A CN111937122 A CN 111937122A
Authority
CN
China
Prior art keywords
refractory metal
substrate
modified
modifying gas
ale
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980024060.8A
Other languages
English (en)
Inventor
杨文兵
塔玛尔·穆克吉
莫汉德·布鲁里
萨曼莎·坦
潘阳
克伦·雅各布斯·卡纳里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111937122A publication Critical patent/CN111937122A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

蚀刻在衬底上的难熔金属或其他高表面结合能材料可维持或增加金属/高EO表面的平滑度,在某些情况下会产生极端的平滑度。提供具有暴露的难熔金属/高EO表面的衬底。使难熔金属/高EO表面暴露于改性气体以改性该表面并形成改性的难熔金属/高EO表面。将改性的难熔金属/高EO表面暴露于高能粒子以相对于下伏的未改性难熔金属/高EO表面,优先除去改性的难熔金属/高EO表面,使得在除去改性后的暴露的难熔金属/高EO表面与在将衬底表面暴露于改性气体之前的衬底表面一样平滑或比其更平滑。

Description

难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
相关申请的交叉引用
本申请要求于2018年3月30日提交的名称为“ATOMIC LAYER ETCHING ANDSMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BINDING ENERGYMATERIALS”的美国临时专利申请No.62/650,469的优先权,其全部内容通过引用合并于此并用于所有目的。
本申请还针对涉及难熔金属的原子层刻蚀的公开内容,通过引用并入以下美国专利申请:2016年8月31日提交的名称为“ALE SMOOTHNESS:IN AND OUTSIDE SEMICONDUCTORINDUSTRY”的美国专利申请No.15/253,481和美国专利申请2017年12月13日提交的名称为“DESIGNER ATOMIC LAYER ETCHING”的美国专利申请No.15/841,205。
背景技术
半导体制造工艺包括蚀刻各种材料。随着特征尺寸缩小,对原子尺度处理(例如原子层蚀刻(ALE))的需求不断增长。
发明内容
公开了蚀刻工艺,其为难熔金属和其他高表面结合能材料产生平滑的,至少在某些情况下极其平滑的蚀刻前边缘和线边缘,并且在某些情况下对周围材料的选择性提高。某些原子层蚀刻(ALE)工艺已经在诸如Mo、Ta和Ru之类的难熔金属上被验证,并且可用于处理多种由晶粒组成的材料。尽管ALE可用于定向图案转移以产生平滑的金属线,但其也可用于其他目的。例如,对于可靠性和设备的电性能都需要提供连续、平滑和原子薄的保形衬里(例如,扩散阻挡层或粘合促进层)。如果例如所沉积的衬里比所期望的所沉积的厚和/或粗糙,则可以利用ALE回蚀来同时适当地使衬里变薄和平滑,从而提供期望的结果。
根据多种实施方案,提供了一种蚀刻在衬底上的难熔金属或其他高表面结合能(高EO)材料的方法。该方法可以包括:提供包括暴露的难熔金属/高EO表面的衬底;将所述难熔金属/高EO表面暴露于改性气体以使该表面改性并形成改性的难熔金属/高EO表面;以及使所述改性的难熔金属/高EO表面暴露于高能粒子,以相对于下伏的未改性的难熔金属/高EO表面优先除去所述改性的难熔金属/高EO表面。在除去所述改性的难熔金属/高EO表面之后,暴露的所述难熔金属/高EO表面与在将所述衬底表面暴露于所述改性气体之前的衬底表面一样平滑或比其更平滑。
所述难熔金属/高EO表面的平滑度可以通过所述方法维持或增加,例如平滑度增加大于10%RMS、大于20%、大于30%、大于40%、大于50%、大于60%、大于70%、75%或更多、大于80%、或大于90%RMS、大致一定数量级(on the order of an order ofmagnitude)。
所述难熔金属/高EO表面可以是选自于由Nb、Mo、Ta、W、Re、Ru、Rh、Os、Ir、Ti、V、Cr、Zr和Hf组成的组中的难熔金属。例如,所述难熔金属可以选自于由Mo、Ta和Ru组成的组。
所述改性气体可以包括O2或另一含氧气体。
所述改性气体可以包括Cl2或另一含氯气体。
所述改性气体可以包括O2或另一含氧气体与Cl2或另一含氯气体的混合物。
所述高能粒子可以是惰性离子等离子体,例如Ar等离子体。
可以使用对难熔金属具有选择性的改性气体混合物。
所述难熔金属/高EO可以是选自于由诸如Al2O3、In2O3、MgO、SnO、Ta2O5、TiO2和ZrO2之类的氧化物;诸如BC、SiC和WC之类的碳化物;诸如BN、TaN、TiN之类的氮化物;诸如ZnS和MoS2之类的硫化物;以及诸如YBCO之类的超导体组成的组中的材料。
所述衬底表面可以被平滑化以用于半导体或非半导体处理应用。
提供了一种被配置用于处理衬底的装置。所述装置可以包括:处理室,其包括喷头和用于支撑具有材料的衬底的衬底支撑件;等离子体产生器;以及具有至少一个处理器和存储器的控制器。所述至少一个处理器和所述存储器可以彼此通信连接,所述至少一个处理器可以至少与流量控制硬件能操作地连接,并且所述存储器可以存储用于蚀刻在衬底上的难熔金属/高EO的机器可读指令,所述指令包括:提供包括暴露的难熔金属/高EO表面的衬底;将所述难熔金属/高EO表面暴露于改性气体以使该表面改性并形成改性的难熔金属/高EO表面;以及使所述改性的难熔金属表面暴露于高能粒子,以相对于下伏的未改性的难熔金属/高EO表面优先除去所述改性的难熔金属/高EO表面。在除去所述改性的难熔金属/高EO表面之后,暴露的所述难熔金属/高EO表面与在将所述衬底表面暴露于所述改性气体之前的衬底表面一样平滑或比其更平滑。
在下面的包括参考附图的详细描述中,进一步描述了本公开的这些和其他方面。
附图说明
图1示出了根据本公开的实施方案的ALE循环的两个示例性示意图。
图2示出了根据本公开的实施方案的在左侧的具有可见损伤、粗糙度或晶界的进入的衬底表面的SEM图像,所述可见损伤、粗糙度或晶界在右侧全部通过ALE减小了。
图3A至图3B呈现了数据,所述数据示出了与其他蚀刻工艺和化学物质相比,根据本公开的实施方案的针对Ru平滑获得的ALE结果的比较。
图4描绘了显示根据本公开的实施方案的ALE工艺的高选择性的SEM图像,其已经使用Mo并且利用O2/Cl2改性化学过程进行了证明。
图5示出了数据图,该数据图表明,与仅Cl2或仅O2改性化学物质相比,根据本公开的实施方案的Cl2和O2改性混合物化学物质显示出Mo覆盖膜的快10-20倍的蚀刻速率。
图6描绘了根据本公开的实施方案的在衬底上蚀刻难熔金属或其他高EO材料的方法的流程图。
图7示意性地示出了适于实现本文的某些实施方案的感应耦合等离子体蚀刻装置的截面图。
图8描绘了具有适合于实现本文的某些实施方案的各种模块的半导体工艺集群架构。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公开的实施方案。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是这并非意在限制所公开的实施方案。
蚀刻工艺通常涉及将待蚀刻的材料暴露于蚀刻气体的组合以除去材料。然而,这种除去在一些情况下可能蚀刻超过所期望的特征轮廓,或导致不期望的特征轮廓。随着特征尺寸缩小,对原子尺度处理的需求不断增长。
已知某些反应性离子蚀刻(RIE)方案可改善侧壁的线宽粗糙度(LWR),但很少小于2nm。此外,在RIE的蚀刻前端,形成边缘层的随机行为趋于以达到5nm的类似尺度使表面粗糙化。对于RIE为什么使表面变粗糙,有许多提出的机制,包括随机效应、离子散射和微掩膜。这些机制在动力学上阻碍了表面的平坦化,由于较低的表面张力,这在热力学上是有利的。
为了满足先进半导体制造的电气要求,越来越需要平滑的蚀刻线。随着特征尺寸不断缩小,金属线的关键尺寸达到了10nm以下的范围(regime)。但是,金属具有晶粒结构。反应性离子蚀刻通常在晶界处比在晶粒本身上具有更快的反应速率。在金属晶界处的这种优先蚀刻产生线边缘粗糙度,该粗糙度导致金属接触线的变化并增加金属接触线的电阻率。
公开了蚀刻工艺,其为难熔金属和其他高表面结合能材料产生平滑的,至少在某些情况下极其平滑(例如,与蚀刻前的表面粗糙度相比,平滑度增大高达50%或更高、60%或更高、70%或更高、75%或更高、80%或更高或90%或更高的均方根(RMS))的蚀刻前边缘和线边缘,并且在某些情况下对周围材料的选择性提高。某些原子层蚀刻(ALE)工艺已经在诸如Mo、Ta和Ru之类的难熔金属上被验证,并且可用于处理多种由晶粒组成的材料。尽管ALE可用于定向图案转移以产生平滑的金属线,但其也可用于其他目的。在这方面,对于可靠性和设备的电性能都需要提供连续、平滑和原子薄的保形衬里(例如,扩散阻挡层或粘合促进层)。如果例如所沉积的衬里比所期望的所沉积的厚和/或粗糙,则可以利用ALE回蚀来同时适当地使衬里变薄和平滑,从而提供期望的结果。
ALE是用于先进半导体制造(例如,技术节点<10nm)的多步骤工艺,用于具有原子尺度深度分辨和控制的超薄材料层的覆盖物除去或图案定义蚀刻。ALE是一种使用顺序自限制反应除去薄层材料的技术。原子层蚀刻技术的示例在美国专利No.8,883,028和美国专利No.8,808,561中进行了描述,这些专利在此通过引用并入本文,以用于描述示例性原子层蚀刻和蚀刻技术的目的。
“ALE循环”的构思与本文的多个实施方案的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的膜层中的至少一些。通常,ALE循环包括形成改性层的改性操作,随后是仅除去或蚀刻此改性层的除去操作。该循环可包括某些辅助操作,例如扫除或清扫反应物或副产物中的一者。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送改性气体,(ii)从室清扫反应物气体,(iii)输送除去气体和任选的等离子体,以及(iv)清扫室。在一些实施方案中,可以非保形地执行蚀刻,包括使得所得的表面可以比起始表面更平滑,包括远远更平滑。
图1显示了ALE循环的两个示例性示意图。图表171a-171e示出了通用的ALE循环。在171a中,提供衬底。在171b中,使衬底的表面改性。在171c中,准备下一步。在171d中,蚀刻改性层。在171e中,除去改性层。类似地,图表172a-172e示出了用于蚀刻难熔金属膜的ALE循环的示例。在172a中,在衬底上提供暴露的Ru膜表面,其包含许多Ru金属原子。在172b中,引入到衬底中的例如包括氧气的改性气体使衬底的Ru金属表面改性。作为示例,在172b中的示意图示出了一些改性气体被吸附到衬底的表面上。尽管在图1中描绘了氧,但是可以使用与金属原子形成挥发性物质的合适的含氧化合物。在其他实施方案中,可以使用氯或与金属原子形成挥发性物质的合适的含氯气体,或者可以使用氧气和氯气的组合,或者合适的含氧气体和含氯气体的组合,以有利于具体的难熔金属,如下所述。在172c中,从室中清扫掉改性气体。在172d中,将除去气体(例如惰性气体,包括氮气、氩气、氖气、氦气或其组合,例如氩气)与等离子体一起引入,以形成由Ar+等离子体物质和箭头所示的氩离子(高能粒子),进行各向异性离子轰击以除去衬底的难熔金属表面。在此操作期间,向衬底施加偏置以将离子吸引向衬底。在172e中,清扫室并除去副产物。
循环可以仅部分蚀刻约0.1nm至约50nm的材料,或介于约0.1nm和约20nm之间的材料,或介于约0.1nm和约2nm之间的材料,或介于约0.1nm和约5nm之间的材料,或介于约0.2nm和约50nm之间的材料,或介于约0.2nm和约5nm之间的材料。在循环中蚀刻的材料量可以取决于以自限制方式蚀刻的目的。在一些实施方案中,ALE循环可以除去少于单层的材料。
ALE工艺条件,例如室压强、衬底温度、等离子体功率、频率和类型以及偏置功率,取决于待蚀刻的材料、用于修改待蚀刻材料的气体的组成、待蚀刻材料下面的材料以及用于除去改性材料的气体的组成。
ALE涉及将蚀刻工艺分成两个(或更多个)单独的操作:改性(操作A)和除去(操作B)。例如,改性操作使表面层改性,使得在除去操作期间可以容易地除去它。每个循环除去一层薄的材料,其中循环包括改性和除去,并且可以重复该循环直到达到所需的深度。协同作用意味着由于操作A和B的相互作用而发生有利的蚀刻。在ALE中,操作A和B在空间或时间上分开。
由于操作A和B的相互作用而发生有利的原子层蚀刻,并且使用以下“ALE协同作用”标准来量化协同相互作用的强度和影响。ALE协同作用的计算方法是:
Figure BDA0002710863240000061
其中EPC(“每循环蚀刻”)是在一个ALE循环中除去的衬底材料的厚度,通常是许多循环的平均值,并且A和B是独立改性和除去操作对EPC的贡献,分别通过独立执行这些操作为参考点来测量。
协同作用是捕获ALE行为的许多方面的测试,非常适合比较不同的ALE条件或系统。这是为什么在来自操作A的反应物被消耗之后停止在操作B中的蚀刻的基本机制。因此,它导致ALE益处(例如深宽比独立性、均匀性、平滑性和选择性)的自限制行为。
所公开的实施方案被构造成实现具有高协同作用的ALE工艺-理想的是协同作用为100%的ALE工艺。考虑到实际考虑因素,例如工艺条件的可达范围、晶片产量要求等,这种理想可能无法在所有情况下实现。但是,对于小于理想值100%的协同作用的容差将取决于应用和技术节点并且可能每一代后续技术都需要更高水平的理想值。
用于设计具有高协同作用的ALE工艺的所公开的实施方案基于实现表征整个ALE工艺的能量与实现具有接近100%的协同作用的蚀刻要克服的能垒之间的分层关系。
这种关系如下:
Emod<Edes<EO
EO、Emod和Edes由待蚀刻材料和反应物的性质决定。
EO是未改性材料的表面结合能,并且是保持原子不被从表面除去的内聚力。
Emod(有时为Eads)是使表面改性的吸附能垒,并且根据需要升高以离解反应物或重组表面原子。
Edes是解吸能垒,该能量用于从改性表面除去副产物。
所公开的实施方案适合于执行难熔金属的ALE,所述难熔金属包括Nb、Mo、Ta、W、Re、Ru、Rh、Os、Ir、Ti、V、Cr、Zr和Hf,特别是Mo、Ta和Ru。尽管长期以来一直在半导体加工领域对W进行集成和研究,包括我们最近在钨ALE除去和平滑化方面的工作,但迄今为止,其他难熔金属的ALE尚未得到任何有效的解决。特别地,各向异性的或定向的ALE在本文中被示出以提供对先前未进行过重大研究的难熔金属的有利的平滑化结果,包括极端平滑化结果。如上所述,其他高表面结合能材料也可以受益于ALE处理。
由于难熔金属具有高的Eo,因此是ALE的良好候选材料。如在“Atomic LayerEtching:Rethinking the Art of Etch”,Keren J.Kanarik and Richard A.Gottscho,Journal of Physical Chemistry Letters,9(16),pp.4814-4821,2018中进一步解释的那样,通过引用并入本文以用于其对与根据本公开的方面和实施方案相关的高Eo材料的解释。如本文中所解释的,就高协同作用和自限制ALE而言,期望高Eo材料表现良好。EO>6eV的优秀候选的ALE元素材料包括C,以及难熔金属,例如W、Ta、Mo、Re和Ru。其他高表面结合能(高EO)材料包括:氧化物,例如Al2O3,In2O3,MgO,SnO,Ta2O5,TiO2和ZrO2;碳化物,例如BC、SiC和WC;氮化物,例如BN、TaN、TiN;硫化物,例如ZnS和MoS2;以及超导体,例如YBCO。虽然已知具有高EO的材料(例如难熔金属和金刚石)是耐热、耐磨和抗腐蚀的,但分析表明,使用ALE蚀刻此类材料时,可控性更高(即,由于具有更高的协同作用而更加理想)。
实施方案可用于开发新的或改进的单元或集成工艺,以及用于半导体处理或其他应用的独立或群集硬件。该方法可以用适当的计算机软件来实现以供离线使用,或嵌入到用于配方开发、工艺鉴定或工艺控制的工艺工具中。在下面的讨论中,非限制性示例提供了ALE,其导致钼(Mo)、钌(Ru)和钽的平滑化,在某些情况下出乎意料的极端平滑化,例如与初始膜表面粗糙度相比,平滑度增加(粗糙度减小)大于10%RMS、大于20%、大于30%、大于40%、或大于50%、大于60%、大于70%、高达75%或以上、80%或以上、或90%RMS或以上、大致一定数量级。
在一个ALE循环内,已发现表面反应速率已达到平衡,而没有使晶界与晶粒产生差异。这带来了技术优势,包括:
1)可以使金属表面凹陷,同时保持或甚至减小所沉积的原始表面的粗糙度。
2)可以通过操纵改性气体化学物质来实现掩膜对衬里/填充介电材料的金属蚀刻的高选择性。可以选择与金属线反应的化学物质,同时不使周围的材料改性。可以在不同的金属之间以及金属与半导体或介电材料之间实现选择性。
结果表明,与蚀刻开始时的表面相比,ALE可以产生更平滑的表面。出乎意料的是,这种效果可能会特别显著,产生极大的平滑度,平滑度增加例如大于50%RMS,大于60%,大于70%,大于75%,大于80%或大于90%;例如使用作为改性气体的O2和用于除去的Ar等离子体进行100个循环的Ru ALE后,平滑度增加75%(0.8nm RMS粗糙度至0.2nm),如图2所示。图2示出了根据本公开的实施方案的在左侧的具有可见损伤、粗糙度或晶界的进入的衬底表面的扫描电子显微镜(SEM)图像,所述可见损伤、粗糙度或晶界在右侧全部通过ALE减小了。
合适的改性气体化学物质反应会形成挥发性化合物。热解吸温度测量值可以有效地参考。合适的改性气体可以包括O2、Cl2、BCl3、H2和CF4。例如,O2已被证明可有效蚀刻和平滑化C,并且使Ru极度平滑化。Cl2被证明可有效蚀刻和平滑化Ta和W,并且Cl2和O2的混合物已被证明可有效蚀刻和平滑化Mo。BCl3、H2和CF4对he氧化物有效。
在许多情况下,高协同作用,例如大于80%或大于90%,可增强平滑化。
合适的条件可以在以下范围内:
对于操作A(改性):
压强:大约50-100mT,例如50、60、70、80、90或100mT;
功率:没有偏置;源功率约为100-1000W,例如100、200、300、400、500、600、700、800、900或1000W;
温度:特定于材料,设置为避免自发蚀刻,例如,大约-70至150℃,例如-70℃、-60℃、-50℃、-40℃、-30℃、-20℃、-10℃、0℃、10℃、20℃、30℃、40℃、50℃、60℃、70℃、80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃;
时间:约0.1-5秒,例如0.1、0.2、0.5、1、2、3、4或5秒。
操作B(除去):
压强:约0.5-20mT,例如0.5、1、2、5、10、15、20mT
功率:约10-150V的偏压,例如10、20、30、40、50、60、70、80、90、100、11、120、130、140或150V的偏压;源功率100-1000W,例如100、200、300、400、500、600、700、800、900或1000W;
温度:特定于材料,设置为避免自发蚀刻,例如,大约-70至150℃,例如-70℃、-60℃、-50℃、-40℃、-30℃、-20℃、-10℃、0℃、10℃、20℃、30℃、40℃、50℃、60℃、70℃、80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃;
时间:对于在50eV下的离子通量约为2×1016/cm2*S,为约0.1-10秒,例如0.1、0.2、0.5、1、2、3、4、5、6、7、8、9或10秒。
其他数据显示在图3A-3B中,图3A至图3B呈现了数据,所述数据示出了与其他蚀刻工艺和化学物质相比,根据本公开的实施方案的针对Ru平滑获得的ALE结果的比较。图3A示出了与其他蚀刻工艺和化学物质相比,针对如本文所述的O2/Ar ALE以及Ru衬底,每循环蚀刻量(EPC)与Ar偏压的函数关系曲线图。图3B示出了相应衬底表面,即刚进入的衬底表面,单独的O2反应离子蚀刻(RIE)的衬底表面,单独的Ar溅射衬底表面和O2/Ar ALE的衬底表面的SEM图。单独使用O2 RIE和单独使用Ar溅射都会导致表面更粗糙,而使用O2/Ar ALE会导致表面更加平滑。
尽管本公开不受任何特定的操作理论的限制,但相信这种平滑化现象可能是由于高协同自限性ALE过程引起的,并且平滑可能如此极端可能有多种原因。在ALE改性操作中,较小的曲率半径具有可以优先刻蚀尖角的较高的反应性。角可以结合2到3个改性气体原子,而不是在平坦或凹入表面上的0到1个。此外,在ALE除去操作中,不存在反应物的惰性离子可以通过使表面顶部约1nm非晶化来使表面平滑,从而促进表面原子的扩散。相反,在RIE中,扩散被附着在待刻蚀材料的晶体结构上的刻蚀物质(例如Cl)的牢固结合所阻碍。
由于其表面较少的电子散射,预期得到的超平滑的纳米级金属膜的电阻率会降低,并且可能能够蚀刻得非常薄,同时仍保持连续状态,从而制造更好的阻挡金属,其在微小的3D特征内占据较小的体积。除了明显的半导体加工应用之外,还可以有半导体工业以外的应用。
另一示例是Ta ALE,其中实现了表面粗糙度降低约33%(1.04至0.7nm RMS)。
又一示例涉及通过也可以实现高选择性的ALE工艺进行的平滑化。已经使用Mo并且利用O2/Cl2改性化学物质已经证明了这种工艺。如图4和5所描述和描绘的。图4示出了Cl2/Ar ALE工艺在ALE之前保持了初始的Mo表面粗糙度。图5显示,与仅Cl2或仅O2的改性化学物质相比,Cl2和O2改性的混合物化学物质显示对Mo覆盖膜的蚀刻速率快10-20倍。同样,相对于SiO2电介质,10%O2/90%Cl2改性化学物质提供了高度(>400:1)的蚀刻选择性(相比之下,对于100%Cl2改性化学物质仅为10:1)。
这种工艺可以扩展到其他难熔金属或其他高表面结合能(高EO)材料,以根据特定的金属和工艺条件,相对于掩模材料以高蚀刻速率和/或高选择性提供超平滑化(例如,可灰化的无定形碳硬掩模)。化学物质可以是适当选择的氧化/氯化物质的混合物。例如,对于Ru,可以使用非常高的O2/Cl2比或者甚至100%的O2;并且对于Mo,可以使用非常低的O2:Cl2比(例如10%O2/90%Cl2)。
图6示出了根据本公开的在衬底上蚀刻难熔金属或其他高EO材料的方法的流程图。在601,提供具有暴露的难熔金属/高EO材料表面的衬底。在603,将难熔金属/高EO表面暴露于改性气体以改性该表面并形成改性的难熔金属表面。在607,将改性的难熔金属/高EO表面暴露于高能粒子,以相对于下伏的未改性的难熔金属/高EO表面优先除去改性的难熔金属/高EO表面,使得除去改性的难熔金属/高EO表面后暴露的难熔金属/高EO表面与在将衬底表面暴露于改性气体之前的衬底表面一样平滑或比其更平滑。改性和除去操作之后可以进行处理室的清扫605、609,并且通常重复进行直到获得期望水平的蚀刻和/或平滑度为止。
装置
现在描述在某些实施方案中可适用于原子层蚀刻(ALE)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还描述在2013年12月10日提交的并且名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方案中也可以使用电容耦合等离子体反应器。
图7示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体蚀刻装置700的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的Lam Research Corp.生产。所述感应耦合等离子体装置700包括由室壁701和窗711结构上限定的总处理室701。室壁701可以由不锈钢或铝制成。窗711可以由石英或其他介电材料制成。任选的内部等离子体栅格750将总处理室701分为上副室702和下副室703。在大多数实施方案中,等离子体栅格750可以被移除,从而利用由副室702和703构成的室空间。卡盘717定位在下副室703中在底部内表面附近。卡盘717被配置成接收和保持在其上执行蚀刻和沉积工艺的晶片719。卡盘717可以是当晶片719存在时用于支撑晶片719的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘717,并具有大致与晶片719(当晶片存在于卡盘717上方时)的顶面在同一平面的上表面。卡盘717还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片719使其离开卡盘717。卡盘717可以用RF功率源723充电。RF功率源723通过连接件727被连接到匹配电路721。匹配电路721通过连接件725连接到卡盘717。以这种方式,RF功率源723被连接到卡盘717上。
用于等离子体产生的元件包括位于窗711上方的线圈733。在一些实施方案中,所公开的实施方案中未使用线圈。线圈733由导电材料制成,并包括至少一整匝。在图7中所示的线圈733的示例包括三匝。线圈733的横截面用符号示出,具有“X”符号的线圈表示线圈733旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈733的RF功率源741。一般地,RF功率源741通过连接件745被连接到匹配电路739。匹配电路739通过连接件743连接到线圈733。以这种方式,RF功率源741被连接到线圈733。任选的法拉第屏蔽件749被定位在线圈733和窗711之间。法拉第屏蔽件749以相对于线圈733成隔开的关系被保持。法拉第屏蔽件749被设置在窗711的正上方。线圈733、法拉第屏蔽件749和窗711各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室701的介电窗上。
工艺气体(例如氯气、氩气、氧气等)可以通过位于上室702中的一个或多个主气体流入口760和/或通过一个或多个侧气体流入口770流入处理室701。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵740,可用于将工艺气体从处理室701抽出并维持处理室701内的压强。例如,该泵可用于在ALE清扫操作过程中排空室701。阀控制的导管可用于使真空泵流体连接在处理室701上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口760和/或770供给。在某些实施方案中,工艺气体可以仅通过主气体流入口760供给,或者仅通过侧气体流入口770供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件749和/或任选的栅格750可以包括使工艺气体能输送至室701的内部通道和孔。法拉第屏蔽件749和任选的栅格750中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室701的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口760和/或770引入到室701中。示例性液体前体包括SiCl4和硅酰胺。
射频功率从RF功率源741供给到线圈733以使RF电流流过线圈733。流过线圈733的RF电流产生围绕线圈733的电磁场。电磁场产生在上副室702内的感应电流。所生成的各离子和自由基与晶片719的物理和化学相互作用选择性地在晶片上蚀刻特征和沉积层。
如果使用等离子体栅格使得存在上副室702和下副室703二者,则感应电流作用于存在于上副室702中的气体上以在上副室702中产生电子-离子等离子体。任选的内部等离子体栅格750限制下副室703中的热电子的量。在一些实施方案中,设计和操作所述装置使得存在于下副室703中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子:阳离子比率。挥发性的蚀刻和/或沉积副产物可通过端口722从下副室703除去。本文公开的卡盘717可以在范围介于约-200℃和约600℃之间或约-20℃和约250℃之间的温度下操作,以处理衬底以蚀刻钽,卡盘717可以设定在低于约0℃的温度。温度取决于工艺操作和具体配方以及所使用的工具。
室701当安装在干净的房间或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供工艺气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室701。此外,室701可耦合在传送室上,从而允许使用典型的自动化由机器人进出室701传送半导体晶片。
在一些实施方案中,系统控制器730(其可包括一个或多个物理或逻辑控制器)控制处理室的一些或所有操作。系统控制器730可包括一个或多个存储器器件和一个或多个处理器。在一些实施方案中,所述装置包括当进行所公开的实施方案时用于控制流速和持续时间的开关系统。在一些实施方案中,所述装置可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学物质、配方选择、反应器的体系结构和其他因素。
在一些实现方式中,控制器730是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称作“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器730可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器730可以被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器730可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,该控制器730接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具。因此,如上所述,该控制器730可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图8描述了半导体工艺集群结构,其中各个模块与真空传送模块838(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的传送模块的配置可以被称为“集群工具架构”系统。气密室830(也被称为装载锁或传送模块)在具有四个处理模块820a-820d的VTM 838中示出,四个处理模块820a-820d可以被单独优化以执行各种制造工艺。例如,处理模块820a-820d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。衬底蚀刻处理模块中的一个或多个(820a-820d中的任意一个或多个)可以如本文所公开的被实施,即,用于引入改性气体,用于引入除去气体,以及根据所公开的实施方案的其它合适的功能。气密室830和处理模块820可以被称为“站”。每个站具有将站与VTM 838连接的小面836(facet 836)。在每个小面内部,传感器1-18被用于在衬底826在各站之间移动时检测衬底826的通过。
机械手822将晶片826在各站之间传输。在一个实施方案中,机械手822具有一个臂,而在另一实施方案中,机械手822具有两个臂,其中每个臂具有端部执行器824以拾取晶片(例如晶片826)以供运输。在大气传送模块(ATM)840中,前端机械手832用于从在负载端口模块(LPM)842中的晶片盒或前开式标准盒(FOUP)834传送晶片826到气密室830。处理模块820内的模块中心828是用于放置晶片826的一个位置。在ATM 840中的对准器844用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 842中的多个FOUP 834中的一个中。前端机械手832将晶片从FOUP 834传送到对准器844,其允许晶片826在被蚀刻或处理之前适当地居中。对准后,晶片826由前端机械手832移动到气密室830中。由于气密模块具有匹配ATM和VTM之间的环境的能力,因此晶片826能够在两种压强环境之间移动而不被损坏。从气密模块830,晶片826通过机械手822移动通过VTM 838并进入处理模块820a-820d中的一个。为了实现这种晶片移动,机械手822在其每一个臂上使用端部执行器824。一旦晶片826已被处理,则通过机械手822将其从处理模块820a-820d移动到气密模块830中。晶片826可以从这里通过前端机械手832移动到多个FOUP 834中的一个中或到对准器844。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图7所述的控制器可以用图8中的工具实施。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方案,但是显而易见的是,可在本公开和所附权利要求的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方案的工艺、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (21)

1.一种蚀刻在衬底上的难熔金属或其他高表面结合能(高EO)材料的方法,该方法包括:
提供包括暴露的难熔金属/高EO表面的衬底;
将所述难熔金属/高EO表面暴露于改性气体以使该表面改性并形成改性的难熔金属/高EO表面;以及
使所述改性的难熔金属/高EO表面暴露于高能粒子,以相对于下伏的未改性的难熔金属/高EO表面优先除去所述改性的难熔金属/高EO表面;
其中,在除去所述改性的难熔金属/高EO表面之后,暴露的所述难熔金属/高EO表面与在将所述衬底表面暴露于所述改性气体之前的衬底表面一样平滑或比其更平滑。
2.根据权利要求1所述的方法,其中,维持所述难熔金属/高EO表面的平滑度。
3.根据权利要求1所述的方法,其中,所述难熔金属/高EO表面的平滑度增加。
4.根据权利要求3所述的方法,其中,所述难熔金属/高EO表面的平滑度增加大于10%RMS、大于20%、大于30%、大于40%、大于50%、大于60%、大于70%、75%或更多、大于80%、或大于90%RMS、大致一定数量级的平滑度增加。
5.根据权利要求1所述的方法,其中,所述难熔金属/高EO表面是选自于由Nb、Mo、Ta、W、Re、Ru、Rh、Os、Ir、Ti、V、Cr、Zr和Hf组成的组中的难熔金属。
6.根据权利要求5所述的方法,其中,所述难熔金属选自于由Mo、Ta和Ru组成的组。
7.根据权利要求6所述的方法,其中,所述难熔金属是Ru。
8.根据权利要求6所述的方法,其中,所述难熔金属是Ta。
9.根据权利要求6所述的方法,其中,所述难熔金属是Mo。
10.根据权利要求1所述的方法,其中,所述改性气体包括O2或其他含氧气体。
11.根据权利要求1所述的方法,其中,所述改性气体包括Cl2或其他含氯气体。
12.根据权利要求1所述的方法,其中,所述改性气体包括O2或其他含氧气体与Cl2或其他含氯气体的混合物。
13.根据权利要求7所述的方法,其中,所述改性气体包括O2或其他含氧气体。
14.根据权利要求9所述的方法,其中所述改性气体包括O2或其他含氧气体与Cl2或其他含氯气体的混合物。
15.根据权利要求9所述的方法,其中,所述改性气体包括约10-20%的O2和约90-80%的Cl2的混合物。
16.根据权利要求1所述的方法,其中,所述高能粒子是惰性离子等离子体。
17.根据权利要求16所述的方法,其中,所述等离子体是Ar等离子体。
18.根据权利要求12所述的方法,其中,所述改性气体混合物对难熔金属具有选择性。
19.根据权利要求1所述的方法,其中,所述难熔金属/高EO是选自于由诸如Al2O3、In2O3、MgO、SnO、Ta2O5、TiO2和ZrO2之类的氧化物;诸如BC、SiC和WC之类的碳化物;诸如BN、TaN、TiN之类的氮化物;诸如ZnS和MoS2之类的硫化物;以及诸如YBCO之类的超导体组成的组中的材料。
20.根据权利要求1所述的方法,其中,所述衬底表面被平滑化以用于非半导体处理应用。
21.一种用于处理衬底的装置,所述装置包括:
处理室,其包括喷头和用于支撑具有材料的衬底的衬底支撑件,
等离子体产生器,以及
具有至少一个处理器和存储器的控制器,
其中所述至少一个处理器和所述存储器彼此通信连接,
所述至少一个处理器至少与流量控制硬件能操作地连接,并且
所述存储器存储用于蚀刻在衬底上的难熔金属/高EO的机器可读指令,所述指令包括:
提供包括暴露的难熔金属/高EO表面的衬底;
将所述难熔金属/高EO表面暴露于改性气体以使该表面改性并形成改性的难熔金属/高EO表面;以及
使所述改性的难熔金属表面暴露于高能粒子,以相对于下伏的未改性的难熔金属/高EO表面优先除去所述改性的难熔金属/高EO表面;
其中,在除去所述改性的难熔金属/高EO表面之后,暴露的所述难熔金属/高EO表面与在将所述衬底表面暴露于所述改性气体之前的衬底表面一样平滑或比其更平滑。
CN201980024060.8A 2018-03-30 2019-03-15 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化 Pending CN111937122A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862650469P 2018-03-30 2018-03-30
US62/650,469 2018-03-30
PCT/US2019/022520 WO2019190781A1 (en) 2018-03-30 2019-03-15 Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Publications (1)

Publication Number Publication Date
CN111937122A true CN111937122A (zh) 2020-11-13

Family

ID=68060425

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980024060.8A Pending CN111937122A (zh) 2018-03-30 2019-03-15 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化

Country Status (5)

Country Link
US (2) US11450513B2 (zh)
EP (1) EP3776636A4 (zh)
KR (2) KR102642011B1 (zh)
CN (1) CN111937122A (zh)
WO (1) WO2019190781A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN116034456A (zh) * 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻
WO2023183129A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation Fast atomic layer etch
KR20240006268A (ko) * 2022-07-06 2024-01-15 에스케이스페셜티 주식회사 금속 산화막의 원자층 식각 방법

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3798056A (en) 1972-04-05 1974-03-19 Bell Telephone Labor Inc Electroless plating process
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
JPH03263827A (ja) 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US6083413A (en) 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
EP0895282A3 (en) 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
KR100401655B1 (ko) * 2001-01-18 2003-10-17 주식회사 컴텍스 ALE를 이용한 알루미나(Al₂O₃) 유전체 층 형성에 의한 스마트 공정을 이용한 유니본드형 SOI 웨이퍼의 제조방법
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
EP1384257A2 (en) 2001-05-04 2004-01-28 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI314762B (en) 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
JP2006522480A (ja) 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7829152B2 (en) 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US9048088B2 (en) 2008-03-28 2015-06-02 Lam Research Corporation Processes and solutions for substrate cleaning and electroless deposition
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
WO2011013255A1 (ja) 2009-07-31 2011-02-03 株式会社 東芝 不揮発性記憶装置
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR101626954B1 (ko) 2010-03-29 2016-06-03 삼성전자주식회사 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
KR101340793B1 (ko) 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
SG11201509673SA (en) 2013-06-17 2016-01-28 Applied Materials Inc Method for copper plating through silicon vias using wet wafer back contact
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US10265742B2 (en) * 2013-11-25 2019-04-23 Applied Materials, Inc. Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
US9620382B2 (en) 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) * 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
TWI593015B (zh) 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6532066B2 (ja) 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9892935B2 (en) 2015-05-28 2018-02-13 International Business Machines Corporation Limiting electronic package warpage with semiconductor chip lid and lid-ring
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US20160381060A1 (en) 2015-06-23 2016-12-29 Veracode, Inc. Systems and methods for aggregating asset vulnerabilities
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2016172740A2 (en) 2015-11-10 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching reactants and plasma-free oxide etching processes using the same
WO2017099718A1 (en) * 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
TWI658512B (zh) 2016-02-23 2019-05-01 東京威力科創股份有限公司 原子層蝕刻用方法與系統
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10692724B2 (en) 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition

Also Published As

Publication number Publication date
US11450513B2 (en) 2022-09-20
US20210005425A1 (en) 2021-01-07
WO2019190781A1 (en) 2019-10-03
KR20200128185A (ko) 2020-11-11
EP3776636A4 (en) 2021-12-22
KR102642011B1 (ko) 2024-02-27
KR20240029787A (ko) 2024-03-06
US20220392747A1 (en) 2022-12-08
EP3776636A1 (en) 2021-02-17

Similar Documents

Publication Publication Date Title
TWI695412B (zh) 蝕刻及平滑化基板表面的方法
JP7320168B2 (ja) デザイナー原子層エッチング
US10784086B2 (en) Cobalt etch back
CN107464747B (zh) 使用ale和选择性沉积蚀刻衬底
US11450513B2 (en) Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
JP2017053024A (ja) タングステン堆積充填の強化のためのタングステンの原子層エッチング
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
CN112970096A (zh) 蚀刻室中的方向性沉积
US20230093011A1 (en) Atomic layer etching of molybdenum
WO2024006088A1 (en) Integrated high aspect ratio etching

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination