JP2018500767A - 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法 - Google Patents

逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法 Download PDF

Info

Publication number
JP2018500767A
JP2018500767A JP2017532659A JP2017532659A JP2018500767A JP 2018500767 A JP2018500767 A JP 2018500767A JP 2017532659 A JP2017532659 A JP 2017532659A JP 2017532659 A JP2017532659 A JP 2017532659A JP 2018500767 A JP2018500767 A JP 2018500767A
Authority
JP
Japan
Prior art keywords
metal
solid substrate
ale
acac
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017532659A
Other languages
English (en)
Inventor
スティーブン エム. ジョージ
スティーブン エム. ジョージ
ヨンヒ リ
ヨンヒ リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Colorado
Original Assignee
University of Colorado
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Colorado filed Critical University of Colorado
Publication of JP2018500767A publication Critical patent/JP2018500767A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、表面の原子層エッチング(ALE)を促進する方法を含む。ある態様において、方法は、金属前駆体およびハロゲン含有ガスの逐次反応を含む。本発明は、本発明の方法のいずれかに従って得られた固体基板を提供する。本発明は、本発明の方法のいずれかに従って得られた多孔性基板をさらに提供する。本発明は、本発明の方法のいずれかに従って得られた、パターニングされた固体基板をさらに提供する。

Description

関連出願の相互参照
本出願は、2014年12月18日に出願された米国仮特許出願第62/093,595号、および2015年4月30日に出願された第62/154,994号に対する35 U.S.C. § 119(e)の下での優先権を主張し、これらの全てはそれらの全体が参照により本明細書に組み入れられる。
連邦政府の助成による研究または開発に関する陳述
本発明は、国立科学財団によって与えられた助成金番号CHE1306131の下に政府支援により成された。政府は本発明において一定の権利を有する。
発明の背景
薄膜は、固体支持体または基板上に堆積された材料の層に対応し、ここで、層の厚みは、1ナノメートルの数分の1(単層)から数マイクロメートルの範囲内にある。薄膜は、例えば、電子工学(例えば、集積回路用の絶縁体、半導体、または導体)、光学コーティング(例えば、反射コーティング、反射防止コーティング、または自己洗浄ガラス)および包装(例えば、アルミニウム被覆PET膜)において用いられる。
薄膜堆積は、様々な気相化学および/または物理蒸着技術を使用して達成され得る。これらの堆積技術の多くが、層厚を数十ナノメートル内にコントロールすることができる。薄膜堆積はまた、液相および電気化学技術によって達成され、ここで最終膜の厚みは十分にコントロールされない。例としては、電気めっきおよびゾルゲル堆積による銅堆積が挙げられる。
気相堆積技術は、プロセスが主として化学的であるかまたは物理的であるかどうかに依存して、2つの広いカテゴリーに分類される。化学的堆積プロセスにおいて、前駆体は固体表面で化学変化を受け、表面上に固体層を残す。化学蒸着(CVD)プロセスにおいて、気相前駆体、しばしば、堆積される元素のハロゲン化物または水素化物は、表面上の基板と反応し、表面上に薄膜が形成される。原子層堆積(ALD)は、逐次的な自己制御表面反応に基づく薄膜成長技術である(George, 2010, Chem Rev110:111-131(非特許文献1))。ALDは、原子層制御で非常にコンフォーマルな薄膜を堆積することができる。ALDは、この10〜15年間にわたって急速に発展し、半導体デバイスの小型化などの多くの産業上の必要性を満たしてきた。ALDは、金属酸化物から金属までの広範囲の材料を堆積することができる(Miikkulainen, et al., 2013, J Appl Phys 113(非特許文献2))。ALDは、典型的に熱化学を使用して達成される。しかしながら、表面反応を増強するために、時にはプラズマALDが用いられる。
対照的に、原子層エッチング(ALE)は、逐次的な自己制御表面反応に基づく薄膜除去技術である(Agarwal & Kushner, 2009, J. Vacuum Sci & Tech A 27:37-50; Athavale & Economou, 1995, J Vacuum Sci & Tech A - Vacuum Surfaces and Films 13:966-971; Athavale & Economou, 1996, J Vacuum Sci Tech B 14:3702-3705(非特許文献3〜5))。ALEはALDの逆と見なされ得る。ALEは、原子層制御で薄膜を除去することができるべきである。多数のALDプロセスと比較して、ALEプロセスは多くの材料について定められていない。さらに、熱化学的プロセスはALEについて実証されていない。報告されたALEプロセスは、イオン増強またはエネルギー希ガス原子増強表面反応などの励起を使用している。文書化されたALEプロセスの大部分は、材料の表面上にハロゲンを吸着させている。次いで、イオンまたは希ガス原子衝撃が使用され、ハロゲン化合物を脱着させ、材料がエッチングされる。
ALD反応の逆である熱的自己制御ALE反応を開発することは困難である。ALD反応は、典型的に、好都合な熱化学反応である発熱反応である。これらの熱反応は、マイナスのΔG値(Gはギブズの自由エネルギーである)を伴って自発的である。ALD反応を逆に行うことは、これらの熱力学的理由のために、当然ながら可能ではない。熱的ALE反応についての課題は、自発反応を確実にするために発熱性でありかつマイナスのΔG値を示す、異なる反応物との代替の自己制御的な反応を見つけることである。
表面上で原子層エッチング(ALE)を行うための新規の方法について当技術分野において必要性がある。そのような方法は、自己制御的であり、原子レベル精度を可能にするべきである。本発明はこの必要性を満たす。
George, 2010, Chem Rev110:111-131 Miikkulainen, et al., 2013, J Appl Phys 113 Agarwal & Kushner, 2009, J. Vacuum Sci & Tech A 27:37-50 Athavale & Economou, 1995, J Vacuum Sci & Tech A - Vacuum Surfaces and Films 13:966-971 Athavale & Economou, 1996, J Vacuum Sci Tech B 14:3702-3705
発明の簡単な概要
本発明は、本発明の方法のいずれかに従って得られた固体基板を提供する。本発明は、本発明の方法のいずれかに従って得られた多孔性基板をさらに提供する。本発明は、本発明の方法のいずれかに従って得られたパターニングされた固体基板をさらに提供する。本発明は、本発明の方法のいずれかに従って得られた固体基板をさらに提供する。本発明は、本発明の方法のいずれかに従って得られた平滑化固体基板をさらに提供する。本発明は、不純物の少なくとも一部が、本発明の方法のいずれかに従って基板から除去されている、不純物を含む基板をさらに提供する。本発明は、3D構造フィーチャーが固体基板の表面上にあり、フィーチャーが本発明の方法のいずれかに従って縮小されている、固体基板をさらに提供する。
本発明は、第1金属化合物を含む固体基板上での原子層エッチング(ALE)を促進する方法を提供する。ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程をさらに含む。さらに他の態様において、単座配位子は、アルキル、水素化物、カルボニル、ハロゲン化物、アルコキシド、アルキルアミド、シリルアミドおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。さらに他の態様において、キレートは、β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネート、ジアミノアルコキシド、メタロセンおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。
ある態様において、固体基板を、単一の系中に含め、工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスと逐次的に接触させる。他の態様において、工程(a)および/または工程(b)後に固体基板を不活性ガスでパージする。さらに他の態様において、不活性ガスはN2またはArを含む。さらに他の態様において、工程(a)および/または工程(b)を、約100℃〜約450℃の範囲の値に等しいかまたはこれを超える温度で実行する。さらに他の態様において、工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスを別個の系中に含め、固体基板を一方の系から他方へ物理的に移動する。
ある態様において、第1金属化合物は、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。他の態様において、工程(a)を行う前に、元素金属を、対応する金属ハロゲン化物へ変換する。さらに他の態様において、固体基板の表面の少なくとも一部分上において、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される金属化合物の形成をもたらす化学処理へ、固体基板を最初に供する。
ある態様において、第1金属は、Al、Hf、Zr、Fe、Ni、Co、Mn、Mg、Rh、Ru、Cr、Si、Ti、Ga、In、Zn、Pb、Ge、Ta、Cu、W、Mo、Pt、Cd、Snおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。他の態様において、第1金属化合物を不活性基板上に堆積する。さらに他の態様において、不活性基板はSiを含む。さらに他の態様において、不活性基板はSiウエハーを含む。
ある態様において、第2金属は、Sn、Ge、Al、B、Ga、In、Zn、Ni、Pb、Si、Hf、Zr、Tiおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。
ある態様において、β-ジケトネートは、acac(アセチルアセトネート)、hfac(ヘキサフルオロアセチルアセトネート)、tfac(トリフルロアセチルアセトネート(trifluroacetylacetonate))、ttmhd(テトラメチルヘプタンジオネート)およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。
ある態様において、ハロゲン含有ガスはハロゲン化水素を含む。他の態様において、ハロゲン化水素はHFを含む。さらに他の態様において、ハロゲン化水素はHCl、HBrまたはHIを含む。
ある態様において、ハロゲン含有ガスは、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3、I2およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。他の態様において、ハロゲン含有ガスは、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3、I2、CF4、CF2Cl2、CCl4、CF3Cl、C2F6、CHF3およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、ハロゲン含有ガスはプラズマ中でイオン化されて、少なくとも1つのハロゲンラジカルおよび/またはイオンを生成する。
ある態様において、ガス状の第2金属前駆体およびハロゲン含有ガスとの逐次接触によって、固体基板を前処理する。他の態様において、第1金属化合物を、原子層堆積を使用して形成する。さらに他の態様において、工程(a)および工程(b)の各サイクルは自己制御的である。さらに他の態様において、工程(a)および工程(b)の各サイクルは、固体基板のほぼ同じエッチング速度および/または質量損失を可能にする。さらに他の態様において、結果として生じるエッチングされた固体基板は、出発固体基板とほぼ同じまたはより低い表面粗度を有する。さらに他の態様において、エッチングされた固体基板の密度は、出発固体基板のものとほぼ同じである。さらに他の態様において、エッチングされた固体基板の屈折率は、最初の固体基板のものとほぼ同じである。
ある態様において、固体基板は少なくとも1つの追加の金属化合物を含み、第1金属化合物のALEは少なくとも1つの追加の金属化合物のALEと比べて選択的である。他の態様において、ALE速度を固体基板温度によってコントロールする。さらに他の態様において、空間的ALE技術を使用してALEを行う。
本発明は、多孔性基板において細孔を形成するおよび/または拡大する方法であって、ここで、多孔性基板が第1金属化合物を含む方法をさらに提供する。ある態様において、方法は、(a)多孔性基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された多孔性基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
本発明は、固体基板をパターニングする方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有する方法をさらに提供する。ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
ある態様において、パターニングされた固体基板を得る。他の態様において、出発固体基板の表面を少なくとも部分的にマスクし、それによって固体基板の表面の一部分のみを露出させる。さらに他の態様において、出発固体基板の表面をフォトレジストで少なくとも部分的にマスクする。さらに他の態様において、モノレイヤーセルフアセンブリング、ナノインプリントおよびスタンピングからなる群より選択される技術を使用して、固体基板をさらにパターニングする。
本発明は、固体基板の一部分を選択的に除去する方法であって、ここで、固体基板が第1金属化合物を含む方法をさらに提供する。ある態様において、方法は、(a)固体基板をガス状金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
ある態様において、固体基板は炭素複合材を含む。
本発明は、固体基板の表面を平滑化する方法であって、ここで、固体基板が第1金属化合物を含む方法をさらに提供する。ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
本発明は、第1金属化合物を含む固体基板上での化学蒸気エッチング(CVE)を促進する方法をさらに提供する。ある態様において、方法は、固体基板をガス状の第2金属前駆体およびハロゲン含有ガスと同時に接触させる工程を含み、ここで、第2金属のガス状化合物は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。他の態様において、単座配位子は、アルキル、水素化物、カルボニル、ハロゲン化物、アルコキシド、アルキルアミド、シリルアミドおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。さらに他の態様において、キレートは、β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネート、ジアミノアルコキシド、メタロセンおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。
ある態様において、接触させる工程を、約100℃〜約450℃の範囲の値に等しいかまたはこれを超える温度で実行する。他の態様において、第1金属化合物は、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。さらに他の態様において、第1金属は、Al、Hf、Zr、Fe、Ni、Co、Mn、Mg、Rh、Ru、Cr、Si、Ti、Ga、In、Zn、Pb、Ge、Ta、Cu、W、Mo、Pt、Cd、Snおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む。
本発明は、固体基板から不純物の少なくとも一部を除去する方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有する方法をさらに提供する。ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
本発明は、固体基板の表面上の少なくとも1つの3D構造フィーチャーのサイズを縮小する方法であって、ここで、固体基板の表面が第1金属化合物を含む方法をさらに提供する。ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程を含む。さらに他の態様において、方法は、(c)任意で、工程(a)および(b)を1回または複数回繰り返す工程を含む。
本発明を例示する目的のために、本発明のある態様を図面に表す。しかしながら、本発明は、図面に表された態様の正確な配置および手段に限定されない。
Al2O3 ALEについて使用されるSn(acac)2およびHF-ピリジン前駆体の図式的表示である。 200℃で逐次的なSn(acac)2およびHF曝露を使用するAl2O3 ALEについての時間に対する質量変化を示すグラフである。 図2の直線的領域の拡張を示すグラフであり、200℃での逐次的なSn(acac)2およびHF曝露中の、個々の質量変化を示す。 (図4A)Sn(acac)2および(図4B)HFについての、200℃での前駆体曝露時間に対する1サイクル当たりの質量変化(MCPC)を示す一連のグラフである。 150℃で逐次的なSn(acac)2およびHF曝露を使用するAl2O3 ALEについての時間に対する質量変化を示すグラフである。 図5の直線的領域の拡張を示すグラフであり、150℃での逐次的なSn(acac)2およびHF曝露中の、個々の質量変化を示す。 Sn(acac)2およびHF曝露を使用するAl2O3 ALEについての、(図7A)ΔMSnおよびΔMHFならびに(図7B)MCPCの温度依存を示す一連のグラフである。 X線反射率スキャンを示すグラフであり、Si(100)上のAl2O3膜についての、入射角に対するx線強度を示す。(a)150 Al2O3 ALDサイクルを使用して成長させた最初のAl2O3膜;ならびにSn(acac)2およびHF曝露を使用する様々な数のAl2O3 ALEサイクル後のAl2O3膜:(b)50サイクル、(c)100サイクル、(d)200サイクルおよび(e)400サイクル。 (a)150 Al2O3 ALDサイクルおよび(b)100 Al2O3 ALDサイクルを使用して成長させた最初のAl2O3 ALD膜についての、Sn(acac)2およびHFを使用するAl2O3 ALEサイクル数に対するAl2O3膜厚のX線反射率および分光エリプソメトリー測定を示すグラフである。 Sn(acac)2およびHFを使用する200℃でのAl2O3 ALEサイクル数に対するAl2O3膜中のAl-O振動について、FTIR分光法を使用して記録された絶対赤外線吸光度を示すグラフである。 200℃でのAl2O3膜上での第1のSn(acac)2曝露および第1のHF曝露後にFTIR分光法を使用して記録された絶対赤外線吸光度を示すグラフである。 200℃でのAl2O3膜上での第1のSn(acac)2曝露および第1のHF曝露後の絶対赤外線吸光度を示すグラフである。 200℃、250℃および300℃でSn(acac)2およびHFを使用するAl2O3 ALE中の絶対赤外線吸光度FTIRスペクトルを示すグラフである。 200℃、250℃および300℃でSn(acac)2およびHFを使用するAl2O3 ALE中の赤外線吸光度FTIR差スペクトルを示すグラフである。 Al2O3 ALEについての非限定的な提案される反応機構の図であり、(A)Sn(acac)2反応および(B)HF反応を示す。 200℃で逐次的なSn(acac)2およびHF曝露を使用するHfO2 ALEについての時間に対する質量変化を示すグラフである。 図16の直線的領域の拡張を示すグラフである。この拡張は、200℃での逐次的なSn(acac)2およびHF曝露中の、個々の質量変化を強調する。 (図18A)HF曝露を1.0 sに固定したSn(acac)2、および(図18B)Sn(acac)2曝露を1.0 sに固定したHFについての、200℃での曝露時間に対するMCPCを示すグラフである。 150℃〜250℃の様々な温度で逐次的なSn(acac)2およびHF曝露を使用するHfO2 ALEについての時間に対する質量変化を示すグラフである。 Sn(acac)2およびHF曝露を使用する温度に対するHfO2 ALEについての、(図20A)ΔMSnおよびΔMHFならびに(図20B)1サイクル当たりの総質量変化(MCPC)を示すグラフである。 X線反射率スキャンを示すグラフであり、Si(100)上のHfO2膜についての、入射角に対するx線強度を示す。(a)150 HfO2 ALDサイクルを使用して成長させた最初のHfO2膜;ならびにSn(acac)2およびHFを使用する様々な数のHfO2 ALEサイクル後のHfO2膜:(b)50サイクル、(c)100サイクル、(d)200サイクルおよび(e)400サイクル。 (a)150 HfO2 ALDサイクルおよび(b)100 HfO2 ALDサイクルを使用して成長させた最初のHfO2 ALD膜についての、Sn(acac)2およびHFを使用するHfO2 ALEサイクル数に対するHfO2膜厚のX線反射率および分光エリプソメトリー測定を示すグラフである。 200℃でSn(acac)2およびHFを使用するHfO2 ALEサイクル数に対するSiO2粒子上のHfO2膜中のHf-O振動について、FTIR分光法を使用して記録された絶対赤外線吸光度を示すグラフである。 HfO2 ALEについての提案される反応機構の図であり、(A)Sn(acac)2反応および(B)HF反応を示す。 300℃で、反応物としてTMAおよびHFを使用する100サイクルのAl2O3 ALEの水晶振動子マイクロバランス結果を示すグラフである。1サイクル当たりの質量変化(MCPC)は-15.9 ng/(cm2サイクル)であった。 定常状態領域における図25の拡張を示すグラフであり、3 Al2O3 ALEサイクルを示す。TMA曝露後の質量変化は-29.0 ng/(cm2サイクル)であった。HF曝露後の質量変化は+13.1 ng/(cm2サイクル)であった。 TMAおよびHFを使用するAl2O3 ALEの図式的説明である。 金属フッ化物層およびH2Oを形成するための、HFを使用しての金属酸化物表面のフッ素化の図式的説明である。その後、金属前駆体が配位子交換プロセスによって金属フッ化物層を除去する。 200℃で逐次的なSn(acac)2およびHF曝露を使用するAlF3 ALEについての時間に対する質量変化を示すグラフである。1サイクル当たりの質量変化(MCPC)は-6.1 ng/(cm2サイクル)であった。 図29の直線的領域の拡張を示すグラフである。この拡張は、200℃での逐次的なSn(acac)2およびHF曝露中の、個々の質量変化を強調する。 AlF3 ALEについての提案される反応機構の図であり、(A)Sn(acac)2反応および(B)HF反応を示す。 (図32A)TiN、SiO2、Si3N4、HfO2、ZrO2およびAl2O3を含む様々な材料についての200℃でのSn(acac)2およびHF曝露数に対する膜厚、ならびに(図32B)HfO2、ZrO2およびAl2O3についてのエッチング速度を示す一連のグラフである。 (図33A)TiN、SiO2、Si3N4、HfO2、ZrO2およびAl2O3を含む様々な材料についての300℃でのAl(CH3)3(トリメチルアルミニウムまたはTMA)およびHF曝露数に対する膜厚、ならびに(図33B)HfO2、ZrO2およびAl2O3についてのエッチング速度を示す一連のグラフである。 (図34A)TiN、SiO2、Si3N4、HfO2、ZrO2およびAl2O3を含む様々な材料についての250℃でのAlCl(CH3)2(ジメチルアルミニウムクロリドまたはDMAC)およびHF曝露数に対する膜厚、ならびに(図34B)HfO2、ZrO2およびAl2O3についてのエッチング速度を示す一連のグラフである。 250℃で、反応物としてTMAおよびHFを使用する3サイクルのGaN ALEについての水晶振動子マイクロバランス結果を示すグラフである。1サイクル当たりの質量変化(MCPC)は-35 ng/(cm2サイクル)であった。TMA曝露後の質量変化は-60 ng/(cm2サイクル)であった。HF曝露後の質量変化は+25 ng/(cm2サイクル)であった。 TMAおよびHFを使用するGaN ALEの図式的説明である。
発明の詳細な説明
本発明は、金属前駆体(例えば、金属単座錯体および/または金属キレート、例えば、これに限定されないが、β-ジケトネート)およびハロゲン含有ガスを用いての逐次的な自己制御熱反応を使用する、表面の原子層エッチング(ALE)を促進する新規の方法の予想外の発見に関する。ある態様において、逐次反応は自己制御的である。他の態様において、エッチング速度は温度と共に増加する。さらに他の態様において、エッチング速度は数回のサイクルにわたって一定である。さらに他の態様において、結果として生じるエッチングされた表面は滑らかである。
非限定的な例において本明細書で記載されるように、Sn(acac)2およびHFの逐次曝露をAl2O3の熱的ALEのために用いた。別の非限定的な例において、Sn(acac)2およびHFの逐次曝露をHfO2の熱的ALEのために用いた。さらに別の非限定的な例において、Al(CH3)3およびHFの逐次曝露をAl2O3の熱的ALEのために用いた。さらに別の非限定的な例において、Sn(acac)2およびHFの逐次曝露をAlF3の熱的ALEのために用いた。さらに別の非限定的な例において、Al(CH3)3およびHFの逐次曝露をGaNの熱的ALEのために用いた。さらに別の非限定的な例において、AlCl(CH3)2およびHFの逐次曝露をZnSの熱的ALEのために用いた。さらに別の非限定的な例において、Al(CH3)3およびHFまたはAlCl(CH3)2およびHFのいずれかの逐次曝露をZnOの熱的ALEのために用いた。さらに別の非限定的な例において、Ga[N(CH3)2]3およびHFの逐次曝露をGa2O3およびZnOの熱的ALEのために用いた。
ある態様において、Sn(acac)2およびHFを使用するAl2O3についての全体的なエッチング反応は以下:
Al2O3 + 6 Sn(acac)2 + 6HF → 2 Al(acac)3 + 6 SnF(acac) + 3 H2O
である。この反応において、反応物Sn(acac)2は基板へacacを供与し、Al(acac)3が生成される。反応物HFは、SnF(acac)およびH2Oが反応生成物として去ることを可能にする。Al(acac)3は、高揮発性を有する安定したβ-ジケトネートである。ある態様において、安定したSn-F結合の形成は反応を容易にする。同様の反応がHfO2 ALEについて生じる。同様の反応がまた、反応物としてTMAおよびHFを使用するAl2O3 ALEについて生じる。類似した反応が、反応物としてTMAおよびHFを使用するGaN ALEについて生じる。同様の反応が、反応物としてAlCl(CH3)2およびHFを使用するZnS ALEについて生じる。類似した反応がまた、反応物としてAl(CH3)3およびHFまたはAlCl(CH3)2およびHFのいずれかを使用するZnO ALEについて生じる。類似した反応がまた、反応物としてGa[N(CH3)2]3およびHFを使用するGa2O3およびZnO ALEについて生じる。
水晶振動子マイクロバランス(QCM)研究を使用して、熱的Al2O3 ALE反応を調べた。X線反射率(XRR)および分光エリプソメトリー(SE)解析を使用して、Al2O3膜厚を測定した。フーリエ変換赤外(FTIR)分光法を使用して、Al2O3 ALE反応中の振動スペクトルを得た。これらの同じ技術をまた、熱的HfO2 ALEおよびAlF3 ALE反応を研究するために使用した。ある態様において、QCM、XRRおよびSE測定は、Al2O3エッチングが反応サイクル数に対して直線的であるかどうか評価するのを助ける。ある態様において、QCM測定は、Al2O3 ALEが反応物曝露時間に対して自己制御的であるかどうか評価するのを助ける。ある態様において、FTIR解析は、Al2O3 ALE中の表面化学種を同定するのを助ける。他の態様において、同様の測定が、HfO2 ALE、AlF3 ALE、GaN ALE、ZnO ALE、ZnS ALEおよびGa2O3 ALEを解析するために使用される。逐次的な自己制御熱反応に基づくALEについてのこの新しい方法は、ハロゲン吸着およびイオンまたはエネルギー原子衝撃を使用する以前の方法を超えてALE反応についての戦略を広げる。
定義
本明細書において使用される場合、以下の用語の各々は、このセクションにおけるそれと関連する意味を有する。
本明細書において使用される場合、特に定義されない限り、全ての技術用語および科学用語は、本発明が属する技術分野における当業者によって一般的に理解されるのと同じ意味を一般に有する。一般に、本明細書において使用される命名法および表面化学における実験室手順は、当技術分野において周知であり一般的に用いられるものである。
本明細書において使用される場合、冠詞「1つの(a)」および「1つの(an)」は、1つまたは1つを超える(即ち、少なくとも1つの)冠詞の文法上の目的語を指す。例として、「要素(an element)」は、1つの要素または1つを超える要素を意味する。
本明細書において使用される場合、用語「約」は、当業者によって理解され、それが使用される文脈においてある程度変動する。本明細書において使用される場合、「約」は、量、時間的な期間などのような測定可能な値を参照する場合、指定された値からの±20%、±10%、±5%、±1%、または±0.1%の変動を包含するように意図され、これは、そのような変動は開示される方法を実施するために適切であるためである。
本明細書において使用される場合、用語「acac」はアセチルアセトネートを指す。
本明細書において使用される場合、用語「ALD」は原子層堆積を指す。
本明細書において使用される場合、用語「ALE」は原子層エッチングを指す。
本明細書において使用される場合、用語「CVD」は化学蒸着を指す。
本明細書において使用される場合、用語「CVE」は化学蒸気エッチングを指す。
本明細書において使用される場合、用語「DMAC」はジメチルアルミニウムクロリドを指す。
本明細書において使用される場合、用語「教材」は、本発明の組成物および/または方法の有用性を伝えるために使用され得る刊行物、録音、図表、または任意の他の表現媒体を含む。ある態様において、教材は、本発明の組成物の製造および/または方法の実施に有用なキットのパーツであり得る。キットの教材は、例えば、本発明の組成物を含有する容器へ貼られ得るか、または組成物を含有する容器と一緒に出荷され得る。あるいは、教材は、受取人が協力的に教材および組成物を使用する意図で、容器とは別に出荷され得る。例えば、教材はキットの使用についてのものである;組成物の使用説明書;または組成物の使用説明書。
本明細書において使用される場合、用語「FTIR」はフーリエ変換赤外分光法を指す。
本明細書において使用される場合、用語「金属キレート」は、金属と少なくとも1つのキレート(または多座)配位子との間で形成される化合物を指す。ある態様において、キレート配位子は、β-ジケトネート、チオ-β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネートおよび(置換または非置換)シクロペンタジエニルからなる群より選択される少なくとも1つである。他の態様において、金属キレート錯体中のキレート配位子の全てが同一である(例えば、全ての基がβ-ジケトネートである)。他の態様において、少なくとも2つの異なるキレート配位子がキレート中に存在する。
本明細書において使用される場合、用語「金属前駆体」は、金属キレート、金属単座錯体およびそれらの任意の組み合わせを指す。
本明細書において使用される場合、用語「金属単座錯体」は、金属と少なくとも1つの単座配位子との間で形成された化合物を指す。ある態様において、単座配位子は、アルキル、水素化物、カルボニル(一酸化炭素)、ハロゲン化物、アルコキシド、アルキルアミドおよびシリルアミドからなる群より選択される少なくとも1つである。他の態様において、金属単座錯体中の単座配位子の全てが同一である(例えば、全てのアルキル基がメチルである)。他の態様において、少なくとも2つの異なる単座配位子が単座錯体中に存在する(例えば、アルキル基はメチルおよびエチルを含む)。
本明細書において使用される場合、用語「MCPC」は、1サイクル当たりの質量変化を指す。
本明細書において使用される場合、用語「PID」は比例-積分-微分を指す。
本明細書において使用される場合、用語「QCM」は、水晶振動子マイクロバランスを指す。
本明細書において使用される場合、用語「SE」は、分光エリプソメトリーを指す。
本明細書において使用される場合、用語「TDMAG」は、トリスジメチルアミドガリウムを指す。
本明細書において使用される場合、用語「TDMAH」は、テトラキスジメチルアミドハフニウムを指す。
本明細書において使用される場合、用語「TMA」は、トリメチルアルミニウムを指す。
本明細書において使用される場合、用語「XRR」は、X線反射率を指す。
本開示の全体にわたって、本発明の様々な局面は範囲形式で提示され得る。範囲形式での記載は単に便宜上および簡潔化のためであり、本発明の範囲に対する柔軟性のない限定として解釈されるべきでないことが理解されるべきである。従って、範囲の記載は、全ての可能な部分範囲およびその範囲内の個々の数値、ならびに、適切な場合は、範囲内の数値の部分的な整数を具体的に開示したものと見なされるべきである。例えば、1〜6のような範囲の記載は、部分範囲、例えば、1〜3、1〜4、1〜5、2〜4、2〜6、3〜6など、ならびにその範囲内の個々の数値、例えば、1、2、2.7、3、4、5、5.3、および6を具体的に開示したものと見なされるべきである。これは、範囲の幅にかかわらず当てはまる。
開示
本発明は、金属前駆体(例えば、金属単座錯体または金属キレート、例えば、これに限定されないが、β-ジケトネート)およびガス状ハロゲン化物源を用いての逐次的な自己制御熱反応を使用する、表面の原子層エッチングを促進する新規の方法の予想外の発見に関する。ある態様において、逐次反応は自己制御的である。他の態様において、エッチング速度は温度と共に増加する。さらに他の態様において、エッチング速度は数回のサイクルにわたって一定である。さらに他の態様において、結果として生じるエッチングされた表面は滑らかである。
非限定的な例において本明細書で実証されるように、Al2O3の原子層エッチング(ALE)を、反応物としてSn(acac)2およびHFを用いて逐次的な自己制御熱反応を使用して行った。同様の実証をHfO2 ALEについて行った。Al2O3サンプルは、トリメチルアルミニウムおよびH2Oを使用して成長させたAl2O3原子層堆積(ALD)膜であり;HF源はHF-ピリジンであった。Al2O3は、反応物サイクル数に対して原子レベル精度で直線的にエッチングされた。Al2O3 ALEは150〜250℃の温度で生じた。
水晶振動子マイクロバランス(QCM)研究は、逐次的なSn(acac)2およびHF反応が反応物曝露に対して自己制御的であったことを明らかにした。QCM測定はまた、MCPCが、150℃での-4.1 ng/(cm2サイクル)から250℃での-18.3 ng/(cm2サイクル)へ温度と共に増加したことを決定した。これらの1サイクル当たりの質量変化(MCPC)は、3.0 g/cm3のAl2O3 ALD膜密度に基づいて、150℃での0.14Å/サイクルから250℃での0.61Å/サイクルのエッチング速度に対応する。X線反射率(XRR)解析は、Al2O3の直線的除去を確認し、200℃での0.27Å/サイクルのAl2O3 ALEエッチング速度を測定した。XRR測定はまた、Al2O3膜がAl2O3 ALEに対して粗面化しなかったことを実証した。フーリエ変換赤外(FTIR)分光解析は、Al2O3 ALE中のacacおよびAlF3表面化学種を同定した。
いかなる理論によっても限定されることを望まないが、エッチング機構は、反応生成物としてAl(acac)3、SnF(acac)およびH2Oをもたらし得る。提案される反応機構において、反応物Sn(acac)2は、配位子交換を受け、フッ素を受容してSnF(acac)を形成し、acacを基板へ供与してAl(acac)3を生成する。反応物HF中の水素原子は、H2Oが反応生成物として去ることを可能にする。反応物HFはまた、Al2O3の層をAlF3へ変換する。いかなる理論によっても限定されることを望まないが、AlF3吸着層は、Al2O3 ALE中の重要な反応中間体である。
本明細書に記載の熱的ALE機構は、様々な金属化合物、例えば、これらに限定されないが、金属酸化物、窒化物、リン化物、硫化物およびヒ化物に適用可能である。例えば、反応は、反応物としてSn(acac)2およびHFを用いて実行され得る。少なくとも部分的にSn-F結合エンタルピー(二原子SnF分子中466.5 kJ/モル)によって駆動されて、Sn(acac)2はフッ素と反応してSnF(acac)を形成する。SnF(acac)を形成するためのSn(acac)2とフッ素との反応は、Sn(acac)2がacac配位子を表面へ放出することを可能にする。金属は、匹敵する安定性でacac配位子と錯体を形成する(Morozova, et al., 2008, J. Phys. Chem. Solids 69:673-679)。HFからの水素は、それぞれ、金属酸化物、金属窒化物、金属リン化物、硫化物またはヒ化物からの、それぞれ、酸素、窒素、リンまたは硫黄と結合し、それぞれ、H2O、NH3、PH3、H2SまたはAsH3を形成することができる。
ある態様において、エッチングの量は、HF曝露後に形成され、次いでβ-ジケトネート曝露によって除去される、金属フッ化物の量によって定められる。他の態様において、金属フッ化物はHF曝露中は揮発性でなく、エッチングは自己制御的である。さらに他の態様において、金属フッ化物を形成するための反応は熱力学的に好都合である。
様々な金属酸化物、金属窒化物、金属リン化物、金属硫化物および金属ヒ化物についてのALE反応を表1に示す。金属フッ化物吸着層は、これらのALE反応における重要な反応中間体である。金属硫化物を除いて、表1は、金属フッ化物の形成反応の全てがマイナスのΔG値を有し、従って自発的であることを示している。多くの他の金属酸化物、金属窒化物、金属リン化物、金属硫化物および金属ヒ化物のALEが、Sn(acac)2およびHFを使用して行われ得る。さらに、金属フッ化物自体のALEもまた、Sn(acac)2およびHFを使用して行われ得る。反応効率は、金属アセチルアセトネート反応生成物の揮発性に依存し得る。例えば、Al2O3に加えて、HfO2、ZrO2、Fe2O3、Co2O3、Cr2O3、Sc2O3およびGa2O3を含む、多くの金属酸化物が、反応物Sn(acac)2およびHFによってエッチングされ得る。これらの金属酸化物は全て、150℃において約1 Torrの蒸気圧で、エッチング生成物、M(acac)3またはM(acac)4を生成する。
元素金属のALEもまた、Sn(acac)2およびHFを使用して可能である。元素金属のALEは、元素金属を酸化または窒化して金属酸化物または金属窒化物を形成し、次いで、金属酸化物または金属窒化物それぞれについてALE反応を用いることによって、行われ得る。元素金属のALEはまた、元素金属をリン化、硫化またはヒ化して金属リン化物、金属硫化物または金属ヒ化物を形成し、次いで、金属リン化物、金属硫化物または金属ヒ化物それぞれについてALE反応を用いることによって、行われ得る。
ある態様において、元素金属である金属化合物は、金属フッ化物を形成するために直接フッ素化される。その後、金属フッ化物は、金属前駆体を使用して除去され得る。他の態様において、金属前駆体はその配位子のうちの1つを表面へ放出する。さらに他の態様において、配位子は金属フッ化物の金属と反応し、揮発性金属化合物を形成する。非限定的な例において、Ni ALEは元素金属ALEの例である。ニッケルの表面が先ずフッ素化され、NiF2が形成され得る。この反応は、予想される熱化学に基づいて好都合である。
Ni + XeF2 → NiF2 + Xe ΔG = -126 kcal (200℃で) (1)
その後、Sn(acac)2が金属前駆体として働き、NiF2からフッ素を受容し得る。Sn(acac)2からのacac配位子は、次いで、Niと反応してNi(acac)2を形成し得る。全体的な反応は以下:
NiF2 + 2Sn(acac)2 → 2SnF(acac) + Ni(acac)2 (2)
である。
本発明において意図される元素金属としては、Ni、Cr、Co、Cu、In、Fe、Pb、Ag、Sn、TiおよびZnが挙げられるが、これらに限定されない。本発明において意図される追加の元素金属としては、揮発性金属フッ化物を形成する金属、例えば、Ir、Mo、Pt、Re、Rh、Ru、TaおよびWが挙げられるが、これらに限定されず、この場合、基板温度は、金属フッ化物の自発的脱着が妨げられるか最小限にされる温度に維持される。
本発明は、金属ケイ化物、金属ホウ化物および金属炭化物のALEをさらに意図する。本明細書の他の箇所において議論される他の金属化合物と同様に、金属ケイ化物、金属ホウ化物および金属炭化物は、先ず、HFまたはNF3などのフッ素前駆体を使用してフッ素化され得る。金属フッ化物は、次いで、Sn(acac)2などの金属前駆体を使用するその後の配位子交換反応によって除去され得る。
Ni2Si ALEについての逐次反応はNi ALEについての反応と同様である。HFまたはNF3のいずれかとのフッ素化反応は以下:
(A) Ni2Si + 8HF → 2NiF2 + SiF4 + 4H2 (3)
または
(A’) Ni2Si + 8/3NF3 → 2NiF2 + SiF4 + 4/3N2 (4)
である。
HFまたはNF3によるNi2Siのフッ素化は、200℃において、それぞれ、ΔG = -86 kcalまたはΔG = -193 kcalで両方とも自発的である。(B)反応:
(B) NiF2 + 2Sn(acac)2 → Ni(acac)2 + 2SnF(acac) (5)
によって示されるように、Sn(acac)2は次いで配位子交換反応を受け、Ni(acac)2反応生成物が生成される。
Ni(acac)2反応生成物は高揮発性を有する。同様の反応が、金属ホウ化物および金属炭化物について生じ得る。金属ホウ化物および金属炭化物についての反応生成物は、金属フッ化物およびそれぞれBF3またはCF4のいずれかである。
本発明は、金属セレン化物および金属テルル化物のALEをさらに意図する。本明細書の他の箇所において議論される他の金属化合物と同様に、金属セレン化物および金属テルル化物は、先ず、フッ素前駆体を使用してフッ素化され得る。金属フッ化物は、次いで、金属前駆体を使用するその後の配位子交換反応によって除去され得る。例えば、CdSe ALEまたはCdTe ALEについて、F2がフッ素前駆体として使用され得、Sn(acac)2が金属前駆体として使用され得る。
(表1)様々な材料についての原子層エッチング反応(200℃でのΔG)
Figure 2018500767
ある態様において、Sn(acac)2に加えて他のSn β-ジケトネートもまたエッチング反応物として役立ち得る。Sn金属中心での多くの他のβ-ジケトン配位子、例えば、hfac(ヘキサフルオロアセチルアセトネート)、tfac(トリフルロアセチルアセトネート)、およびtmhd(テトラメチルヘプタンジオネート)が、本発明において有用である。異なるβ-ジケトン配位子は、金属β-ジケトネートの物理的性質を変化させ得る。例えば、フッ素置換β-ジケトン配位子はより高い蒸気圧を一般に示す。
ある態様において、Snベースの化合物に加えて、他の金属β-ジケトネートが、HFと反応し、β-ジケトン配位子をもたらし、これは次いでエッチング生成物を形成し得る。異なる金属β-ジケトネートを使用することの可能性のある利点は、それらのより好都合な蒸気圧、熱安定性、反応速度論または熱化学であり得る。異なる金属はまた、様々な酸化状態で金属とβ-ジケトネートを形成し得る。より高い酸化数における金属を有するβ-ジケトネートは、より高い数のβ-ジケトン配位子を有する。
ある態様において、金属前駆体は金属アルキルを含む。非限定的な例において、Al(CH3)3(トリメチルアルミニウムまたはTMAとしても公知−第III族金属アルキル)を、Al2O3 ALEについての金属アルキルとして使用する。他の態様において、TMAはAl2O3 ALDについての金属前駆体として使用することができる。さらに他の態様において、TMAはAl2O3 ALEについての金属前駆体として使用することができる。さらに他の態様において、特定の材料についてのALD前駆体をまた、同じ材料のALEのために使用してもよい。
ある態様において、他の第III族金属、例えば、ホウ素、ガリウムおよびインジウムが、金属アルキル、例えば、これらに限定されないが、B(CH3)3、Ga(CH3)3およびIn(CH3)3として使用される。他の態様において、非第III族金属アルキル、例えば、Zn(CH2CH3)2が、本発明の方法において有用である。
ある態様において、ハロゲン含有ガスはハロゲン化水素を含む。他の態様において、ハロゲン化水素はHFを含む。さらに他の態様において、ハロゲン含有ガスは、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3およびI2からなる群より選択される少なくとも1つを含む。さらに他の態様において、ハロゲン化水素はHCl、HBrまたはHIを含む。さらに他の態様において、ハロゲン含有ガスをプラズマ中でイオン化し、ハロゲンラジカルまたはイオンを生成してもよい。
非限定的なフッ素含有ガスと酸化アルミニウムとの反応についての熱化学自由エネルギーを以下に示す。
Al2O3 + 6HF → 2AlF3 + 3H2O ΔG = -58 kcal
Al2O3 + 2ClF3 → 2AlF3 + Cl2O + O2 ΔG = -223 kcal
Al2O3 + 3F2 → 2AlF3 + 3/2O2 ΔG = -297 kcal
Al2O3 + 2NF3 → 2AlF3 + NO + NO2 ΔG = -230 kcal
Al2O3 + SF6 → 2AlF3 + SO3 ΔG = -130 kcal
2Al2O3 + 3SF4 → 4AlF3 + 3SO2 ΔG = -313 kcal
Al2O3 + 3XeF2 → 2AlF3 + 2Xe + 3/2O2 ΔG = -258 kcal
ある態様において、金属酸化物のALEは、反応物としてHFの代わりにHClまたはHBrを利用する。例えば、HClとSn(acac)2との反応はSnCl(acac)を生成する。二原子SnCl分子中のSn-Cl結合エネルギーは414 kJ/モルであり、これは、二原子SnF分子中の466.5 kJ/モルの結合エネルギーよりもほんのわずかに低い。ある態様において、金属塩化物または臭化物は、対応する金属フッ化物と比較してより不安定であり得る。他の態様において、HClまたはHBrを金属硫化物のALEのために使用する。
絶対的エッチング速度はまた、反応物としてSn(acac)2およびHFを用いてのALE中、様々な金属酸化物間で異なり得る。エッチング速度は、金属酸化物表面上のSnF(acac)*化学種の残存カバレッジ(residual coverage)に依存し得る。より高いSnF(acac)*カバレッジは、表面部位をブロックし、Sn(acac)2の吸着または金属フッ化物吸着層の形成を妨げ、エッチング速度を低下させ得る。ある態様において、Al2O3 ALEの温度依存は、より高い温度でのSnF(acac)*表面化学種のより低い残存カバレッジに起因し得る。金属β-ジケトネート中のacacに加えて他の配位子がまた、金属酸化物表面上の化学種の残存カバレッジを変更し、エッチング速度を変化させ得る。
本発明の方法は選択的ALEを可能にし、ここで、ある材料が少なくとも1つの他の材料の存在下で優先的にエッチングされる。
ある態様において、選択的ALEは、ALEのための特定の反応物の選択に基づいて達成され得る。例えば、エッチング選択性は、フッ素化プロセスおよび/または金属前駆体との配位子交換プロセスにおいて生じ得る。非限定的な例において、反応速度論および熱化学に依存して、フッ素反応物は、残りの材料と比べて特定の材料を選択的にフッ素化する。別の非限定的な例において、ALE選択性は、配位子交換プロセスにおいて達成される。金属前駆体が金属フッ化物層からフッ素を受容すると、金属前駆体は金属フッ化物中の金属へ配位子を供与し、従って反応生成物が形成される。配位子の性質に依存して、配位子交換後に形成される反応生成物は、異なる安定性および揮発性を有する。反応生成物の安定性および揮発性の差は、選択的ALEを達成するために使用され得る。
表2は、様々な金属前駆体ファミリーおよび金属フッ化物MFxとのそれらの反応中の配位子交換プロセスの例を提供する。選択性は、MFxから誘導される金属反応生成物の安定性および揮発性に依存して得ることができる。例えば、AlNおよびZrO2の間で選択性について金属前駆体を考慮し得る。ある態様において、Al(CH3)3はAlN ALE中にAlF3を除去し、これは、Al(CH3)3またはAlF(CH3)2は安定した揮発性の金属反応生成物であるためである。他の態様において、Al(CH3)3は、ZrO2 ALEを行うためにZrF4を除去せず、これは、Zr(CH3)4またはZrF(CH3)3は安定した金属反応生成物ではないためである。さらに他の態様において、Ni(Cp)2はZrO2 ALE中にZrF4を除去し、これは、ZrF2(Cp)2は安定した揮発性の金属反応生成物であるためである。さらに他の態様において、Ni(Cp)2は、AlN ALEを行うためにAlF3を除去せず、これは、AlCp3またはAlF(Cp)2は安定した反応生成物ではないためである。
(表2)金属フッ化物との反応についての金属前駆体および金属反応生成物
Figure 2018500767
ある態様において、選択的ALEは、本発明のプロセスの温度をコントロールすることによって達成される。例えば、TMAおよびHFに基づくAl2O3 ALEについて、AlF3 ALDによってAlF3を成長させてもよく、または温度に依存するAl2O3 ALEによって最初のAl2O3基板をエッチングしてもよい。
ある態様において、選択的ALEは、ある材料へ結合し、別の材料へは結合しない分子吸着質を使用して達成される。これらの分子吸着質は、フッ素前駆体または金属前駆体の吸着を妨げる表面ブロッキング基として作用する。他の態様において、これらの「分子マスク」は選択的ALEを可能にする。広範囲の配位子が本発明において「分子マスク」として意図される。ある態様において、これらの配位子は、材料の金属中心へ吸着し、かつ/または材料上のルイス酸またはルイス塩基部位を介して表面へ結合する。非限定的な例として、アミン、例えば、NH3またはピリジンは、材料上のルイス酸部位へ吸着し、部位ブロッキング化学種として作用することができる。非限定的な例として、BF3は、材料上のルイス塩基部位へ吸着し、部位ブロッキング化学種として作用することができる。
ある態様において、熱的ALEアプローチは、ハロゲン吸着およびイオンまたはエネルギー中性希原子衝撃に基づくALEと比較して有利である。熱的ALEアプローチは、高エネルギーイオンまたはエネルギーニュートラルに起因する、下にある基板に対するいかなる損傷も回避する(プラズマからのイオンはhigh-k/金属ゲートスタックの性能劣化を引き起こす)。イオンまたは中性希原子衝撃に基づくALEはまた、基板へのライン・オブ・サイトを必要とする。このライン・オブ・サイト要件は、コンフォーマリティーがエッチングについて必要とされる場合は不利であり、イオンまたは中性希原子衝撃へ供される比較的小さい表面積へさらに限定される。本明細書に記載の熱的ALEアプローチは、高表面積および高アスペクト比構造体をエッチングすることについて有用である。
組成物
本発明は、本発明の方法に従って得られた固体基板を提供する。本発明は、本発明の方法に従って得られたクリーニングされた基板(即ち、不純物が少なくとも部分的に除去されている基板)をさらに提供する。本発明は、本発明の方法に従って得られた多孔性基板をさらに提供する。本発明は、本発明の方法に従って得られた縮小したフィーチャーサイズを有する3D構造体をさらに提供する。本発明は、本発明の方法に従って得られたパターニングされた固体基板をさらに提供する。本発明は、本発明の方法に従って得られた平滑化固体基板をさらに提供する。
方法
本発明は、第1金属化合物を含む固体基板上での原子層エッチングを促進する方法を提供する。
本発明は、固体基板をクリーニングする方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有する方法をさらに提供する。
本発明は、固体基板をパターニングする方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有する方法をさらに提供する。
本発明は、固体基板の一部分を選択的に除去する方法であって、ここで、固体基板が第1金属化合物を含む方法をさらに提供する。
本発明は、固体基板の表面を平滑化する方法であって、ここで、固体基板が第1金属化合物を含む方法をさらに提供する。表面の平滑化は半導体産業において関心対象である。平滑化は、損傷のない層を得るために使用され得る。スパッタリングは、ある材料を除去するために使用され得るが、粗い損傷した表面を残し得る。ALEは、損傷した層を除去し、表面を平滑化して、「損傷のない表面」を生成するために使用され得る。表面平滑化はまた、非常に高品質の超薄膜を得るために使用され得る。例えば、高品質超薄膜は、より厚い膜を堆積し次いでより薄い膜へエッチバックすることによる、「堆積/エッチバック」戦略によって生成することができる。非限定的な態様において、核形成効果が超薄堆積膜において粗さをもたらし得;連続的でピンホールのないより厚い膜がいったん形成されると、ALEは、この膜をエッチングバックし、この超薄な厚みへ成長させることによって生成されたであろうものよりもより平滑な表面を得ることができる。
ある態様において、方法は、(a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、それによって第1金属生成物が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、それによって表面上の生成物として第1金属ハロゲン化物が形成される工程をさらに含む。さらに他の態様において、方法は、任意で工程(a)および(b)を1回または複数回繰り返す工程をさらに含む。
本発明は、第1金属化合物を含む固体基板上での化学蒸気エッチング(CVE)を促進する方法をさらに提供する。
ある態様において、方法は、固体基板を第2金属のガス状前駆体およびハロゲン含有ガスと接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む工程を含む。
本発明は、多孔性基板において細孔を形成するおよび/または拡大する方法であって、ここで、多孔性基板が第1金属化合物を含む方法をさらに提供する。
ある態様において、ALEは、3D構造のフィーチャーサイズを縮小するために使用することができる。熱的ALEを使用して得られる気相、等方性エッチングは、ALE反応サイクル数に対して原子レベル精度でコンフォーマルにフィーチャーサイズおよび質量を減らすことができる。適用は、MOSFET構造体中のFinFETチャネルの幅を減らすこと、ならびにナノワイヤーおよび量子ドットの直径および質量を減らすことを含む。
ある態様において、方法は、(a)多孔性基板を第2金属のガス状前駆体と接触させる工程であって、それによって第1金属前駆体が形成される工程を含む。他の態様において、方法は、(b)工程(a)において形成された多孔性基板をハロゲン含有ガスと接触させる工程であって、それによって第1金属ハロゲン化物が形成される工程をさらに含む。さらに他の態様において、方法は、任意で工程(a)および(b)を1回または複数回繰り返す工程をさらに含む。
ある態様において、単座配位子は、アルキル、水素化物、カルボニル、ハロゲン化物、アルコキシド、アルキルアミドおよびシリルアミドからなる群より選択される少なくとも1つを含む。
ある態様において、アルキル基は、メチル、エチル、n-プロピル、およびイソプロピルからなる群より選択される少なくとも1つを含む。
ある態様において、キレートは、β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネート、ジアミノアルコキシド(例えば、これに限定されないが、1-ジメチルアミノ-2-メチル-2-プロパノラートまたはdmamp)、およびメタロセン(例えば、これに限定されないが、シクロペンタジエニルまたはCp)からなる群より選択される少なくとも1つを含む。
ある態様において、金属前駆体上の配位子は、単座配位子の組み合わせ、キレート配位子の組み合わせ、または単座配位子およびキレート配位子の組み合わせであり得る。
ある態様において、固体基板を、単一の系中に含め、工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスと逐次的に接触させる。他の態様において、工程(a)および/または工程(b)後に固体基板を不活性ガスでパージする。さらに他の態様において、不活性ガスはN2および/またはArを含む。さらに他の態様において、工程(a)および/または工程(b)を、約100℃〜約450℃の範囲の値に等しいかまたはこれを超える温度で実行する。
ある態様において、工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスを、別個の位置に配置する。2つの反応物を時間ではなく空間において分離する(即ち、空間的ALE)。固体基板を一方の反応物位置から別の反応物位置へ物理的に移動する。
ある態様において、第1金属化合物は、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、およびハイブリッド有機-無機材料(例えば、これらに限定されないが、メタルコン(metalcone)、例えばアルコン(alucone)、Lee et al., 2013, Adv. Funct. Mater. 23:532)からなる群より選択される少なくとも1つを含む。
ある態様において、固体基板の表面の少なくとも一部分上において、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、およびハイブリッド有機-無機材料からなる群より選択される金属化合物を形成させる化学処理へ、固体基板を最初に供する。さらに他の態様において、第1金属は、Al、Hf、Zr、Fe、Ni、Co、Mn、Mg、Rh、Ru、Cr、Si、Ti、Sc、Ga、In、Zn、Pb、Ge、Ta、Cu、W、Mo、Pt、CdおよびSnからなる群より選択される少なくとも1つを含む。
ある態様において、金属化合物は、金属フッ化物を形成するために直接フッ素化される元素金属である。
ある態様において、第1金属化合物を不活性基板上に堆積する。他の態様において、不活性基板はSiを含む。さらに他の態様において、不活性基板はSiウエハーを含む。
ある態様において、第2金属は、Sn、Ge、Al、B、Ga、In、Zn、Ni、Pb、Si、Hf、ZrおよびTiからなる群より選択される少なくとも1つを含む。他の態様において、β-ジケトネートは、acac(アセチルアセトネート)、hfac(ヘキサフルオロアセチルアセトネート)、tfac(トリフルロアセチルアセトネート)、およびtmhd(テトラメチルヘプタンジオネート)を含む。
ある態様において、ハロゲン含有ガスはハロゲン化水素を含む。他の態様において、ハロゲン化水素はHFを含む。さらに他の態様において、ハロゲン含有ガスは、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3およびI2からなる群より選択される少なくとも1つを含む。さらに他の態様において、ハロゲン化水素はHCl、HBrまたはHIを含む。さらに他の態様において、ハロゲン含有ガスをプラズマ中でイオン化し、ハロゲンラジカルまたはイオンを生成してもよい。
ある態様において、第2金属のガス状化合物、およびハロゲン含有ガスとの逐次接触によって、固体基板を前処理する。他の態様において、第1金属化合物を、原子層堆積を使用して形成する。さらに他の態様において、工程(a)および工程(b)の各サイクルは自己制御的である。さらに他の態様において、工程(a)および工程(b)の各サイクルは、固体基板のほぼ同じエッチング速度および/または質量損失を可能にする。さらに他の態様において、結果として生じるエッチングされた固体基板は、出発固体基板とほぼ同じまたはより低い表面粗度を有する。さらに他の態様において、エッチングされた固体基板の密度は、出発固体基板のものとほぼ同じである。さらに他の態様において、エッチングされた固体基板の屈折率は、最初の固体基板のものとほぼ同じである。さらに他の態様において、固体基板は炭素複合材を含む。
ある態様において、出発固体基板の表面を少なくとも部分的にマスクし、それによって固体基板の表面の一部分のみを露出させる。他の態様において、出発固体基板の表面をフォトレジストで少なくとも部分的にマスクする。さらに他の態様において、モノレイヤーセルフアセンブリング、ナノインプリントおよびスタンピングからなる群より選択される技術を使用して、固体基板をさらにパターニングする。
本発明の方法の非限定的な例示を図28に提供する。いかなる理論によっても限定されることを望まないが、フッ素化が行われ、次いで、恐らく広範囲の金属前駆体が、配位子交換プロセスによって金属フッ化物層を除去することができる。このプロセスにおいて、金属前駆体は金属フッ化物からフッ素を受容する。金属前駆体上の配位子は、金属フッ化物中の金属と結合し、揮発性金属化学種を形成する。
特に指定のない限り、記載または例示される全ての製剤または成分の組み合わせが、本発明を実施するために使用することができる。化合物の具体的な名称は例示的であるように意図され、これは、当業者が同じ化合物を異なるように命名することができることが公知であるためである。化合物が、例えば、式においてまたは化学名において、化合物の特定の異性体またはエナンチオマーが指定されないように、本明細書において記載される場合、その記載は、個々にまたは任意の組み合わせで記載される化合物のそれぞれの異性体および/またはエナンチオマーを含むように意図される。本明細書における記載は多くの態様を含むが、これらは本発明の範囲を限定するとして解釈されるべきではなく、しかし本発明の現在好ましい態様のいくつかの例示を単に提供するとして解釈されるべきである。
当業者は、本明細書に記載される具体的な手順、態様、請求項、および実施例の多数の均等物を認識するか、またはルーチンにすぎない実験を使用して確認することができる。そのような均等物は、本発明の範囲内にあると見なされ、本明細書に添付される特許請求の範囲によって包含される。例えば、当技術分野において認識される代替物を用いかつルーチンにすぎない実験を使用しての、反応時間、反応温度および圧力、反応サイズ/体積、ならびに実験試薬、例えば溶媒、触媒、圧力、雰囲気条件、例えば、窒素雰囲気、ならびに還元剤/酸化剤を含むが、これらに限定されない、反応条件の改変が、本出願の範囲内にあることが、理解されるべきである。一般に、本明細書において使用される用語および句は、当業者に公知の標準テキスト、ジャーナル参考文献および文脈を参照することによって見つけることができる、それらの当技術分野において認識される意味を有する。前述の定義はいずれも、本発明の文脈におけるそれらの具体的な使用を明確にするために提供される。
以下の実施例は本発明の局面をさらに説明する。しかしながら、それらは、本明細書に記載されるような本発明の教示または開示の限定では決してない。
本発明をここで以下の実施例を参照して説明する。これらの実施例は例示の目的のためにのみ提供され、本発明はこれらの実施例に限定されず、しかしむしろ、本明細書に提供される教示の結果として明らかとなる全ての変形物を包含する。
材料および方法
インサイチュQCM測定のために搭載された粘性流リアクター
150〜300℃でのALE反応を粘性流ALDリアクターにおいて行った(Elam, et al., 2002, Rev Sci Instrum 73:2981-2987)。比例-積分-微分(PID)温度コントローラー(2604, Eurotherm)はリアクター中の温度を±0.04℃以内に安定させた。キャパシタンスマノメータ(Baratron 121A, MKS)はリアクター中の圧力を測定した。ALDリアクターにインサイチュ水晶振動子マイクロバランス(QCM)を搭載した(Elam, et al., 2002, Rev Sci Instrum 73:2981-2987)。RCカット水晶振動子(Riha, et al., 2012, Rev Sci Instrum 83) (金で被覆し、研磨した, 6 MHz, Colnatec)をセンサーヘッド(BSH-150, Inficon)中に置き、次いで高温エポキシ(Epo-Tek H21D, Epoxy technology)で密封した。全てのインサイチュQCM測定を薄膜堆積モニター(Maxtek TM-400, Inficon)によって記録した。
実施例1におけるAl2O3 ALE反応を、スズ(II)アセチルアセトネート(Sn(acac)2, 37〜38% Sn, Gelest)およびHF-ピリジン(70 wt% HF, Sigma-Aldrich)の逐次曝露を使用して行った。これらの前駆体を図1に示す。HF-ピリジンは、室温で液体であり、ガス状HFと平衡である(Olah, et al., 1973, Synthesis-Stuttgart 779-780)。HF-ピリジンからのガス状HFの使用は無水HFの安全な取り扱いを可能にする。
Sn(acac)2およびHF-ピリジンを、乾燥N2が充填されたグローブバッグ中のステンレススチールバブラーへ移した。Sn(acac)2を含有するステンレススチールバブラーを100℃で保持し、投入中15〜20 mTorrの蒸気圧をもたらした。Al2O3膜を、TMA(97 %, Sigma-Aldrich)およびH2O(Chromasolv for HPLC, Sigma-Aldrich)を使用するAl2O3 ALDで成長させた。HfO2膜を、テトラキスジメチルアミドハフニウム(TDMAH)(≧99.99%, Sigma-Aldrich)およびH2Oを使用するHfO2 ALDで成長させた。TDMAHをステンレススチールバブラーへ移し、67℃で維持し、投入中20 mTorrの蒸気圧をもたらした。
GaN膜を、トリスジメチルアミドガリウム(TDMAG)(98 %, Sigma-Aldrich)およびNH3(Airgas 99.99+%)を使用するGaN ALDで成長させた。TDMAGをステンレススチールバブラーへ移し、115℃で維持し、投入中20 mTorrの蒸気圧をもたらした。TMAをまたALE反応物について使用した。ジメチルアルミニウムクロリド(DMAC)(97%, Sigma-Aldrich)をまたALE反応物について使用した。TDMAGをまたALE反応物について使用した。HF-ピリジン、TMA、H2O、およびNH3前駆体を室温で維持した。
機械ポンプ(Pascal 2015SD, Alcatel)を使用してリアクターに送り込んだ。3つの別個のマスフローコントローラー(Type 1179A, MKS)は、リアクター中へ一定した総流量150 sccmの超高純度(UHP)N2キャリアガスを送達した。メータリング・ベローズ・シール・バルブ(metering bellows-sealed valve)(SS-4BMG, Swagelok)を使用する20 sccmの追加のN2ガス流量は、QCM水晶の後部上での堆積を防いだ(Elam, et al., 2002, Rev Sci Instrum 73:2981-2987)。170 sccmの総N2ガス流量は、リアクターに約1 Torrのベース圧力をもたらした。
Siウエハー、X線反射率および分光エリプソメトリー
ホウ素ドープSi(100)ウエハー(p型, Silicon Valley Microelectronics)を2.5 cm x 2.5 cmの寸法を有するサンプルへ切断した。これらの基板をAl2O3 ALD堆積のために使用した。Siウエハーを、先ず、アセトン、イソプロパノール、および脱イオン水でリンスした。その後、SiウエハーをUHP N2ガスで乾燥させた。
エクスサイチュX線反射率(XRR)スキャンを、Cu Kα(λ=1.540Å)放射線を使用して高分解能x線回折計(Bede D1, Jordan Valley Semiconductors)によって記録した。x線管中のフィラメント電圧および電流は、それぞれ、40 kVおよび35 mAであった。10 arcsecのステップサイズおよび5 sの収集時間を、300〜6000 arcsecの範囲で全てのXRRスキャンを記録するために使用した。解析ソフトウェア(Bede REFS, Jordan Valley Semiconductors)はXRRスキャンをフィットし、膜厚、膜密度および表面粗度を決定した。
分光エリプソメトリー(SE)は膜厚および屈折率を決定した(Shannon, et al., 2002, J Phys Chem Ref Data 31:931-970)。ΨおよびΔの測定値を、スペクトル範囲240〜1700 nmおよび入射角75°で分光エリプソメーター(M-2000, J. A. Woollam)を使用して記録した。解析ソフトウェア(CompleteEASE, J. A. Woollam)はSellmeierモデルに基づいてΨおよびΔをフィットし、膜の厚みおよび屈折率を決定した(Shannon, et al., 2002, J Phys Chem Ref Data 31:931-970)。
透過型フーリエ変換赤外(FTIR)分光法
使用したFTIR分光計は、高感度液体N2冷却テルル化カドミウム水銀(MCT-B)検出器が搭載された、Thermo Scientific製のNicolet 6700 FTIRであった。分光計、鏡、および検出器セットアップを、乾燥した、CO2を含まない空気でパージした。400〜4,000 cm-1の4 cm-1分解能での合計100スキャンを、それぞれの収集されたスペクトルについて記録した。
透過型FTIR測定をカスタム真空チャンバにおいて行った。20 nmの平均直径を有する高表面積ZrO2ナノ粒子を使用し、信号対雑音比を改善した。Al2O3 ALEにおける透過型FTIR測定のいくつかはまたSiO2ナノ粒子を利用した。HfO2 ALEにおける透過型FTIR測定もまたSiO2ナノ粒子を利用した。サンプル調製は、タングステングリッド支持体中へZrO2またはSiO2ナノ粒子をプレスすることを伴った。タングステングリッドは2 x 3 cm2であった。各グリッドは、1インチ当たり100個のグリッド線を伴って50μm厚であった。タングステングリッドは、DC電力供給を使用して抵抗加熱することができた。
ZrO2ナノ粒子/グリッド支持体の一貫したクリーニング手順を使用し、複製可能な出発表面を生成した。膜堆積前に、サンプル支持体の温度を約2分間500℃へ上昇させ、ZrO2ナノ粒子から外来性の炭素を取り除いた。次いで、H2O投入を使用し、ZrO2表面を再ヒドロキシル化した。SiO2ナノ粒子はアニーリング後に再ヒドロキシル化するのが遥かにより困難であるために、SiO2ナノ粒子を加熱前処理へ供しなかった。
実施例1:
ある局面において、この実施例は、反応物としてSn(acac)2およびHFを使用するAl2O3 ALEを示す。図2は、200℃でのAl2O3表面上におけるSn(acac)2およびHF反応の100 ALEサイクル中の質量変化を示す。QCM表面上の最初のAl2O3 ALD膜を、200℃でTMAおよびH2Oを使用する100サイクルのAl2O3 ALDによって調製した。1 ALEサイクルは、1 sのSn(acac)2投入、30 sのN2パージ、1.0 sのHF投入、および30 sの第2のN2パージからなった。この反応シーケンスを1-30-1-30と表示する。Sn(acac)2およびHF投入中の圧力過渡は、それぞれ、20 mTorrおよび80 mTorrであった。
図2におけるAl2O3膜のエッチングは、非常に直線的であり、-8.4 ng/(cm2サイクル)の、1サイクル当たりの質量変化(MCPC)を示す。このMCPCは、XRRによって測定された3.0 g/cm3のAl2O3 ALD膜密度に基づいて、0.28Å/サイクルのエッチング速度に対応する。第1のALEサイクル中を除いて、全てのALEサイクルが、Al2O3膜のエッチングに起因する質量損失を示した。第1サイクルは、ΔMSn = 57 ng/cm2およびΔMHF = 13 ng/cm2の質量増加を示す。
いかなる理論によっても限定されることを望まないが、第1サイクルにおけるΔMSnについての質量増加は、ヒドロキシル化されたAl2O3表面上におけるSn(acac)2吸着に起因し得る。Sn(acac)2は、Sn(acac)2*として会合的にまたはSn(acac)*および(acac)*として解離的に吸着することができ、ここで、アスタリスクは表面化学種を指定する。この吸着は質量増大をもたらすと考えられる。さらに、第1サイクルにおけるΔMHFについての質量増加は、HFと、下にあるAl2O3表面との反応によるAlF3の形成に起因し得る。反応:
Al2O3 + 6HF → 2AlF3 + 3H2O
は、200℃においてΔG= -58 kcalで自発的である。この第1サイクルは、Al2O3基板上において最初のSn(acac)2およびAlF3化学種を確立する。
図3は、図2中の定常状態直線的エッチングレジメンにおける3サイクルについての200℃での時間に対する質量損失の拡大図に相当する。Sn(acac)2曝露と一致して、短い質量増加後に徐々の質量減少があった。この挙動は、Sn(acac)2吸着、続いてのSn(acac)2脱着および/または反応生成物の除去を示唆している。-8.1 ng/cm2のΔMSnの質量損失が、1.0 sのSn(acac)2曝露後に観察された。対照的に、HF曝露は少ない質量損失をもたらした。-0.28 ng/cm2のΔMHFの質量損失が、1.0 sのHF曝露後に観察された。
図4A〜4Bは、200℃でのAl2O3 ALE反応の自己制御性質を示す。これらのMCPCを異なる反応物曝露時間で測定した。
図4Aは、HFの単一の1.0 s曝露と共に、異なるSn(acac)2曝露時間を使用するSn(acac)2反応の自己制御挙動を示す。30 sの一定のN2パージを各曝露後に使用した。この反応シーケンスはx-30-1-30と表示され得る。Sn(acac)2曝露時間に対するMCPCは、急速に減少し、-8 ng/(cm2サイクル)のMCPCで横ばい状態になった。
図4Bは、Sn(acac)2の単一の1.0 s曝露と共に、異なるHF曝露時間を使用するHF反応の自己制御挙動を示す。この反応シーケンスは1-30-x-30と表示され得る。HF曝露時間に対するMCPCは、減少し、次いで、-8 ng/(cm2サイクル)のMCPCで横ばい状態になった。図4A〜4Bは、Sn(acac)2およびHF反応が自己制御挙動を示すことを共に示す。-8 ng/(cm2サイクル)のMCPCは、20 s〜120 sのパージ時間についてパージ時間とは無関係であった。
図5は、1-30-1-30の反応シーケンスを使用する150℃でのAl2O3表面上におけるSn(acac)2およびHF反応の100 ALEサイクル中の質量変化を示す。最初のAl2O3膜を、150℃でTMAおよびH2Oを使用する100サイクルのAl2O3 ALDによって調製した。Al2O3膜のエッチングは、非常に直線的であり、-4.1 ng/(cm2サイクル)の、1サイクル当たりの質量変化(MCPC)を示した。このMCPCは、XRRによって測定された3.0 g/cm3のAl2O3 ALD膜密度に基づいて、0.14Å/サイクルのエッチング速度に対応する。
図6は、図5中の定常状態直線的エッチングレジメンにおける3サイクルについての150℃での時間に対する質量損失の拡大図を示す。200℃および150℃でのエッチング反応中の質量変化間で異なる差異がある。+0.19 ng/cm2のΔMSnの質量増加が、150℃での1.0 s間のSn(acac)2曝露後に観察された。対照的に、-8.1 ng/cm2のΔMSnの質量損失が、200℃で得られた。この差異は、150℃での表面上における、より安定したSn(acac)2反応生成物に起因し得る。
-4.3 ng/cm2のΔMHFの質量減少が、150℃での1.0 s間のHF曝露後に観察された。この質量減少は、200℃での-0.28 ng/cm2のΔMHFの質量減少よりも遥かにより大きかった。いかなる理論によっても限定されることを望まないが、より多くのSn(acac)2反応生成物が150℃でのSn(acac)2曝露に続いて表面上に残っている場合、HF反応中に失われ得るより多くのSn(acac)2反応生成物がある。この挙動は、150℃での、Sn(acac)2曝露後の質量増加およびHF曝露後のより大きな質量損失を説明するものと考えられる。
全ての反応温度でのΔMSn、ΔMHF、およびMCPC値を、図7A〜7Bに示す。全てのALE反応を、最初のAl2O3表面上で1-30-1-30の反応シーケンスを使用して行った。図7Aは、異なる反応温度で得られたΔMSnおよびΔMHF値を示す。ΔMSnは、150℃でわずかな質量増加を示し、より高い温度で次第により大きな質量損失を示した。対照的に、ΔMHFは、150〜200℃の温度で質量損失を示し、より高い温度で質量増加を示した。
図7Bは、MCPCを示し、ここでMCPC =ΔMSn +ΔMHFである。全ての温度が質量損失を示し、質量損失はより高い温度でより大きかった。図7B中のMCPCは図7A中のΔMSnと相関した。この対応は、Sn(acac)2反応中の質量変化が、Al2O3 ALE中の質量損失の温度依存を主に担うことを示す。異なる反応温度でのΔMSn、ΔMHF、およびMCPCを表3に要約する。
(表3)異なる温度でのAl2O3 ALEについてのΔMSn、ΔMHFおよびMCPC
Figure 2018500767
Al2O3 ALEをまた、エクスサイチュXRR研究を使用して調べた。これらの実験について、172Åの厚みを有するAl2O3 ALD膜を、200℃でSi(100)ウエハー上に成長させた。これらのAl2O3 ALD膜を、1-20-1-20の反応シーケンスで150サイクルのTMAおよびH2Oを使用して堆積した。図8は、200℃でのSn(acac)2およびHF反応サイクル数に対するSiウエハー上のAl2O3 ALD膜のXRRスキャンを示す。XRRスキャンは非常に均一かつ平滑なAl2O3膜と一貫している。
図8, 曲線(a)は、Si(100)上で成長させた最初のAl2O3 ALD膜のXRRスキャンを示す。172ÅのAl2O3 ALD膜厚は、入射角に対して反射されたx線強度をフィッティングすることによって得ることができる。図8, 曲線(b)〜(e)は、それぞれ、200℃での50、100、200、および400 ALEサイクル後のエッチングされたAl2O3膜のXRRスキャンを示す。Al2O3の厚みは、増加するALEサイクル数と共に減少する。この減少する膜厚は、より高いALEサイクル数に伴う、x線強度の調節における減少と合致する。
全てのエッチングされたAl2O3膜の臨界角の位置は一定である。この一定の臨界角は、ALE反応中に膜密度の変化はなかったことを示す。エッチングされたAl2O3膜はまた、非常に滑らかであり、Al2O3 ALEに対して粗面化しなかった。XRR測定は、5Åの最初のAl2O3 ALD膜の粗度を与えた。表面粗度は、50、100、200、および400 ALEサイクル後、2〜3Åへわずかに減少した。これらのXRR表面粗度測定における誤差は≦1Åである。
図9は、最初のAl2O3膜厚ならびに200℃での50、100、200、および400 ALEサイクル後のAl2O3膜厚のXRR測定を示す。図9, 曲線(a)中の172Åの最初の厚みを有するAl2O3膜について、ALEサイクル数に対する膜厚は、非常に直線的であり、0.27Å/サイクルのエッチング速度を与えた。これらの同じサンプルに対する分光エリプソメトリー(SE)測定は、166Åの最初のAl2O3 ALD膜厚と共に、0.27Å/サイクルのエッチング速度を与えた。第1のALEサイクルにおいて生じる質量増加のため、Al2O3膜の最初の厚みは、エッチング速度を得るために使用しなかった。
図9, 曲線(a)における線形最小二乗適合についてのy切片は、XRRおよびSEによって、それぞれ、176Åおよび169Åであった。これらの厚みは、XRRおよびSEそれぞれによって測定された172Åおよび166Åの最初の厚みよりもわずかに高かった。これらのより大きな厚みは、第1のALEサイクルにおけるALEプロセスの核形成中に生じる質量増加に由来する。SE解析はまた、589 nmの波長でのAl2O3膜についてのn=1.70の屈折率を決定した。Al2O3膜についてのこの屈折率は、50、100および200 ALEサイクル後、n=1.69〜1.70で留まった。
XRR測定をまた、Si(100)ウエハー上に成長させた113Åの厚みを有するAl2O3 ALD膜上において行った。これらのAl2O3 ALD膜を、1-20-1-20の反応シーケンスで100サイクルのTMAおよびH2Oを使用して200℃で堆積した。図9, 曲線(b)は、200℃でのSn(acac)2およびHF反応サイクル数に対する膜厚を示す。XRR測定は、0.26Å/サイクルのAl2O3 ALEエッチング速度を与えた。SE測定はまた、109Åの最初のAl2O3 ALD膜厚と共に、0.25Å/サイクルのエッチング速度を与えた。第1のALEサイクルにおいて生じる質量増加のため、Al2O3膜の最初の厚みは、エッチング速度を決定するために再び用いなかった。
図9, 曲線(b)における線形最小二乗適合についてのy切片は、XRRおよびSEによって、それぞれ、116Åおよび110Åであった。これらの厚みは、XRRおよびSEそれぞれによって測定された113Åおよび109Åの最初の厚みよりも再びわずかに高かった。これらのより大きな厚みは、第1のALEサイクルにおいて生じる質量増加に由来した。このより薄いAl2O3膜についての400 ALEサイクル後、図9, 曲線(b)におけるXRRおよびSE測定は、Al2O3膜がSi(100)ウエハーから完全に除去されることを示す。
図10は、200℃でのAl2O3 ALEサイクル数に対するAl2O3膜中のAl-O振動についてFTIR分光法を使用して記録された絶対赤外線吸光度を示す。これらのスペクトルを、高表面積支持体として使用したZrO2粒子に対してリファレンスし、FTIR測定を増強した。最初のAl2O3膜を、Al2O3原子層堆積(ALD)を使用して成長させた。Al2O3 ALE中、吸光度は、Al2O3中のAl-O振動に対応する800〜1000 cm-1でのピークから次第に失われる。さらに、吸光度が、1,350〜1,650 cm-1でacac表面化学種から観察された。
図11は、200℃でのAl2O3膜上での第1のSn(acac)2曝露および第1のHF曝露後にFTIR分光法を使用して記録された絶対赤外線吸光度を示す。これらのスペクトルを、高表面積支持体として使用したZrO2粒子に対してリファレンスし、FTIR測定を増強した。1,350〜1,650 cm-1でのacac表面化学種からの吸光度特徴が、第1のSn(acac)2および第1のHF曝露後に存在した。Al2O3中のAl-O振動についての吸光度は、第1のSn(acac)2曝露および第1のHF曝露後に低下した。この低下は、acac化学種がAl2O3を乱し、HF曝露がAl2O3をAlF3へ変換するために生じる。
図12は、200℃でのAl2O3膜上での第1のSn(acac)2曝露および第1のHF曝露後にFTIR分光法を使用して記録された絶対赤外線吸光度を再び示す。しかしながら、これらのスペクトルを、SiO2粒子およびSiO2粒子をコーティングしたAl2O3 ALD膜に対してリファレンスした。acac特徴が1,350〜1,650 cm-1で再び観察された。さらに、500〜800 cm-1での吸光度特徴は第1のHF曝露後に出現した。この特徴は、HF曝露中にAl2O3から形成するAlF3層中のAl-F振動に由来した。約1000 cm-1で観察された吸光度損失もあり、これは、AlF3形成でのAl2O3中のAl-O振動からのいくらかの吸光度の除去に対応する。
図13は、200℃、250℃および300℃でのAl2O3 ALE中の絶対赤外線吸光度FTIRスペクトルを示す。これらのスペクトルを、高表面積支持体として使用したAl2O3 ALD膜でコーティングされたSiO2粒子に対して再びリファレンスし、FTIR測定を増強した。青色曲線はSn(acac)2曝露後であり、赤色曲線はHF曝露後である。1,350〜1,650 cm-1でのacac表面化学種からの吸光度特徴が、全てのSn(acac)2曝露後に存在した。acac表面化学種は、Sn(acac)2曝露後により高い温度でわずかにだけ減少した。対照的に、acac表面化学種は、HF曝露後に明確により少なかった。acac表面化学種は、300℃でのHF曝露によって表面からほぼ完全に除去された。
図13はまた、AlF3吸着層中のAl-F振動からの500〜800 cm-1での吸光度特徴が200℃、250℃および300℃で存在したことを示す。Al2O3中のAl-O振動へ帰属されるよりブロードな吸光度特徴から、損失がまた観察された。この損失は825〜1,050 cm-1で出現し、これは、500〜800 cm-1でのAlF3からのAl-F振動からの吸光度が、より低い周波数でのAl2O3中のAl-O振動からの損失を隠したためである。Sn(acac)2およびHF曝露後のスペクトルは200℃および250℃で同様であった。
対照的に、スペクトルは300℃で明確に異なり、ここで、Al-F振動からの吸光度は、Sn(acac)2曝露後にほぼ完全に除去され、次いで、HF曝露後に再出現した。Al-F振動についての吸光度特徴はまた300℃でより狭かった。この狭い吸光度ピークは、AlF3吸着層を構成するAlF3分子中のAl-F振動からの吸光度と重複するAl2O3中のAl-O振動からの吸光度の除去に起因した。
図14は、200℃、250℃および300℃でのAl2O3 ALE中の赤外線吸光度FTIR差スペクトルを示す。青色曲線はSn(acac)2曝露後であり、赤色曲線はHF曝露後である。これらのFTIR差スペクトルは、図13に示される絶対FTIRスペクトルに対応する。これらのFTIR差スペクトルは、前の反応物曝露後のサンプルに関してリファレンスされる。絶対FTIRスペクトルは、Al2O3 ALE前の最初のサンプルに関してリファレンスされる。
図14中の差スペクトルは、逐次的なALE反応中に生じる吸光度変化を強調する。acac表面化学種についての吸光度変化は、200℃、250℃および300℃でのSn(acac)2およびHF曝露後の互いのほぼ鏡像である。対照的に、AlF3吸着層中のAlF3分子からのAl-F振動は、200℃で小さな変化を示し、250℃でのSn(acac)2およびHF曝露後にほぼ鏡像の変化を示す。300℃で、Al-F振動についての吸光度が、HF曝露後に劇的に出現し、Sn(acac)2曝露後に失われる。
図15は、ALE反応機構の非限定的な例示についての図を示す。この機構は、QCM測定およびFTIR研究による表面化学種の解析によって決定されたような、Sn(acac)2およびHF曝露中の質量変化に基づく。Sn(acac)2反応(A)中に、Sn(acac)2はAl2O3基板上のAlF3層と反応する。このAlF3層は、最初のAl2O3表面上での数回のALE反応後、Al2O3とSn(acac)2およびHFとの反応から形成される。Sn(acac)2はAlF3層と反応し、揮発性のSnF(acac)およびAl(acac)3反応生成物ならびにSnF(acac)*表面化学種が形成される。Al(acac)3およびSnF(acac)生成物形成に起因してAlF3層が失われた後、SnF(acac)*表面化学種と、下にあるAl2O3基板との間に強い相互作用が存在し得る。この相互作用は、Al2O3基板へ吸着されたSnF(acac)*化学種をもたらし得る。
HF反応(B)中に、HFは下にあるAl2O3表面と反応し、AlF3吸着層を構成するAlF3分子の新しい層が形成される。AlF3層の形成はまたSnF(acac)*化学種の除去をもたらす。さらに、HFはまた水素を提供し、H2Oが反応生成物として形成される。この反応はAl2O3中の酸素を除去する。AlF3層は、その時、次のSn(acac)2反応の準備ができている。
この全体的な提案される反応は以下:
(A) Al2O3|2AlF3* + 6Sn(acac)2 → Al2O3|xSnF(acac)* + 2Al(acac)3 + (6-x)・SnF(acac) (6)
(B) Al2O3|xSnF(acac)* + 6HF → 2AlF3* + xSnF(acac) + 3H2O (7)
のように表され得る。
式6および7に示されるAl2O3は、ALE反応中にエッチングされるAl2O3の量である。アスタリスクは表面化学種を示し、垂線は様々な表面化学種を分離するために使用される。xは、相対的なΔMSnおよびΔMHF質量変化によって決定されるパラメータである。表2における相対的なΔMSnおよびΔMHF質量変化と合致させるために、150℃、175℃、200℃、225℃および250℃で、それぞれ、x = 0.74、0.46、0.29、0.19および0.15である。AlF3は重要な反応中間体である。AlF3吸着層は、HF曝露中に形成され、次いで、Sn(acac)2曝露中に除去される。
式6および7は、Al2O3 ALE中に変化する化学種を示す。いかなる理論によっても限定されることを望まないが、表面上にあり、Al2O3 ALE中に変化しない、他の表面化学種が存在し得;これらの化学種はQCM測定によって検出されなかった。しかしながら、これらの化学種はFTIR分光法によって観察された。全てのAl(acac)3の生成は反応(A)中に生じると考えられる。Al(acac)3は、150℃で約3〜4 Torrの蒸気圧を有する安定した金属β-ジケトネートである(Eisentra & Sievers, 1967, J. Inorg. Nucl. Chem. 29:1931; Fahlman & Barron, 2000, Adv. Mater. Opt. Electr. 10:223-232; Berg & Truemper, 1965, Anal. Chim. Acta 32:245)。
いかなる理論によっても限定されることを望まないが、ΔMSnおよびΔMHFの温度依存は、Sn(acac)2曝露後に残るSnF(acac)*表面化学種の量に依存し得る。150℃での、Sn(acac)2曝露後の質量増加およびHF曝露後のより大きな質量損失は、SnF(acac)*表面化学種によって説明することができる。より多くのSnF(acac)*表面化学種が、150℃での反応A後に残っている。その後、より多くのSnF(acac)*表面化学種が、150℃での反応Bにおいて失われる。
実施例2:
ある局面において、この実施例は、反応物としてSn(acac)2およびHFを使用するHfO2 ALEを示す。図16は、200℃でのHfO2表面上におけるSn(acac)2およびHF反応の100 ALEサイクル中の質量変化を示す。QCM表面上の最初のHfO2 ALD膜を、200℃でTDMAHおよびH2Oを使用する100サイクルのHfO2 ALDによって調製した。1 ALEサイクルは、1 sのSn(acac)2投入、30 sのN2パージ、1.0 sのHF投入、および30 sの第2のN2パージからなった。この反応シーケンスを1-30-1-30と表示する。Sn(acac)2およびHF投入中の圧力過渡は、それぞれ、20 mTorrおよび80 mTorrであった。
図16におけるHfO2膜のエッチングは、非常に直線的であり、-11.1 ng/(cm2サイクル)の、1サイクル当たりの質量変化(MCPC)を示した。このMCPCは、XRRによって測定された9.7 g/cm3のHfO2 ALD膜密度に基づいて、0.11Å/サイクルのエッチング速度に対応する。第1のALEサイクル中を除いて、全てのALEサイクルが、HfO2膜のエッチングに起因する質量損失を示した。第1サイクルは、ΔMSn = 71 ng/cm2およびΔMHF = 6 ng/cm2の質量増加を示す。
いかなる理論によっても限定されることを望まないが、第1サイクルにおけるΔMSnについての質量増加は、ヒドロキシル化されたHfO2表面上におけるSn(acac)2吸着に起因し得る。Sn(acac)2は、Sn(acac)2*として会合的にまたはSn(acac)*および(acac)*として解離的に吸着することができ、ここで、アスタリスクは表面化学種を指定する。この吸着は質量増大をもたらすと考えられる。さらに、第1サイクルにおけるΔMHFについての質量増加は、HFと、下にあるHfO2表面との反応によるHfF4の形成に起因し得る。反応:
HfO2 + 4HF → HfF4 + 2H2O
は、200℃においてΔG= -19 kcalで自発的である。この第1サイクルは、HfO2基板上において最初のSn(acac)2およびHfF4化学種を確立する。
図17は、図16中の定常状態直線的エッチングレジメンにおける3サイクルについての200℃での時間に対する質量損失の拡大図に相当する。Sn(acac)2曝露と一致して、少しの質量増加後に徐々の質量減少があった。いかなる理論によっても限定されることを望まないが、この挙動は、Sn(acac)2吸着、続いてのSn(acac)2脱着および/または反応生成物の除去を示唆している。-4.8 ng/cm2のΔMSnの質量損失が、1.0 sのSn(acac)2曝露後に観察された。対照的に、HF曝露は、1.0 sのHF曝露後に-6.3 ng/cm2のΔMHFの質量損失をもたらした。
図18Aおよび18Bは、200℃での、HF曝露を1.0 sに固定したSn(acac)2(図18A)およびSn(acac)2曝露を1.0 sに固定したHF(図18B)についての曝露時間に対するMCPCを示すグラフである。図18Aは、HFの単一の1.0 s曝露と共に、異なるSn(acac)2曝露時間を使用するSn(acac)2反応の自己制御挙動を示す。30 sの一定のN2パージを各曝露後に使用した。この反応シーケンスはx-30-1-30と表示され得る。Sn(acac)2曝露時間に対するMCPCは、急速に減少し、-11 ng/(cm2サイクル)のMCPCで横ばい状態になった。
図18Bは、Sn(acac)2の単一の1.0 s曝露と共に、異なるHF曝露時間を使用するHF反応の挙動を示す。この反応シーケンスは1-30-x-30と表示され得る。MCPCは、HF曝露時間に対して減少し、限界値に達するわずかな兆候しか示さなかった。いかなる理論によっても限定されることを望まないが、この挙動は、HFがSn(acac)2曝露中に存在することを可能にして化学蒸気エッチング(CVE)をもたらす、不適切なHFパージ時間に起因し得る。
図19は、150℃〜250℃の様々な温度で逐次的なSn(acac)2およびHF曝露を使用するHfO2 ALEについての時間に対する質量変化を示すグラフである。様々な温度でのHfO2膜のエッチングは、非常に直線的であった。1サイクル当たりの質量変化(MCPC)は、150℃で-6.7 ng/(cm2サイクル)であり、250℃で-11 ng/(cm2サイクル)へ増加した。これらのMCPCは、XRRによって測定された9.7 g/cm3のHfO2 ALD膜密度に基づいて、150℃で0.07Å/サイクルおよび250℃で0.11Å/サイクルのエッチング速度に対応する。第1のALEサイクル中を除いて、全てのALEサイクルが、HfO2膜のエッチングに起因する質量損失を示した。第1サイクルは、Sn(acac)2吸着およびHfF4層形成に起因し得る質量増加を示した。
HfO2 ALEについての全ての反応温度でのΔMSn、ΔMHF、およびMCPC値を、図20A〜20Bに示す。全てのALE反応を、最初のHfO2表面上で1-30-1-30の反応シーケンスを使用して行った。図20Aは、異なる反応温度で得られたΔMSnおよびΔMHF値を示す。ΔMSnは、150℃でわずかな質量増加を示し、より高い温度で次第により大きな質量損失を示した。対照的に、ΔMHFは、150〜200℃の温度で質量損失を示し、より高い温度で質量増加を示した。
図20Bは、MCPCを示し、ここでMCPC =ΔMSn +ΔMHFである。全ての温度が質量損失を示し、質量損失はより高い温度でより大きかった。図20B中のMCPCは図20A中のΔMSnと相関した。この対応は、Sn(acac)2反応中の質量変化が、HfO2 ALE中の質量損失の温度依存を主に担うことを示す。HfO2 ALEについての異なる反応温度でのΔMSn、ΔMHF、およびMCPCを表4に要約する。
(表4)異なる温度でのHfO2 ALEについてのΔMSn、ΔMHFおよびMCPC
Figure 2018500767
図21は、Si(100)上のHfO2膜についての入射角に対するx線強度を示すX線反射率スキャンを示すグラフである。最初のHfO2膜を、150 HfO2 ALDサイクルを使用して成長させた。図21, 曲線(a)は、Si(100)上で成長させた最初のHfO2 ALD膜のXRRスキャンを示す。144ÅのHfO2 ALD膜厚は、入射角に対して反射されたx線強度をフィッティングすることによって得ることができる。図21, 曲線(b)〜(e)は、それぞれ、200℃での50、100、200、および400 ALEサイクル後のエッチングされたHfO2膜のXRRスキャンを示す。HfO2の厚みは、増加するALEサイクル数と共に減少した。この減少する膜厚は、より高いALEサイクル数に伴う、入射角に対するx線強度の調節における減少と合致した。
全てのエッチングされたHfO2膜の臨界角の位置は一定であった。この一定の臨界角は、ALE反応中に膜密度の変化はなかったことを示す。エッチングされたHfO2膜はまた、非常に滑らかであり、HfO2 ALEに対して粗面化しなかった。XRR測定は、6Åの最初のHfO2 ALD膜の粗度を与えた。表面粗度は、50、100、200、および400 ALEサイクル後、3〜4Åへわずかに減少した。これらのXRR表面粗度測定における誤差は≦1Åである。
図22は、(a)150 HfO2 ALDサイクルおよび(b)100 HfO2 ALDサイクルを使用して成長させた最初のHfO2 ALD膜についてのHfO2 ALEサイクル数に対するHfO2膜厚のX線反射率および分光エリプソメトリー測定を示すグラフである。図22, 曲線(a)中の150 HfO2 ALDサイクルを使用して成長させた144Åの最初の厚みを有するHfO2膜について、ALEサイクル数に対する膜厚は、非常に直線的であり、0.11Å/サイクルのエッチング速度を与えた。これらの同じサンプルに対する分光エリプソメトリー(SE)測定は、143Åの最初のHfO2 ALD膜厚と共に、0.11Å/サイクルのエッチング速度を与えた。第1のALEサイクルにおいて生じる質量増加のため、HfO2膜の最初の厚みは、エッチング速度を得るために使用しなかった。
XRR測定をまた、Si(100)ウエハー上に成長させた87Åの厚みを有するHfO2 ALD膜上において行った。これらのHfO2 ALD膜を、1-20-1-20の反応シーケンスで100サイクルのTDMAHおよびH2Oを使用して200℃で堆積した。図20, 曲線(b)は、200℃でのSn(acac)2およびHF反応サイクル数に対する膜厚を示す。XRR測定は、0.11Å/サイクルのHfO2 ALE エッチング速度を与えた。SE測定はまた、87Åの最初のHfO2 ALD膜厚と共に、0.12Å/サイクルのエッチング速度を与えた。第1のALEサイクルにおいて生じる質量増加のため、HfO2膜の最初の厚みは、エッチング速度を決定するために再び用いなかった。
図23は、200℃でのHfO2 ALEサイクル数に対するSiO2粒子上のHfO2膜中の約625 cm-1でのHf-O振動についてのFTIR分光法を使用して記録された絶対赤外線吸光度を示すグラフである。ケイ酸ハフニウム(Si-O-Hf)についての振動特徴もまた約1000 cm-1で出現した。最初のHfO2膜を、HfO2原子層堆積(ALD)を使用して成長させた。これらのスペクトルを、基板として使用したSiO2粒子に対してリファレンスする。HfO2 ALE中に、吸光度は、HfO2中のHf-O振動に対応する約625 cm-1でのピークから次第に失われる。さらに、吸光度が、1,350〜1,650 cm-1でacac表面化学種から観察された。この吸光度減少は、HfO2 ALEに起因するHfO2の徐々の損失と一貫した。
図24は、(A)Sn(acac)2反応および(B)HF反応を示すHfO2 ALEについての非限定的な提案される反応機構の図である。この機構は、QCM測定およびFTIR研究による表面化学種の解析によって決定されたような、Sn(acac)2およびHF曝露中の質量変化に基づく。Sn(acac)2反応(A)中に、Sn(acac)2はHfO2基板上のHfF4層と反応する。このHfF4層は、最初のHfF4表面上での数回のALE反応後、HfF4とSn(acac)2およびHFとの反応から形成される。Sn(acac)2はHfF4層と反応し、揮発性のSnF(acac)およびHf(acac)4反応生成物ならびにSnF(acac)*表面化学種が形成される。Hf(acac)4およびSnF(acac)生成物形成に起因してHfF4層が失われた後、SnF(acac)*表面化学種と、下にあるHfO2基板との間に強い相互作用が存在し得る。この相互作用は、HfO2基板へ吸着されたSnF(acac)*化学種をもたらし得る。
HF反応(B)中に、HFは下にあるHfO2表面と反応し、HfF4吸着層を構成するHfF4分子の新しい層が形成される。HfF4層の形成はまたSnF(acac)*化学種の除去をもたらす。さらに、HFはまた水素を提供し、H2Oが反応生成物として形成される。この反応はHfO2中の酸素を除去する。HfF4層は、その時、次のSn(acac)2反応の準備ができている。
この全体的な提案される反応は以下:
(A) HfO2|2 HfF4* + 4 Sn(acac)2 → HfO2|xSnF(acac)* + Hf(acac)4 + (4-x)・SnF(acac) (8)
(B) HfO2|x SnF(acac)* + 4HF → HfF4* + x SnF(acac) + 2 H2O (9)
のように表され得る。
式8および9に示されるHfO2は、ALE反応中にエッチングされるHfO2の量である。アスタリスクは表面化学種を示し、垂線は様々な表面化学種を分離するために使用される。xは、相対的なΔMSnおよびΔMHF質量変化によって決定されるパラメータである。表3における相対的なΔMSnおよびΔMHF質量変化と合致させるために、150℃、175℃、200℃、225℃および250℃で、それぞれ、x = 1.3、1.0、0.69、0.70、および0.71である。
いかなる理論によっても限定されることを望まないが、ΔMSnおよびΔMHFの温度依存は、Sn(acac)2曝露後に残るSnF(acac)*表面化学種の量に依存し得る。150℃での、Sn(acac)2曝露後の質量増加およびHF曝露後のより大きな質量損失は、SnF(acac)*表面化学種によって説明することができる。より多くのSnF(acac)*表面化学種が、150℃での反応A後に残っている。その後、より多くのSnF(acac)*表面化学種が、150℃での反応Bにおいて失われる。
実施例3:
ある局面において、この実施例は、反応物としてAl(CH3)3およびHFを使用するAl2O3 ALEを示す。図25は、300℃でのAl2O3表面上におけるAl(CH3)3およびHF反応の100 ALEサイクル中の質量変化を示す。QCM表面上の最初のAl2O3 ALD膜を、300℃でAl(CH3)3およびH2Oを使用する200サイクルのAl2O3 ALDによって調製した。1 ALEサイクルは、2 sのAl(CH3)3投入、30 sのN2パージ、1.0 sのHF投入、および30 sの第2のN2パージからなった。この反応シーケンスを2-30-1-30と表示する。Al(CH3)3およびHF投入中の圧力過渡は、それぞれ、40 mTorrおよび80 mTorrであった。
図25におけるAl2O3膜のエッチングは直線的であり、-15.9 ng/(cm2サイクル)の、1サイクル当たりの質量変化(MCPC)を示した。このMCPCは、XRRによって測定された、300℃で成長させた3.1 g/cm3のAl2O3 ALD膜密度に基づいて、0.51Å/サイクルのエッチング速度に対応する。第1のALEサイクル中を除いて、全てのALEサイクルが、Al2O3膜のエッチングに起因する質量損失を示した。第1サイクルは、ΔMAl(CH3)3 = +32 ng/cm2およびΔMHF = +33 ng/cm2の質量増加を示す。
いかなる理論によっても限定されることを望まないが、第1サイクルにおけるΔMTMAについての質量増加は、ヒドロキシル化されたAl2O3表面上におけるAl(CH3)3吸着に起因し得る。Al(CH3)3は、
Al(CH3)3 + Al-OH* → AlO-Al(CH3)2* + CH4
に従って、ヒドロキシル基と反応することによって吸着することができ、ここで、アスタリスクは表面化学種を指定する。この吸着は質量増大をもたらすと考えられる。さらに、第1サイクルにおけるΔMHFについての質量増加は、Al(CH3)2*表面化学種および下にあるAl2O3表面のフッ素化に起因し得る。反応:
Al2O3 + 6HF → 2AlF3 + 3H2O
は、300℃においてΔG= -49 kcalで自発的である。この第1サイクルは、Al2O3基板上において最初のAlF3化学種を確立する。
図26は、図25中の定常状態直線的エッチングレジメンにおける3サイクルについての300℃での時間に対する質量損失の拡大図に相当する。Al(CH3)3曝露と一致して、ΔMTMA = -29.0 ng/cm2の著しい質量減少があった。いかなる理論によっても限定されることを望まないが、この挙動は、Al(CH3)3が反応生成物の除去から大幅な質量損失をもたらすことを示唆している。可能なエッチング反応は、
AlF3 + 2Al(CH3)3 → 3AlF(CH3)2
であり、ここで、AlF(CH3)2は揮発性反応生成物である。図26はまた、ΔMHF = +13.1 ng/cm2の質量増加が、1.0 sのHF曝露後に観察されたことを示す。このHF曝露は、反応:
Al2O3 + 6HF → 2AlF3 + 3H2O
によって、Al2O3基板上にAlF3表面層を再形成する。
図27は、(A)HF反応および(B)Al(CH3)3反応を示すAl2O3 ALEについての非限定的な提案される反応機構の図を示す。HF反応(A)中に、HFは下にあるAl2O3表面と反応し、AlF3吸着層を構成するAlF3分子の新しい層が形成される。HFはまたAlCH3*表面化学種と反応し、追加のAlF3およびCH4反応生成物が形成される。さらに、HFはまた水素を提供し、H2Oが反応生成物として形成される。この反応はAl2O3中の酸素を除去する。AlF3層は、その時、次のAl(CH3)3反応の準備ができている。
Al(CH3)3反応(B)中に、Al(CH3)3はAl2O3基板上のAlF3層と反応し、揮発性AlF(CH3)2反応生成物およびAlCH3*表面化学種が形成される。AlF(CH3)2は100℃で80 Torrの蒸気圧を有する。AlF3層がAlF(CH3)2生成物形成によって除去された後、AlF2(CH3)と、下にあるAl2O3基板との間に強い相互作用が存在し得る。この相互作用は、下にあるAl2O3基板へ吸着されたAlF2(CH3)*化学種をもたらし得る。追加のAl(CH3)3曝露は、
Al(CH3)3 + AlF2(CH3)*→2AlF(CH3)2
の反応によるAlF2(CH3)*化学種の除去をもたらし得る。Al(CH3)3はまた下にあるAl2O3表面と反応し、Al(CH3)*が形成され得る。
TMAおよびHFを使用するAl2O3 ALEについての最も簡単な全体的な提案される反応は以下:
Al2O3 + 6HF + 4Al(CH3)3 → 6AlF(CH3)2 + 3H2O (10)
である。この全体的な反応はHFおよびTMA反応:
(A) Al2O3|Al2O3* + 6HF → Al2O3|2AlF3* + 3H2O (11)
(B) Al2O3|2AlF3* + 4Al(CH3)3 → Al2O3* + 6AlF(CH3)2 (12)
に分けることができる。アスタリスクは表面化学種を示し、垂線は様々な表面化学種を分離するために使用される。式11および12に示されるAl2O3は、ALE反応中にエッチングされるAl2O3の量である。
式10〜12によって記載される反応は不完全であり、これは、Al2O3はまたAl(CH3)3に対して反応性であるためである。TMAとAl2O3との反応はAlCH3*表面化学種を形成することが公知である。Al(CH3)3とAl2O3表面上のAl-O-Al結合とのこの反応は以下:
Al-O-Al* + Al(CH3)3 →Al-O-Al(CH3)2* + Al(CH3)* (13)
のように表され得る。Al(CH3)2*およびAl(CH3)*の形成はAl(CH3)3*表面化学種と単に見なすことができる。
TMA曝露中のAlCH3*表面化学種の形成および追加のAlF3形成ならびにCH4形成を組み入れるために、HFおよびTMA反応は修正される必要があり:
(A) Al2O3|xAl(CH3)3* + (6+3x)HF → 2AlF3|xAlF3* + 3H2O + 3xCH4 (14)
(B) 2AlF3|xAlF3* + (4+3x)Al(CH3)3 → xAl(CH3)3* + (6+3x)AlF(CH3)2 (15)
全体的な提案される反応は、その場合、以下:
Al2O3 + (6+3x)HF + (4+3x)Al(CH3)3 → (6+3x)AlF(CH3)2 + 3H2O + 3xCH4 (16)
のように表され得る。アスタリスクは表面化学種を示し、垂線は様々な表面化学種を分離するために使用される。
図28は、金属酸化物ALE中に生じる一般的なフッ素化および配位子交換プロセスを示す。第1工程において、金属酸化物表面のフッ素化を、HFなどのフッ素前駆体を使用して行い、金属フッ化物層およびH2Oを形成する。第2工程において、Sn(acac)2またはAl(CH3)3などの金属前駆体は、フッ素を受容し、金属フッ化物中の金属へ配位子を供与する。この配位子交換プロセスは、金属フッ化物層を除去する揮発性反応生成物を形成する。
実施例4:
ある局面において、この実施例は、反応物としてSn(acac)2およびHFを使用するAlF3 ALEを示す。図29は、200℃でのAlF3表面上におけるSn(acac)2およびHF反応の100 ALEサイクル中の質量変化を示す。QCM表面上の最初のAlF3 ALD膜を、Al(CH3)3およびHFを使用する100サイクルのAlF3 ALDによって調製した。1 ALEサイクルは、1 sのSn(acac)2投入、30 sのN2パージ、1.0 sのHF投入、および30 sの第2のN2パージからなった。この反応シーケンスを1-30-1-30と表示する。Sn(acac)2およびHF投入中の圧力過渡は、それぞれ、20 mTorrおよび80 mTorrであった。
図29におけるAlF3膜のエッチングは直線的であり、-6.1 ng/(cm2サイクル)の、1サイクル当たりの質量変化(MCPC)を示した。このMCPCは、XRRによって測定された2.9 g/cm3のAlF3 ALD膜密度に基づいて、0.21Å/サイクルのエッチング速度に対応する。第1のALEサイクル中を除いて、全てのALEサイクルが、AlF3膜のエッチングに起因する質量損失を示した。第1サイクルは、ΔMSn = +28 ng/cm2の質量増加およびΔMHF = -8 ng/cm2の質量損失を示す。
図30は、図29中の定常状態直線的エッチングレジメンにおける3サイクルについての200℃での時間に対する質量損失の拡大図に相当する。Sn(acac)2曝露と一致して、ΔMSn = +0.8 ng/cm2のわずかな質量増大があった。図30はまた、ΔMHF = -6.9 ng/cm2の質量損失が1.0 sのHF曝露後に観察されたことを示す。AlF3膜は既に金属フッ化物であるため、金属フッ化物を形成するためにHFは必要とされない。しかしながら、HFは、Sn(acac)2およびHF曝露中、質量損失をもたらす。この挙動は、Sn(acac)2曝露中のAlF3表面上での重いacac含有化学種の蓄積によって説明することができる。HFは、次いで、これらのacac含有化学種を除去し、全体的な質量損失をもたらすことができる。
AlF3 ALEについての提案される機構を示すために、図31は、(A)Sn(acac)2反応および(B)HF反応を示すAlF3 ALEについての非限定的な提案される反応機構の図を示す。Sn(acac)2反応(A)中に、Sn(acac)2は、AlF3基板上のAlF3層と反応し、揮発性のSnF(acac)およびAlF(acac)2反応生成物ならびにAlF(acac)2*表面化学種が形成される。HF反応(B)中に、HFは、AlF3基板上のAlF(acac)2*化学種と反応し、acac含有化学種を除去する。AlF3層は、その時、次のSn(acac)2反応の準備ができている。
追加の比較実験を、>250℃の温度でAlF3膜上においてTMAおよびHF曝露を使用して行った。これらの実験は、TMAがAlF3膜を継続的にエッチングしたことを明らかにした。TMAによるAlF3膜のエッチングは自己制御的ではなかった。
実施例5:
熱的ALEは、(A)フッ素化および(B)金属前駆体との配位子交換反応からなる。ある局面において、この実施例は、配位子交換反応について異なる金属前駆体を使用してのALEの選択性を示す。フッ化水素(HF)をフッ素化源のために使用した。配位子交換反応についての金属前駆体は、スズ(II)アセチルアセトネート(Sn(acac)2)、トリメチルアルミニウム(TMA)、およびジメチルアルミニウムクロリド(DMAC)であった。Siウエハー上のAl2O3、HfO2、ZrO2、SiO2、Si3N4、およびTiNを含む様々な薄膜を試験し、ALEの選択性を観察した。
熱的ALEを、エクスサイチュ分光エリプソメトリー(SE)研究を使用して調べた。最初の膜は、約50Å(39〜68Å)の厚みを有した。図32Aは、200℃でSn(acac)2およびHFを使用する50、100、200および400 ALEサイクル後のSE測定を示す。SiO2、Si3N4、およびTiN膜について無視できるほどの厚み変化があった。対照的に、Al2O3、HfO2、およびZrO2膜は、ALEサイクル数に対して直線的にエッチングされた。図32Bは、Al2O3、HfO2、およびZrO2膜についてのALEサイクル数に対する膜厚を示す。図32Bにおける線形最小二乗適合の傾きは、Al2O3およびHfO2、およびZrO2膜について、それぞれ、0.23Å/サイクル、0.06Å/サイクル、および0.14Å/サイクルのエッチング速度を与える。
図33Aは、300℃でTMAおよびHFを使用する25、50、100、および200 ALEサイクル後のSE測定を示す。ZrO2、SiO2、Si3N4、およびTiN膜について200 ALEサイクル中に無視できるほどの厚み変化がある。対照的に、Al2O3およびHfO2膜は、ALEサイクル数に対して直線的にエッチングされた。図33Bは、Al2O3、HfO2、およびZrO2膜についてのALEサイクル数に対する膜厚を示す。図33Bにおける線形最小二乗適合の傾きは、Al2O3およびHfO2膜について、それぞれ、0.45Å/サイクルおよび0.10Å/サイクルのエッチング速度を与える。0.01Å/サイクルの無視できるほどのエッチング速度が、ZrO2膜について決定された。
図34Aは、250℃でDMACおよびHFを使用する10、25、50、および100 ALEサイクル後のSE測定を示す。SiO2、Si3N4、およびTiN膜について100 ALEサイクル中に無視できるほどの厚み変化がある。Al2O3、HfO2、およびZrO2膜は、ALEサイクル数に対して直線的にエッチングされた。図34Bは、Al2O3、HfO2、およびZrO2についてのALEサイクル数に対する膜厚を示す。図34Bにおける線形最小二乗適合の傾きは、Al2O3およびHfO2、およびZrO2膜について、それぞれ、0.32Å/サイクル、0.77Å/サイクル、および0.96Å/サイクルのエッチング速度を与える。
Al2O3、HfO2、およびZrO2膜に対する追加の対照実験を、フッ素化および金属前駆体の両方が熱的ALEに必要かどうかを決定するために行った。200サイクルのHF曝露を使用する実験は、Al2O3、HfO2、およびZrO2膜について無視できるほどの厚み変化を観察した。さらに、HFおよびアセチルアセトン(acacH, Sigma Aldrich >99%)の逐次曝露は、200℃でAl2O3のエッチングをもたらさなかった。金属を伴わないacac含有化学種単独では、熱的ALEについて十分ではない。
実施例6:
ある局面において、この実施例は、反応物としてTMAおよびHFを使用するGaN ALEを示す。図35は、250℃でのGaN上におけるTMAおよびHF曝露を使用する3 ALEサイクル中の質量変化を示す。QCM表面上の最初のGaN ALD膜を、200℃で、反応物としてTDMAGおよびNH3を用いる200サイクルのGaN ALDを使用して調製した。1 ALEサイクルは、2 sのTMA投入、30 sのN2パージ、1.0 sのHF投入、および30 sの第2のN2パージからなった。この反応シーケンスを2-30-1-30と表示する。TMAおよびHF投入中の圧力過渡は、それぞれ、40 mTorrおよび80 mTorrであった。
図35におけるGaN膜のエッチングはMCPC= -35 ng/(cm2サイクル)を示す。このMCPCは、XRRによって測定された、200℃で成長させた3.9 g/cm3のGaN ALD膜密度に基づいて、0.9Å/サイクルのエッチング速度に対応する。HF曝露は+25 ng/cm2の質量増加をもたらした。Al(CH3)3曝露と一致して、ΔMTMA = -60 ng/cm2の著しい質量減少があった。
いかなる理論によっても限定されることを望まないが、この挙動は、
GaN + 3HF → GaF3 + NH3
によってHF曝露がGaN基板をフッ素化することを示唆している。Al(CH3)3曝露は、次いで、反応生成物の除去から大幅な質量損失をもたらす。可能なエッチング反応は、
GaF3 + 2Al(CH3)3 → GaF(CH3)2 + 2AlF(CH3)2
であり、ここで、GaF(CH3)2およびAlF(CH3)2は揮発性反応生成物である。
図36は、(A)HF反応および(B)Al(CH3)3反応を示すGaN ALEについての非限定的な提案される反応機構の図を示す。HF反応(A)中に、HFは下にあるGaN表面と反応し、GaF3吸着層が形成される。HFはまたGaCH3*および/またはAlCH3*表面化学種と反応し、追加のGaF3*および/またはAlF3*ならびにCH4反応生成物が形成される。さらに、HFはまた水素を提供し、NH3が反応生成物として形成される。この反応はGaN中の窒素を除去する。GaF3層および恐らくいくらかの追加のAlF3化学種は、その時、次のAl(CH3)3反応の準備ができている。
Al(CH3)3反応(B)中に、Al(CH3)3は、GaN基板上のGaF3層および恐らくいくらかの追加のAlF3化学種と反応し、揮発性のGaF(CH3)2およびAlF(CH3)2反応生成物ならびにGaCH3*および/またはAlCH3*表面化学種が形成される。AlF(CH3)2は100℃で80 Torrの蒸気圧を有する。GaF(CH3)2はAlF(CH3)2と同様の蒸気圧を有すると考えられる。GaF3層および恐らくいくらかの追加のAlF3層がGaF(CH3)2およびAlF(CH3)2生成物形成によって除去された後、GaF2(CH3)*およびAlF2(CH3)*生成物と、下にあるGaN基板との間に強い相互作用が存在し得る。この相互作用は、下にあるGaN基板へ吸着されたGaF2(CH3)*およびAlF2(CH3)*化学種をもたらし得る。
追加のAl(CH3)3曝露は、GaF2(CH3)*およびAlF2(CH3)*表面化学種の除去をもたらし得る。GaF2(CH3)*は、
Al(CH3)3 + GaF2(CH3)*→ AlF(CH3)2 + GaF(CH3)2
の反応によって除去され得る。AlF2(CH3)*はまた、
Al(CH3)3 + AlF2(CH3)*→2AlF(CH3)3
の反応によって除去され得る。Al(CH3)3はまた、下にあるGaN表面と反応し、Ga(CH3)*および/またはAl(CH3)*が形成され得る。
実施例7:
ある局面において、この実施例は、反応物としてDMACおよびHFを使用するZnS ALEを示す。ZnS ALEを、エクスサイチュ分光エリプソメトリー(SE)研究を使用して調べた。これらのSE実験は、ZnS膜の厚みが、250℃でDMACおよびHFを使用する50 ALE後、505Åから477Åへ減少したことを明らかにした。これらの結果は0.56Å/サイクルのZnSエッチング速度と一致する。
いかなる理論によっても限定されることを望まないが、これらの結果は、反応:
ZnS + 2HF → ZnF2 + H2S
によってZnSのフッ素化が生じることを示唆している。この反応は、250℃でΔH = -8.5 kcalの好都合な反応エンタルピーを有する。しかしながら、ギブズの自由エネルギーは、ΔG = +7.4 kcalでわずかにプラスである。ZnF2層の形成後、AlCl(CH3)2は、次いで、反応:
ZnF2 + 2AlCl(CH3)2 → Zn(CH3)2 + 2AlFCl(CH3)
によってZnF2層をエッチングし得る。ZnF(CH3)またはZnCl(CH3)は、ZnF(CH3)またはZnCl(CH3)の揮発性に依存して、追加の可能なエッチング生成物であり得る。
追加の比較実験を、250℃でZnS膜上において50サイクルのTMAおよびHF曝露を使用して行った。SE測定は、505Åの最初のZnS膜厚をモニターした。しかしながら、TMA金属前駆体を用いては、50 ALEサイクル後にZnS膜厚の無視できるほどの変化があった。これらの結果は選択的ALEを明らかにし、これは、DMACはZnSをエッチングすることができるが、TMAはZnSをエッチングすることができないためである。
実施例8:
ある局面において、この実施例は、反応物としてDMACおよびHFまたはTMAおよびHFのいずれかを使用するZnO ALEを示す。ZnO ALEを、エクスサイチュSE研究を使用して調べた。SE測定は、最初の厚み、ならびに250℃でDMACおよびHFを使用する50および100 ALEサイクル後の厚みの変化をモニターした。これらの実験は、207Åおよび408Åの最初の厚みを有するZnO膜を用いた。207ÅのZnOの厚みは、250℃でDMACおよびHFを使用する50 ALE後に128Åへ減少した。408ÅのZnOの厚みは、250℃でDMACおよびHFを使用する50 ALE後に345Åへ減少した。これらの結果は、1.3〜1.6Å/サイクルのエッチング速度と一致する。さらに、ZnO膜の粗度は、DMACおよびHFを使用するALE後にわずかに増加した。
比較研究として、ZnO ALEをまた、250℃で、反応物としてTMAおよびHFを使用するSE研究によって調べた。この場合、ZnO膜の厚みは、50 ALEサイクル後に207Åから150Åへ減少した。ZnO膜の厚みはまた、250℃でTMAおよびHFを使用する50 ALE後に408Åから360Åへ減少した。これらの結果は、1.0〜1.1Å/サイクルのエッチング速度と一致する。ZnO膜の粗度は、TMAおよびHFを使用するZnO ALE後に減少した。
いかなる理論によっても限定されることを望まないが、これらの結果は、ZnOが、反応:
ZnO + 2HF → ZnF2 + H2O
によってフッ素化され得ることを示唆している。この反応は、250℃においてΔG = -10.1 kcalで好都合な熱化学を有する。DMACは、次いで、反応 ZnF2 + AlCl(CH3)2 → Zn(CH3)2 + AlClF2によってZnF2をエッチングすることができる。ZnF(CH3)は、ZnF(CH3)が十分に揮発性である場合、追加の可能なエッチング生成物であり得る。同様に、Al(CH3)3もまた、反応:
ZnF2 + Al(CH3)3 → Zn(CH3)2 + AlF2(CH3)
によってZnF2をエッチングすることができる。ZnF(CH3)は、ZnF(CH3)が十分に高い揮発性を有する場合、追加の可能なエッチング生成物であり得る。これらの結果は、DMACおよびTMAの両方がZnO ALEについての有効な金属前駆体であることを示している。
実施例9:
ある局面において、この実施例は、反応物としてTDMAGおよびHFを使用するGa2O3 ALEおよびZnO ALEを示す。Ga2O3 ALEを、インサイチュQCMおよびエクスサイチュ分光エリプソメトリー(SE)研究を使用して調べた。QCM研究は、250℃でMCPC= -10 ng/(cm2サイクル)を測定した。このMCPCは、XRRによって測定された、200℃で成長させた4.8 g/cm3のGa2O3 ALD膜密度に基づいて、0.2Å/サイクルのエッチング速度に対応する。SE測定は、最初の膜厚、ならびに250℃でTDMAGおよびHFを使用する100 ALEサイクル後の膜厚の変化をモニターした。Ga2O3膜の厚みは、250℃でTDMAGおよびHFを使用する100 ALE後に185Åから165Åへ減少した。QCM結果と合致して、Ga2O3 ALEエッチング速度は0.2Å/サイクルであった。
いかなる理論によっても限定されることを望まないが、この挙動は、反応:
Ga2O3 + 6HF → 2GaF3 + 3H2O
によってGa2O3基板がフッ素化されることを示唆している。この反応は、250℃においてΔG = -36.4 kcalで好都合な熱化学を有する。Ga[N(CH3)2]3金属前駆体は、次いで、反応:
GaF3 + 2Ga[N(CH3)2]3 → 3GaF[N(CH3)2]2
によってGaF3層をエッチングし得、ここで、GaF[N(CH3)2]2は揮発性反応生成物である。
100サイクルのTDMAGおよびHF曝露を使用する追加の比較実験は、Al2O3、HfO2、ZrO2、SiO2、Si3N4、およびTiN膜について無視できるほどの厚み変化を観察した。これらの結果は、Ga2O3のエッチングについてのGa[N(CH3)2]3(TDMAG)の選択性を示す。別の実験は、100サイクルのTDMAGおよびHF曝露を使用し、250℃での2つのZnO膜のエッチングを実証した。一方のZnO膜の厚みは1231Åから1213Åへ減少した。他方のZnO膜の厚みは207Åから189Åへ減少した。エッチング速度は0.2〜0.3Å/サイクルと決定された。これらの結果は、TDMAG金属前駆体は、Al2O3、HfO2、ZrO2、SiO2、Si3N4、またはTiNの存在下でGa2O3またはZnOのいずれかを選択的にエッチングできたことを示している。
本明細書において引用されるあらゆる特許、特許出願、および刊行物の開示は、それらの全体が参照により本明細書に組み入れられる。
本発明は具体的な態様を参照して開示されたが、本発明の他の態様および変形物が、本発明の真の精神および範囲を逸脱することなく当業者によって考え出され得ることが、明らかである。添付の特許請求の範囲は、そのような態様および均等な変形物の全てを含むように解釈されるように意図される。

Claims (51)

  1. 第1金属化合物を含む固体基板上での原子層エッチング(ALE)を促進する方法であって、方法が以下の工程:
    (a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    ここで、単座配位子は、アルキル、水素化物、カルボニル、ハロゲン化物、アルコキシド、アルキルアミド、シリルアミドおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み;かつ、
    ここで、キレートは、β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネート、ジアミノアルコキシド、メタロセンおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって固体基板のALEが促進される、方法。
  2. 固体基板を、単一の系中に含め、工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスと逐次的に接触させる、請求項1記載の方法。
  3. 工程(a)および/または工程(b)後に固体基板を不活性ガスでパージする、請求項2記載の方法。
  4. 不活性ガスがN2またはArを含む、請求項3記載の方法。
  5. 工程(a)および/または工程(b)を、約100℃〜約450℃の範囲の値に等しいかまたはこれを超える温度で実行する、請求項1記載の方法。
  6. 工程(a)における第2金属のガス状化合物および工程(b)におけるハロゲン含有ガスを別個の系中に含め、固体基板を一方の系から他方へ物理的に移動する、請求項1記載の方法。
  7. 第1金属化合物が、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項1記載の方法。
  8. 工程(a)を行う前に、元素金属を、対応する金属ハロゲン化物へ変換する、請求項7記載の方法。
  9. 固体基板の表面の少なくとも一部分上において、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される金属化合物の形成をもたらす化学処理へ、固体基板を最初に供する、請求項1記載の方法。
  10. 第1金属が、Al、Hf、Zr、Fe、Ni、Co、Mn、Mg、Rh、Ru、Cr、Si、Ti、Ga、In、Zn、Pb、Ge、Ta、Cu、W、Mo、Pt、Cd、Snおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項1記載の方法。
  11. 第1金属化合物を不活性基板上に堆積する、請求項1記載の方法。
  12. 不活性基板がSiを含む、請求項11記載の方法。
  13. 不活性基板がSiウエハーを含む、請求項12記載の方法。
  14. 第2金属が、Sn、Ge、Al、B、Ga、In、Zn、Ni、Pb、Si、Hf、Zr、Tiおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項1記載の方法。
  15. β-ジケトネートが、acac(アセチルアセトネート)、hfac(ヘキサフルオロアセチルアセトネート)、tfac(トリフルロアセチルアセトネート(trifluroacetylacetonate))、ttmhd(テトラメチルヘプタンジオネート)およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項1記載の方法。
  16. ハロゲン含有ガスがハロゲン化水素を含む、請求項1記載の方法。
  17. ハロゲン化水素がHFを含む、請求項16記載の方法。
  18. ハロゲン化水素がHCl、HBrまたはHIを含む、請求項16記載の方法。
  19. ハロゲン含有ガスが、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3、I2およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項1記載の方法。
  20. ハロゲン含有ガスが、F2、ClF3、NF3、SF6、SF4、XeF2、Cl2、Br2、BCl3、I2、CF4、CF2Cl2、CCl4、CF3Cl、C2F6、CHF3およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    ハロゲン含有ガスはプラズマ中でイオン化されて、少なくとも1つのハロゲンラジカルおよび/またはイオンを生成する、請求項1記載の方法。
  21. ガス状の第2金属前駆体およびハロゲン含有ガスとの逐次接触によって、固体基板を前処理する、請求項1記載の方法。
  22. 第1金属化合物を、原子層堆積を使用して形成する、請求項1記載の方法。
  23. 工程(a)および工程(b)の各サイクルが自己制御的である、請求項1記載の方法。
  24. 工程(a)および工程(b)の各サイクルが、固体基板のほぼ同じエッチング速度および/または質量損失を可能にする、請求項1記載の方法。
  25. 結果として生じるエッチングされた固体基板が、出発固体基板とほぼ同じまたはより低い表面粗度を有する、請求項1記載の方法。
  26. エッチングされた固体基板の密度が、出発固体基板のものとほぼ同じである、請求項1記載の方法。
  27. エッチングされた固体基板の屈折率が、最初の固体基板のものとほぼ同じである、請求項1記載の方法。
  28. 固体基板が少なくとも1つの追加の金属化合物を含み、第1金属化合物のALEが少なくとも1つの追加の金属化合物のALEと比べて選択的である、請求項1記載の方法。
  29. ALE速度を固体基板温度によってコントロールする、請求項1記載の方法。
  30. 空間的ALE技術を使用してALEを行う、請求項1記載の方法。
  31. 多孔性基板において細孔を形成するおよび/または拡大する方法であって、ここで、多孔性基板が第1金属化合物を含み、方法が以下の工程:
    (a)多孔性基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された多孔性基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによって多孔性基板に細孔が形成されるおよび/または拡大される、方法。
  32. 固体基板をパターニングする方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有し、方法が以下の工程:
    (a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによってパターニングされた固体基板が得られる、方法。
  33. 出発固体基板の表面を少なくとも部分的にマスクし、それによって固体基板の表面の一部分のみを露出させる、請求項32記載の方法。
  34. 出発固体基板の表面をフォトレジストで少なくとも部分的にマスクする、請求項33記載の方法。
  35. モノレイヤーセルフアセンブリング、ナノインプリントおよびスタンピングからなる群より選択される技術を使用して、固体基板をさらにパターニングする、請求項32記載の方法。
  36. 固体基板の一部分を選択的に除去する方法であって、ここで、固体基板が第1金属化合物を含み、方法が以下の工程:
    (a)固体基板をガス状金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによって固体基板の一部分が選択的に除去される、方法。
  37. 固体基板が炭素複合材を含む、請求項36記載の方法。
  38. 固体基板の表面を平滑化する方法であって、ここで、固体基板が第1金属化合物を含み、方法が以下の工程:
    (a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによって、出発固体基板よりも低い表面粗度を有する固体基板が形成される、方法。
  39. 第1金属化合物を含む固体基板上での化学蒸気エッチング(CVE)を促進する方法であって、方法が、固体基板をガス状の第2金属前駆体およびハロゲン含有ガスと同時に接触させる工程を含み、ここで、第2金属のガス状化合物は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    ここで、単座配位子は、アルキル、水素化物、カルボニル、ハロゲン化物、アルコキシド、アルキルアミドおよびシリルアミドからなる群より選択される少なくとも1つを含み;かつ、
    ここで、キレートは、β-ジケトネート、アミジネート、アセトアミジネート、β-ジケチミネート、ジアミノアルコキシド、およびメタロセンからなる群より選択される少なくとも1つを含み、
    それによって固体基板のエッチングが促進される、方法。
  40. 接触させる工程を、約100℃〜約450℃の範囲の値に等しいかまたはこれを超える温度で実行する、請求項39記載の方法。
  41. 第1金属化合物が、金属酸化物、金属窒化物、金属リン化物、金属硫化物、金属ヒ化物、金属フッ化物、金属ケイ化物、金属ホウ化物、金属炭化物、金属セレン化物、金属テルル化物、元素金属、金属合金、ハイブリッド有機-無機材料、およびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項39記載の方法。
  42. 第1金属が、Al、Hf、Zr、Fe、Ni、Co、Mn、Mg、Rh、Ru、Cr、Si、Ti、Ga、In、Zn、Pb、Ge、Ta、Cu、W、Mo、Pt、Cd、Snおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含む、請求項39記載の方法。
  43. 請求項1〜30および37〜42のいずれか一項記載の方法に従って得られた固体基板。
  44. 請求項31記載の方法に従って得られた多孔性基板。
  45. 請求項32〜35のいずれか一項記載の方法に従って得られたパターニングされた固体基板。
  46. 請求項36〜37のいずれか一項記載の方法に従って得られた固体基板。
  47. 請求項38記載の方法に従って得られた平滑化固体基板。
  48. 固体基板から不純物の少なくとも一部を除去する方法であって、ここで、固体基板の表面の一部分が、露出された第1金属化合物を有し、方法が以下の工程:
    (a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによって不純物の少なくとも一部が固体基板から除去される、方法。
  49. 固体基板の表面上の少なくとも1つの3D構造フィーチャーのサイズを縮小する方法であって、ここで、固体基板の表面が第1金属化合物を含み、方法が以下の工程:
    (a)固体基板をガス状の第2金属前駆体と接触させる工程であって、ここで、前駆体は、単座配位子、キレートおよびそれらの任意の組み合わせからなる群より選択される少なくとも1つを含み、
    それによって第1金属前駆体が形成される、工程;
    (b)工程(a)において形成された固体基板をハロゲン含有ガスと接触させる工程であって、
    それによって第1金属ハロゲン化物が形成される、工程;および
    (c)任意で、工程(a)および(b)を1回または複数回繰り返す工程
    を含み、
    それによって固体基板の表面上の少なくとも1つの3D構造フィーチャーのサイズが縮小される、方法。
  50. 不純物の少なくとも一部が、請求項1〜30、37〜42および48〜49のいずれか一項記載の方法に従って基板から除去されている、不純物を含む基板。
  51. 3D構造フィーチャーが固体基板の表面上にあり、フィーチャーが請求項1〜30、37〜42および48〜49のいずれか一項記載の方法に従って縮小されている、固体基板。
JP2017532659A 2014-12-18 2015-12-18 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法 Pending JP2018500767A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462093595P 2014-12-18 2014-12-18
US62/093,595 2014-12-18
US201562154994P 2015-04-30 2015-04-30
US62/154,994 2015-04-30
PCT/US2015/066789 WO2016100873A1 (en) 2014-12-18 2015-12-18 Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions

Publications (1)

Publication Number Publication Date
JP2018500767A true JP2018500767A (ja) 2018-01-11

Family

ID=56127707

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017532659A Pending JP2018500767A (ja) 2014-12-18 2015-12-18 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法

Country Status (3)

Country Link
US (1) US10381227B2 (ja)
JP (1) JP2018500767A (ja)
WO (1) WO2016100873A1 (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019196545A (ja) * 2018-05-11 2019-11-14 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. 薄膜形成方法
KR20190129613A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190129612A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190136438A (ko) * 2018-05-30 2019-12-10 주식회사 원익아이피에스 박막 형성 방법
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
JP2020501373A (ja) * 2016-12-09 2020-01-16 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
KR20200010105A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
WO2020170853A1 (ja) * 2019-02-20 2020-08-27 株式会社Adeka 原子層堆積法用窒化ガリウム含有薄膜形成用原料及び窒化ガリウム含有薄膜の製造方法
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
KR20210063249A (ko) * 2019-11-22 2021-06-01 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210148701A (ko) 2020-06-01 2021-12-08 인하대학교 산학협력단 구리 박막의 건식 식각방법
WO2022003803A1 (ja) * 2020-06-30 2022-01-06 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
WO2022123725A1 (ja) * 2020-12-10 2022-06-16 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
JP2022136221A (ja) * 2019-11-22 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2022259399A1 (ja) * 2021-06-09 2022-12-15 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
WO2023282191A1 (ja) * 2021-07-05 2023-01-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2024019025A1 (ja) * 2022-07-19 2024-01-25 セントラル硝子株式会社 ドライエッチング方法、クリーニング方法及び半導体デバイスの製造方法
KR20240028972A (ko) 2022-08-23 2024-03-05 주식회사 히타치하이테크 반도체 장치의 제조 방법
KR20240031606A (ko) 2022-09-01 2024-03-08 인하대학교 산학협력단 배선 금속의 순환 식각방법

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
JP2017022327A (ja) * 2015-07-15 2017-01-26 東京エレクトロン株式会社 自然酸化膜除去方法及び自然酸化膜除去装置
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
SG11201908113WA (en) * 2017-04-13 2019-10-30 Basf Se Process for the etching metal- or semimetal-containing materials
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102553117B1 (ko) * 2017-05-15 2023-07-06 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및 에칭
US11038162B2 (en) 2017-05-31 2021-06-15 Alliance For Sustainable Energy, Llc Coated semiconductor particles and methods of making the same
CN110211920A (zh) * 2018-02-28 2019-09-06 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
JP7072064B2 (ja) 2018-03-26 2022-05-19 インテル・コーポレーション デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
WO2019226341A1 (en) * 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US11307500B2 (en) * 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US10896823B2 (en) 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
CN111771262B (zh) * 2019-02-01 2023-12-08 株式会社日立高新技术 蚀刻方法以及等离子处理装置
US11417849B2 (en) * 2019-05-31 2022-08-16 The Regents Of The University Of Colorado, A Body Corporate Fabrication of corrugated gate dielectric structures using atomic layer etching
CN114008750A (zh) 2019-06-11 2022-02-01 应用材料公司 使用氟及金属卤化物来蚀刻金属氧化物
WO2020257192A1 (en) * 2019-06-18 2020-12-24 Yale University In-situ and selective area etching of surfaces or layers, and high-speed growth of gallium nitride, by organometallic chlorine precursors
WO2021024042A2 (en) 2019-07-17 2021-02-11 Seidel Thomas E Limited dose and angle directed beam assisted ale and ald processes for localized coatings on non-planar surfaces
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11424134B2 (en) 2019-09-19 2022-08-23 Applied Materials, Inc. Atomic layer etching of metals
US11257682B2 (en) * 2019-09-30 2022-02-22 Uchicago Argonne, Llc Molecular layer etching
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11791167B2 (en) 2020-03-31 2023-10-17 Tokyo Electron Limited Cyclic self-limiting etch process
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides
WO2023164367A1 (en) * 2022-02-22 2023-08-31 Lam Research Corporation Atomic layer etching using an inhibitor
WO2024081453A1 (en) 2022-10-14 2024-04-18 Praxair Technology, Inc. High performance semiconductor grade dimethylaluminum chloride

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5389196A (en) 1992-01-30 1995-02-14 Massachusetts Institute Of Technology Methods for fabricating three-dimensional micro structures
US5368687A (en) 1993-03-15 1994-11-29 Micron Technology, Inc. Semiconductor processing method of etching insulating inorganic metal oxide materials and method of cleaning metals from the surface of semiconductor wafers
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US5814238A (en) * 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
WO2004030049A2 (en) * 2002-09-27 2004-04-08 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US20060003145A1 (en) 2004-02-04 2006-01-05 Hansen Carl L Ultra-smooth microfabricated pores on a planar substrate for integrated patch-clamping
US7585772B2 (en) * 2006-07-26 2009-09-08 Freiberger Compound Materials Gmbh Process for smoothening III-N substrates
TWI625424B (zh) * 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
TW201525173A (zh) * 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9659791B2 (en) * 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020501373A (ja) * 2016-12-09 2020-01-16 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
JP7210864B2 (ja) 2018-05-11 2023-01-24 ウォニク アイピーエス カンパニー リミテッド 薄膜形成方法
KR20190129613A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR20190129612A (ko) * 2018-05-11 2019-11-20 주식회사 원익아이피에스 기판 처리 장치
KR102413572B1 (ko) 2018-05-11 2022-06-28 주식회사 원익아이피에스 기판 처리 장치
KR102475844B1 (ko) * 2018-05-11 2022-12-09 주식회사 원익아이피에스 기판 처리 장치
JP2019196545A (ja) * 2018-05-11 2019-11-14 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. 薄膜形成方法
KR20190136438A (ko) * 2018-05-30 2019-12-10 주식회사 원익아이피에스 박막 형성 방법
KR102475843B1 (ko) 2018-05-30 2022-12-09 주식회사 원익아이피에스 박막 형성 방법
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
KR102466724B1 (ko) 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
KR102503671B1 (ko) 2018-07-20 2023-02-24 에이에스엠 아이피 홀딩 비.브이. 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
KR102550244B1 (ko) * 2018-07-20 2023-06-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
KR20200010105A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
WO2020170853A1 (ja) * 2019-02-20 2020-08-27 株式会社Adeka 原子層堆積法用窒化ガリウム含有薄膜形成用原料及び窒化ガリウム含有薄膜の製造方法
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
JP2022136221A (ja) * 2019-11-22 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102567010B1 (ko) 2019-11-22 2023-08-16 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP7326555B2 (ja) 2019-11-22 2023-08-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210063249A (ko) * 2019-11-22 2021-06-01 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210148701A (ko) 2020-06-01 2021-12-08 인하대학교 산학협력단 구리 박막의 건식 식각방법
JP7212444B2 (ja) 2020-06-30 2023-01-25 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
WO2022003803A1 (ja) * 2020-06-30 2022-01-06 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
JPWO2022003803A1 (ja) * 2020-06-30 2022-01-06
US11901192B2 (en) 2020-06-30 2024-02-13 Hitachi High-Tech Corporation Etching processing method and etching processing apparatus
WO2022123725A1 (ja) * 2020-12-10 2022-06-16 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
KR20220083637A (ko) * 2020-12-10 2022-06-20 주식회사 히타치하이테크 반도체 제조 방법 및 반도체 제조 장치
KR102575369B1 (ko) 2020-12-10 2023-09-07 주식회사 히타치하이테크 반도체 제조 방법 및 반도체 제조 장치
KR20220166786A (ko) 2021-06-09 2022-12-19 주식회사 히타치하이테크 반도체 제조 방법 및 반도체 제조 장치
WO2022259399A1 (ja) * 2021-06-09 2022-12-15 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
WO2023282191A1 (ja) * 2021-07-05 2023-01-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2024019025A1 (ja) * 2022-07-19 2024-01-25 セントラル硝子株式会社 ドライエッチング方法、クリーニング方法及び半導体デバイスの製造方法
KR20240028972A (ko) 2022-08-23 2024-03-05 주식회사 히타치하이테크 반도체 장치의 제조 방법
KR20240031606A (ko) 2022-09-01 2024-03-08 인하대학교 산학협력단 배선 금속의 순환 식각방법

Also Published As

Publication number Publication date
WO2016100873A1 (en) 2016-06-23
US10381227B2 (en) 2019-08-13
US20170365478A1 (en) 2017-12-21

Similar Documents

Publication Publication Date Title
JP2018500767A (ja) 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US10787744B2 (en) Enhancement of thermal atomic layer etching
US10793946B1 (en) Reaction chamber passivation and selective deposition of metallic films
JP6827457B2 (ja) Si含有膜形成組成物およびその使用方法
US10208383B2 (en) Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
JP6950012B2 (ja) SiOCN薄膜の形成
TWI709655B (zh) 金屬膜的選擇性沈積
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
US10186420B2 (en) Formation of silicon-containing thin films
TWI810617B (zh) 形成及沈積碳氧化矽薄膜的方法
US7998842B2 (en) Atomic layer deposition metallic contacts, gates and diffusion barriers
Lee et al. Thermal atomic layer etching of HfO2 using HF for fluorination and TiCl4 for ligand-exchange
US6818250B2 (en) Method for forming SIO2 by chemical vapor deposition at room temperature
TW202235660A (zh) 在基板上形成碳氧化矽的方法
JP2019502253A (ja) エッチング反応物質、およびそれを使用するプラズマフリーの酸化物エッチング方法
US11670512B2 (en) Selective deposition on silicon containing surfaces
TWI764437B (zh) 含金屬膜之區域選擇性沈積
CN109811329B (zh) 一种氧化物薄膜的低温原子层沉积方法
TWI784098B (zh) 用於氣相沈積含鈦膜的形成含鈦膜之組成物