TWI784098B - 用於氣相沈積含鈦膜的形成含鈦膜之組成物 - Google Patents
用於氣相沈積含鈦膜的形成含鈦膜之組成物 Download PDFInfo
- Publication number
- TWI784098B TWI784098B TW107142903A TW107142903A TWI784098B TW I784098 B TWI784098 B TW I784098B TW 107142903 A TW107142903 A TW 107142903A TW 107142903 A TW107142903 A TW 107142903A TW I784098 B TWI784098 B TW I784098B
- Authority
- TW
- Taiwan
- Prior art keywords
- halide
- tibr
- precursor
- titanium
- tii
- Prior art date
Links
- 239000010936 titanium Substances 0.000 title claims abstract description 466
- 239000000203 mixture Substances 0.000 title claims abstract description 176
- 229910052719 titanium Inorganic materials 0.000 title claims abstract description 56
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 title claims abstract description 39
- 238000007740 vapor deposition Methods 0.000 title description 6
- 239000002243 precursor Substances 0.000 claims abstract description 329
- 239000000758 substrate Substances 0.000 claims abstract description 71
- -1 titanium halide Chemical class 0.000 claims abstract description 56
- 238000000034 method Methods 0.000 claims abstract description 53
- 150000004820 halides Chemical class 0.000 claims description 210
- 239000000376 reactant Substances 0.000 claims description 68
- 239000002904 solvent Substances 0.000 claims description 32
- UBZYKBZMAMTNKW-UHFFFAOYSA-J titanium tetrabromide Chemical compound Br[Ti](Br)(Br)Br UBZYKBZMAMTNKW-UHFFFAOYSA-J 0.000 claims description 28
- 239000007788 liquid Substances 0.000 claims description 26
- 238000000151 deposition Methods 0.000 claims description 23
- 229910052794 bromium Inorganic materials 0.000 claims description 20
- 229910052740 iodine Inorganic materials 0.000 claims description 18
- 229910010413 TiO 2 Inorganic materials 0.000 claims description 9
- 150000002430 hydrocarbons Chemical group 0.000 claims description 8
- 229930195733 hydrocarbon Natural products 0.000 claims description 7
- 239000004215 Carbon black (E152) Substances 0.000 claims description 4
- 229910000039 hydrogen halide Inorganic materials 0.000 claims description 2
- 239000012433 hydrogen halide Substances 0.000 claims description 2
- 238000005019 vapor deposition process Methods 0.000 abstract description 3
- 230000002194 synthesizing effect Effects 0.000 abstract description 2
- 239000010408 film Substances 0.000 description 130
- 125000001183 hydrocarbyl group Chemical group 0.000 description 51
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 44
- 238000006243 chemical reaction Methods 0.000 description 39
- 239000010410 layer Substances 0.000 description 38
- 238000000231 atomic layer deposition Methods 0.000 description 36
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 33
- 239000007789 gas Substances 0.000 description 32
- 230000008569 process Effects 0.000 description 29
- 229910052751 metal Inorganic materials 0.000 description 26
- 239000002184 metal Substances 0.000 description 25
- 239000000460 chlorine Substances 0.000 description 24
- 239000007787 solid Substances 0.000 description 23
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 19
- 238000005229 chemical vapour deposition Methods 0.000 description 19
- 229910052710 silicon Inorganic materials 0.000 description 19
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 18
- 239000000126 substance Substances 0.000 description 18
- 150000001875 compounds Chemical class 0.000 description 17
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 description 16
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 16
- 239000003446 ligand Substances 0.000 description 16
- 239000000463 material Substances 0.000 description 16
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 14
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 14
- 229910052726 zirconium Inorganic materials 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 12
- 230000008021 deposition Effects 0.000 description 12
- 239000012535 impurity Substances 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- 229910052760 oxygen Inorganic materials 0.000 description 11
- 238000012545 processing Methods 0.000 description 11
- 239000004065 semiconductor Substances 0.000 description 11
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 10
- 125000000217 alkyl group Chemical group 0.000 description 10
- 229910052782 aluminium Inorganic materials 0.000 description 10
- 239000006227 byproduct Substances 0.000 description 10
- 230000008018 melting Effects 0.000 description 10
- 238000002844 melting Methods 0.000 description 10
- 239000013110 organic ligand Substances 0.000 description 10
- 238000010926 purge Methods 0.000 description 10
- 150000003254 radicals Chemical class 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 9
- 239000012159 carrier gas Substances 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 9
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 9
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 8
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 7
- 238000001704 evaporation Methods 0.000 description 7
- 150000002739 metals Chemical class 0.000 description 7
- 235000012239 silicon dioxide Nutrition 0.000 description 7
- 229910052721 tungsten Inorganic materials 0.000 description 7
- 125000004178 (C1-C4) alkyl group Chemical group 0.000 description 6
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- XTHFKEDIFFGKHM-UHFFFAOYSA-N Dimethoxyethane Chemical compound COCCOC XTHFKEDIFFGKHM-UHFFFAOYSA-N 0.000 description 6
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 6
- 238000000137 annealing Methods 0.000 description 6
- 239000012298 atmosphere Substances 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000002981 blocking agent Substances 0.000 description 6
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 230000008020 evaporation Effects 0.000 description 6
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 230000000737 periodic effect Effects 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 6
- RAOIDOHSFRTOEL-UHFFFAOYSA-N tetrahydrothiophene Chemical compound C1CCSC1 RAOIDOHSFRTOEL-UHFFFAOYSA-N 0.000 description 6
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- LOMVENUNSWAXEN-UHFFFAOYSA-N Methyl oxalate Chemical compound COC(=O)C(=O)OC LOMVENUNSWAXEN-UHFFFAOYSA-N 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 5
- 150000001412 amines Chemical class 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 238000002347 injection Methods 0.000 description 5
- 239000007924 injection Substances 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 5
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 5
- 238000003786 synthesis reaction Methods 0.000 description 5
- RKMGAJGJIURJSJ-UHFFFAOYSA-N 2,2,6,6-tetramethylpiperidine Chemical compound CC1(C)CCCC(C)(C)N1 RKMGAJGJIURJSJ-UHFFFAOYSA-N 0.000 description 4
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 4
- 238000005481 NMR spectroscopy Methods 0.000 description 4
- 229910008479 TiSi2 Inorganic materials 0.000 description 4
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 4
- 229910000086 alane Inorganic materials 0.000 description 4
- DFJQEGUNXWZVAH-UHFFFAOYSA-N bis($l^{2}-silanylidene)titanium Chemical compound [Si]=[Ti]=[Si] DFJQEGUNXWZVAH-UHFFFAOYSA-N 0.000 description 4
- 238000009835 boiling Methods 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 229910000043 hydrogen iodide Inorganic materials 0.000 description 4
- 150000002736 metal compounds Chemical class 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910052698 phosphorus Inorganic materials 0.000 description 4
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- 239000013545 self-assembled monolayer Substances 0.000 description 4
- 150000003512 tertiary amines Chemical class 0.000 description 4
- 238000002411 thermogravimetry Methods 0.000 description 4
- 238000013022 venting Methods 0.000 description 4
- 230000004580 weight loss Effects 0.000 description 4
- 125000006657 (C1-C10) hydrocarbyl group Chemical group 0.000 description 3
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 3
- 235000000385 Costus speciosus Nutrition 0.000 description 3
- 244000258136 Costus speciosus Species 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- ZRALSGWEFCBTJO-UHFFFAOYSA-N Guanidine Chemical compound NC(N)=N ZRALSGWEFCBTJO-UHFFFAOYSA-N 0.000 description 3
- 239000002841 Lewis acid Substances 0.000 description 3
- 239000002879 Lewis base Substances 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- 101100078144 Mus musculus Msrb1 gene Proteins 0.000 description 3
- 229910010038 TiAl Inorganic materials 0.000 description 3
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 3
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 3
- 230000005587 bubbling Effects 0.000 description 3
- 239000007795 chemical reaction product Substances 0.000 description 3
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 3
- 150000002170 ethers Chemical class 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 125000000524 functional group Chemical group 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 229910021478 group 5 element Inorganic materials 0.000 description 3
- 150000008282 halocarbons Chemical class 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000004050 hot filament vapor deposition Methods 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 229910052747 lanthanoid Inorganic materials 0.000 description 3
- 150000002602 lanthanoids Chemical class 0.000 description 3
- 229910052746 lanthanum Inorganic materials 0.000 description 3
- 150000007517 lewis acids Chemical class 0.000 description 3
- 150000007527 lewis bases Chemical class 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 229910052758 niobium Inorganic materials 0.000 description 3
- 238000000425 proton nuclear magnetic resonance spectrum Methods 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 239000007858 starting material Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 239000012808 vapor phase Substances 0.000 description 3
- 229910052727 yttrium Inorganic materials 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- LCGLNKUTAGEVQW-UHFFFAOYSA-N Dimethyl ether Chemical compound COC LCGLNKUTAGEVQW-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 description 2
- BIVNKSDKIFWKFA-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-2-amine Chemical compound CC(C)N([SiH3])C(C)C BIVNKSDKIFWKFA-UHFFFAOYSA-N 0.000 description 2
- 229920000144 PEDOT:PSS Polymers 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- OFBQJSOFQDEBGM-UHFFFAOYSA-N Pentane Chemical compound CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 2
- 229920001609 Poly(3,4-ethylenedioxythiophene) Polymers 0.000 description 2
- CZPWVGJYEJSRLH-UHFFFAOYSA-N Pyrimidine Chemical compound C1=CN=CN=C1 CZPWVGJYEJSRLH-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 229910008482 TiSiN Inorganic materials 0.000 description 2
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 2
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 2
- 125000003545 alkoxy group Chemical group 0.000 description 2
- 150000003973 alkyl amines Chemical class 0.000 description 2
- 150000001343 alkyl silanes Chemical class 0.000 description 2
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical compound [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 150000001414 amino alcohols Chemical class 0.000 description 2
- RDOXTESZEPMUJZ-UHFFFAOYSA-N anisole Chemical compound COC1=CC=CC=C1 RDOXTESZEPMUJZ-UHFFFAOYSA-N 0.000 description 2
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 230000006399 behavior Effects 0.000 description 2
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical class Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 2
- 239000011575 calcium Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000012512 characterization method Methods 0.000 description 2
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 2
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 239000000039 congener Substances 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 229910052593 corundum Inorganic materials 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- FIRQYUPQXNPTKO-UHFFFAOYSA-N ctk0i2755 Chemical class N[SiH2]N FIRQYUPQXNPTKO-UHFFFAOYSA-N 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 150000004985 diamines Chemical class 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 2
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 2
- 238000004455 differential thermal analysis Methods 0.000 description 2
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 2
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000004821 distillation Methods 0.000 description 2
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 150000002429 hydrazines Chemical class 0.000 description 2
- GEOVEUCEIQCBKH-UHFFFAOYSA-N hypoiodous acid Chemical compound IO GEOVEUCEIQCBKH-UHFFFAOYSA-N 0.000 description 2
- 239000003112 inhibitor Substances 0.000 description 2
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 2
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 2
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical group NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 2
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 2
- 150000002576 ketones Chemical class 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229920002521 macromolecule Polymers 0.000 description 2
- 239000011777 magnesium Substances 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 238000001393 microlithography Methods 0.000 description 2
- KVKFRMCSXWQSNT-UHFFFAOYSA-N n,n'-dimethylethane-1,2-diamine Chemical compound CNCCNC KVKFRMCSXWQSNT-UHFFFAOYSA-N 0.000 description 2
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 238000005329 nanolithography Methods 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- ZKATWMILCYLAPD-UHFFFAOYSA-N niobium pentoxide Chemical compound O=[Nb](=O)O[Nb](=O)=O ZKATWMILCYLAPD-UHFFFAOYSA-N 0.000 description 2
- 150000002825 nitriles Chemical class 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920001467 poly(styrenesulfonates) Polymers 0.000 description 2
- 150000003141 primary amines Chemical class 0.000 description 2
- DNXIASIHZYFFRO-UHFFFAOYSA-N pyrazoline Chemical compound C1CN=NC1 DNXIASIHZYFFRO-UHFFFAOYSA-N 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 229910052706 scandium Inorganic materials 0.000 description 2
- 150000003335 secondary amines Chemical class 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000011734 sodium Substances 0.000 description 2
- 239000011343 solid material Substances 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 150000003463 sulfur Chemical class 0.000 description 2
- 238000010189 synthetic method Methods 0.000 description 2
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 2
- 150000003609 titanium compounds Chemical class 0.000 description 2
- 229910021341 titanium silicide Inorganic materials 0.000 description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical class Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 2
- NLLZTRMHNHVXJJ-UHFFFAOYSA-J titanium tetraiodide Chemical compound I[Ti](I)(I)I NLLZTRMHNHVXJJ-UHFFFAOYSA-J 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 229910052720 vanadium Inorganic materials 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910001845 yogo sapphire Inorganic materials 0.000 description 2
- 125000005913 (C3-C6) cycloalkyl group Chemical group 0.000 description 1
- RHUYHJGZWVXEHW-UHFFFAOYSA-N 1,1-Dimethyhydrazine Chemical compound CN(C)N RHUYHJGZWVXEHW-UHFFFAOYSA-N 0.000 description 1
- ZSLUVFAKFWKJRC-IGMARMGPSA-N 232Th Chemical compound [232Th] ZSLUVFAKFWKJRC-IGMARMGPSA-N 0.000 description 1
- FTZIQBGFCYJWKA-UHFFFAOYSA-N 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium Chemical compound S1C(C)=C(C)N=C1[N+]1=NC(C=2C=CC=CC=2)=NN1C1=CC=CC=C1 FTZIQBGFCYJWKA-UHFFFAOYSA-N 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 101100533230 Caenorhabditis elegans ser-2 gene Proteins 0.000 description 1
- 101100478231 Caenorhabditis elegans spr-2 gene Proteins 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RVIXKDRPFPUUOO-UHFFFAOYSA-N Dimethyl selenide Natural products C[Se]C RVIXKDRPFPUUOO-UHFFFAOYSA-N 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910000618 GeSbTe Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- CHJJGSNFBQVOTG-UHFFFAOYSA-N N-methyl-guanidine Natural products CNC(N)=N CHJJGSNFBQVOTG-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- 229910052774 Proactinium Inorganic materials 0.000 description 1
- 101150117538 Set2 gene Proteins 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910052776 Thorium Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910003074 TiCl4 Inorganic materials 0.000 description 1
- 229910010386 TiI4 Inorganic materials 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 229910052770 Uranium Inorganic materials 0.000 description 1
- 235000011054 acetic acid Nutrition 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 229910052767 actinium Inorganic materials 0.000 description 1
- 239000000443 aerosol Substances 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000005234 alkyl aluminium group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 150000001409 amidines Chemical class 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 238000000998 batch distillation Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052790 beryllium Inorganic materials 0.000 description 1
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical compound [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 239000002274 desiccant Substances 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- CEJLBZWIKQJOAT-UHFFFAOYSA-N dichloroisocyanuric acid Chemical compound ClN1C(=O)NC(=O)N(Cl)C1=O CEJLBZWIKQJOAT-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- LOMVENUNSWAXEN-NUQCWPJISA-N dimethyl oxalate Chemical group CO[14C](=O)[14C](=O)OC LOMVENUNSWAXEN-NUQCWPJISA-N 0.000 description 1
- YMUZFVVKDBZHGP-UHFFFAOYSA-N dimethyl telluride Chemical compound C[Te]C YMUZFVVKDBZHGP-UHFFFAOYSA-N 0.000 description 1
- SWSQBOPZIKWTGO-UHFFFAOYSA-N dimethylaminoamidine Natural products CN(C)C(N)=N SWSQBOPZIKWTGO-UHFFFAOYSA-N 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000001227 electron beam curing Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 125000001033 ether group Chemical group 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- VBZWSGALLODQNC-UHFFFAOYSA-N hexafluoroacetone Chemical compound FC(F)(F)C(=O)C(F)(F)F VBZWSGALLODQNC-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 1
- CUILPNURFADTPE-UHFFFAOYSA-N hypobromous acid Chemical compound BrO CUILPNURFADTPE-UHFFFAOYSA-N 0.000 description 1
- 230000002779 inactivation Effects 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 230000005596 ionic collisions Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 239000006193 liquid solution Substances 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 238000009285 membrane fouling Methods 0.000 description 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 description 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 238000005649 metathesis reaction Methods 0.000 description 1
- UZKWTJUDCOPSNM-UHFFFAOYSA-N methoxybenzene Substances CCCCOC=C UZKWTJUDCOPSNM-UHFFFAOYSA-N 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- JWJYZMSZUGCIHO-UHFFFAOYSA-N n-[tris(ethylamino)silyl]ethanamine Chemical compound CCN[Si](NCC)(NCC)NCC JWJYZMSZUGCIHO-UHFFFAOYSA-N 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 description 1
- 229940067157 phenylhydrazine Drugs 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 235000019260 propionic acid Nutrition 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 230000007420 reactivation Effects 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 239000002094 self assembled monolayer Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 239000007790 solid phase Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003756 stirring Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000001757 thermogravimetry curve Methods 0.000 description 1
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 1
- JFALSRSLKYAFGM-UHFFFAOYSA-N uranium(0) Chemical compound [U] JFALSRSLKYAFGM-UHFFFAOYSA-N 0.000 description 1
- 238000005292 vacuum distillation Methods 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic Table
- C07F7/28—Titanium compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
Landscapes
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Physical Vapour Deposition (AREA)
Abstract
揭露了包含含鹵化鈦的先質的形成含鈦膜之組成物。還揭露了合成並且使用所揭露的先質經由氣相沈積製程在一個或多個基板上沈積含鈦膜之方法。
Description
揭露了包含含鹵化鈦的先質的形成含Ti膜之組成物。還揭露了合成並且使用所揭露的先質經由氣相沈積製程在一個或多個基板上沈積含鈦膜之方法。
隨著半導體裝置的按比例縮小,需要具有高介電常數的新材料。化學氣相沈積(CVD)和原子層沈積(ALD)已被變成用於此類薄膜的主要沈積技術。CVD和ALD可以提供具有精細限定的厚度和高階梯覆蓋的不同膜(金屬、氧化物、氮化物等)。在CVD和ALD中,先質分子起到獲得具有高保形性和低雜質的高品質膜的關鍵作用。
在高k電介質之中,鈦基材料(諸如TiO2)非常有前途,無論用作純氧化物或混合氧化物,還是用於層壓體中。TiN可用於電極和/或Cu擴散阻擋應用。氧化鈦也可用於其在光刻應用中的抗蝕刻特性,諸如用於硬掩模或間隔定義的多重圖案化應用。矽化鈦可以用作導電插塞(plug)與下面的摻雜矽層之間的接觸。
已知多種鹵化鈦路易士加合物的合成和表徵。參見例如,Ruff等人,New titanium compounds[新鈦化合物],Berichte der Deutschen Chemischen Gesellschaft[德國化學學會報告],1912,45,第1364-1373頁;R.Höltje,Zeitschrift fuer Anorganische und Allgemeine Chemie,1930,190,第241-256頁;Emeléus等人,Complexes of Titanium and Zirconium Halides with Organic Ligands[鈦和鋯的鹵化物與有機配位基的錯合物],J.Chemical Society(Resumed)[化學學會雜誌(續)],1958,第4245-50頁;Fowles等人,Journal of Chemical Society(Resumed)[化學學會雜誌(續)],1959,第990-997頁;G.W.A.Fowles等人,The Reaction of Titanium Halides with Tertiary Amines[鹵化鈦與三級胺的反應],Journal of Chemical Society(Resumed)[化學學會雜誌(續)],1963,第33-38頁;Baker等人,Sulphur Complexes of Quadrivalent Titanium[四價鈦的硫錯合物],Journal of the Less-Common Metals[稀有金屬雜誌],1964,第47-50頁;Eric Turin等人,Adducts of Titanium Tetrahalides with Neutral Lewis Bases.Part I.Structure and Stability:a Vibrational and Multinuclear NMR Study[四鹵化鈦與中性路易士鹼的加合物.第I部分.結構和穩定性:振動和多核NMR研究],Inorganica Chimica Acta[無機化學學報],134(1987),第67-78頁;授予Gordon的美國專利號5,656,338揭露了藉由以下方式化學氣相沈積鈦金屬:在溴中形成四溴化鈦的液體溶液,使溶液蒸發並使蒸氣混合物與基板附近的電漿接觸;
授予Leskelä等人的美國專利號6,706,115揭露了用於借助於原子層沈積製程來生產具有低電阻率的金屬氮化物薄層的方法,該等製程包括金屬和氮源材料的交替表面反應;並且授予Dussarrat等人的美國專利申請公開號2010/0104755揭露了用於藉由以下方式來生產含金屬膜之方法:將不含金屬-C或金屬-N-C s-鍵的金屬源、矽先質、氮先質、碳源和還原劑引入到CVD室中並且使其在基板的表面處反應以在單個步驟中生產含金屬膜。
還已知多種混合鈦鹵代烷基胺基衍生物的合成和表徵。參見例如,Von Hans Bürger等人,Dialkylamino-titanbromide[二烷基胺基-溴化鈦],Zeitschrift für anorganishce und allgemeine Chemie,Band 370,1969,第275-282頁;Von Hans Bürger等人,Dialkylamido-titaniodide[二烷基醯胺基-碘化鈦],Zeitschrift für anorganishce und allgemeine Chemie,Band 381,1971,第198-204頁;授予Roder等人的美國專利申請公開案號2005/0042888揭露了具有式(R1R2N)a-bMXb的金屬有機先質,其中M係選自Ta、Ti、W、Nb、Si、Al、以及B的組的先質金屬中心;a係等於M的化合價的數;1b(a-1);R1和R2可以彼此相同或不同並且各自獨立地選自H、C1-C4烷基、C3-C6環烷基、以及Ro 3Si的組,其中每個Ro可以是相同或不同的並且每個Ro獨立地選自H和C1-C4烷基;並且X選自氯、氟、溴和碘的組。
授予Dussarrat的法國專利申請公開號2871292揭露了在改進矽源的反應性的溫度和壓力條件下注入具有式MX4或MX5的金屬先質(其中M較佳的是Hf)、氧化劑和四(乙基胺基)矽烷。
依然需要在高溫下在蒸氣相沈積期間能夠提供受控的膜厚度的熱穩定的、揮發性的且較佳的是液體含Ti先質。
揭露了形成含Ti膜之組成物,該等組成物包含具有下式之一的含鹵化Ti的先質:TiXb:Ac其中b=3或4;c=1-3;X=Br或I;A=SR2、SeR2、TeR2、或PR3,並且每個R獨立地是H或C1-C10烴基。
還揭露了形成含Ti膜之組成物,該等組成物包含具有下式之一的含鹵化Ti的先質:Ti(NR’2)y(X)z Ti(-N-R”-N-)y(X)z其中y=1-3;z=1-3;y+z=4;X=Br或I;每個R’獨立地是C1-C5烴基或SiR’’’3,其中每個R’’’獨立地是H或C1-C5烴基;並且R”=C1-C5烴基。
所揭露的形成含Ti膜之組成物中的任一種可進一步包括以下方面中的一項或多項:●每個R獨立地是C1-C5烴基;●每個R係不同的C1-C5烴基;●A=SRR’、SeRR’、TeRR’、或PRR’R”,其中R、R’、以及R”各自=H或C1-C10烴基,前提係R不等於R’或R”;●當c=1或2時,b=4;●當c=3時,b=3;●該含鹵化Ti的先質具有低於類似TiX4化合物的熔點的熔點;
●X係Br;●該含鹵化Ti的先質在標準壓力下具有在大約-50℃與大約39℃之間的熔點;●X係I;●該含鹵化Ti的先質在標準壓力下具有在大約-50℃與大約150℃之間的熔點;●該含鹵化Ti的先質在標準壓力下具有在大約-50℃與大約30℃之間的熔點;●該含鹵化Ti的先質在標準溫度和壓力下是液體;●A係SR2,其中每個R獨立地是C1-C5烴基;●A係SRR’,其中R和R’獨立地是C1-C5烴基,前提係R不等於R’;●A係SPr2;●A係SBu2;●A係SEtPr;●A係四氫噻吩;●A=SR2,c=1,並且每個R獨立地是C3-C5烴基;●A=SR2,c=2,並且每個R獨立地是C1-C2烴基;●A=四氫噻吩並且c=2;●該含鹵化Ti的先質係TiBr4:SEt(nPr);●該含鹵化Ti的先質係TiBr4:S(nPr)2;●該含鹵化Ti的先質係TiBr4:S(iPr)2;●該含鹵化Ti的先質係TiBr4:SBu2;●該含鹵化Ti的先質係TiBr4:S(nBu)2;●該含鹵化Ti的先質係TiBr4:S(tBu)2;
●該含鹵化Ti的先質係TiBr4:S(iBu)2;●該含鹵化Ti的先質係TiBr4:S(sBu)2;●該含鹵化Ti的先質係TiBr4:(SEt2)2;●該含鹵化Ti的先質係TiBr4:(SMe2)2;●該含鹵化Ti的先質係TiBr4:(SMeEt)2;●該含鹵化Ti的先質係TiBr4:(四氫噻吩)2;●該含鹵化Ti的先質係TiI4:SEt(nPr);●該含鹵化Ti的先質係TiI4:S(nPr)2;●該含鹵化Ti的先質係TiI4:S(iPr)2;●該含鹵化Ti的先質係TiI4:SBu2;●該含鹵化Ti的先質係TiI4:S(nBu)2;●該含鹵化Ti的先質係TiI4:S(tBu)2;●該含鹵化Ti的先質係TiI4:S(iBu)2;●該含鹵化Ti的先質係TiI4:S(sBu)2;●該含鹵化Ti的先質係TiI4:(SEt2)2;●該含鹵化Ti的先質係TiI4:(SMe2)2;●該含鹵化Ti的先質係TiI4:(SMeEt)2;●該含鹵化Ti的先質係TiI4:(四氫噻吩)2;●A係SeR2,其中每個R獨立地是C1-C5烴基;●A係SeR2,其中每個R係不同的C1-C5烴基;●A係SePr2;●A係SeBu2;●A係SeEtPr;●A係四氫硒酚;
●A=SeR2,c=1,並且每個R獨立地是C3-C5烴基;●A=SeR2,c=2,並且每個R獨立地是C1-C2烴基;●A=四氫硒酚並且c=2;●該含鹵化Ti的先質係TiBr4:SeEtPr;●該含鹵化Ti的先質係TiBr4:SePr2;●該含鹵化Ti的先質係TiBr4:SeBu2;●該含鹵化Ti的先質係TiBr4:(SeMe2)2;●該含鹵化Ti的先質係TiBr4:(SeEt2)2;●該含鹵化Ti的先質係TiBr4:(SeMeEt)2;●該含鹵化Ti的先質係TiBr4:(四氫硒酚)2;●該含鹵化Ti的先質係TiI4:SeEtPr;●該含鹵化Ti的先質係TiI4:SePr2;●該含鹵化Ti的先質係TiI4:SeBu2;●該含鹵化Ti的先質係TiI4:(SeMe2)2;●該含鹵化Ti的先質係TiI4:(SeEt2)2;●該含鹵化Ti的先質係TiI4:(SeMeEt)2;●該含鹵化Ti的先質係TiI4:(四氫硒酚)2;●L係TeR2,其中每個R獨立地是C1-C5烴基;●L係TeR2,其中每個R係不同的C1-C5烴基;●A係TePr2;●A係TeBu2;●A係EtPr;●A係四氫碲吩;●A=TeR2,c=1,其中每個R獨立地是C3-C5烴基;
●A=TeR2,c=2,其中每個R獨立地是C1-2烴基;●A=四氫碲吩並且c=2;●該含鹵化Ti的先質係TiBr4:TeEtPr;●該含鹵化Ti的先質係TiBr4:TePr2;●該含鹵化Ti的先質係TiBr4:TeBu2;●該含鹵化Ti的先質係TiBr4:(TeMe2)2;●該含鹵化Ti的先質係TiBr4:(TeEt2)2;●該含鹵化Ti的先質係TiBr4:(TeMeEt)2;●該含鹵化Ti的先質係TiBr4:(四氫碲吩)2;●該含鹵化Ti的先質係TiI4:TeEtPr;●該含鹵化Ti的先質係TiI4:TePr2;●該含鹵化Ti的先質係TiI4:TeBu2;●該含鹵化Ti的先質係TiI4:(TeMe2)2;●該含鹵化Ti的先質係TiI4:(TeEt2)2;●該含鹵化Ti的先質係TiI4:(TeMeEt)2;●該含鹵化Ti的先質係TiI4:(四氫碲吩)2;●A係PR3,其中每個R獨立地是H或C1-C5烴基;●A係PRR’R”,其中R、R’、以及R”係H或C1-C5烴基,前提係R不等於R’或R”;●該含鹵化Ti的先質係TiBr4:PR3,其中每個R獨立地是H或C3-C10烴基;●該含鹵化Ti的先質係TiBr4:PH3;●該含鹵化Ti的先質係TiBr4:(PR3)2,其中每個R獨立地是H或C1-2烴基;●該含鹵化Ti的先質係TiBr4:(PH3)2;●該含鹵化Ti的先質係TiBr3:(PR3)3,其中每個R獨立地是H或C1-2烴基;
●該含鹵化Ti的先質係TiBr3:(PH3)3;●該含鹵化Ti的先質係TiBr4:(R2P-(CH2)n-PR2),其中每個R獨立地是C1-5烴基並且n=1-4;●該含鹵化Ti的先質係TiBr4:(Me2P-(CH2)n-PMe2);●該含鹵化Ti的先質係TiBr4:(EtMeP-(CH2)n-PMeEt);●該含鹵化Ti的先質係TiBr4:(Et2P-(CH2)n-PEt2);●該含鹵化Ti的先質係TiBr4:(iPr2P-(CH2)n-PiPr2);●該含鹵化Ti的先質係TiBr4:(HiPrP-(CH2)n-PHiPr);●該含鹵化Ti的先質係TiBr4:(tBu2P-(CH2)n-PtBu2);●該含鹵化Ti的先質係TiBr4:(tBuHP-(CH2)n-PHtBu);●該含鹵化Ti的先質係TiBr4:(tAmHP-(CH2)n-PHtAm);●該含鹵化Ti的先質係TiBr4:(Me2P-(CH2)-PMe2);●該含鹵化Ti的先質係TiBr4:(EtMeP-(CH2)-PMeEt);●該含鹵化Ti的先質係TiBr4:(Et2P-(CH2)-PEt2);●該含鹵化Ti的先質係TiBr4:(iPr2P-(CH2)-PiPr2);●該含鹵化Ti的先質係TiBr4:(HiPrP-(CH2)-PHiPr);●該含鹵化Ti的先質係TiBr4:(tBu2P-(CH2)-PtBu2);●該含鹵化Ti的先質係TiBr4:(tBuHP-(CH2)-PHtBu);●該含鹵化Ti的先質係TiBr4:(tAmHP-(CH2)-PHtAm);●該含鹵化Ti的先質係TiBr4:(Me2P-(CH2)2-PMe2);●該含鹵化Ti的先質係TiBr4:(EtMeP-(CH2)2-PMeEt);●該含鹵化Ti的先質係TiBr4:(Et2P-(CH2)2-PEt2);●該含鹵化Ti的先質係TiBr4:(iPr2P-(CH2)2-PiPr2);●該含鹵化Ti的先質係TiBr4:(HiPrP-(CH2)2-PHiPr);
●該含鹵化Ti的先質係TiBr4:(tBu2P-(CH2)2-PtBu2);●該含鹵化Ti的先質係TiBr4:(tBuHP-(CH2)2-PHtBu);●該含鹵化Ti的先質係TiBr4:(tAmHP-(CH2)2-PHtAm);●該含鹵化Ti的先質係TiI4:(Me2P-(CH2)n-PMe2);●該含鹵化Ti的先質係TiI4:(EtMeP-(CH2)n-PMeEt);●該含鹵化Ti的先質係TiI4:(Et2P-(CH2)n-PEt2);●該含鹵化Ti的先質係TiI4:(iPr2P-(CH2)n-PiPr2);●該含鹵化Ti的先質係TiI4:(HiPrP-(CH2)n-PHiPr);●該含鹵化Ti的先質係TiI4:(tBu2P-(CH2)n-PtBu2);●該含鹵化Ti的先質係TiI4:(tBuHP-(CH2)n-PHtBu);●該含鹵化Ti的先質係TiI4:(tAmHP-(CH2)n-PHtAm);●該含鹵化Ti的先質係TiI4:(Me2P-(CH2)-PMe2);●該含鹵化Ti的先質係TiI4:(EtMeP-(CH2)-PMeEt);●該含鹵化Ti的先質係TiI4:(Et2P-(CH2)-PEt2);●該含鹵化Ti的先質係TiI4:(iPr2P-(CH2)-PiPr2);●該含鹵化Ti的先質係TiI4:(HiPrP-(CH2)-PHiPr);●該含鹵化Ti的先質係TiI4:(tBu2P-(CH2)-PtBu2);●該含鹵化Ti的先質係TiI4:(tBuHP-(CH2)-PHtBu);●該含鹵化Ti的先質係TiI4:(tAmHP-(CH2)-PHtAm);●該含鹵化Ti的先質係TiI4:(Me2P-(CH2)2-PMe2);●該含鹵化Ti的先質係TiI4:(EtMeP-(CH2)2-PMeEt);●該含鹵化Ti的先質係TiI4:(Et2P-(CH2)2-PEt2);●該含鹵化Ti的先質係TiI4:(iPr2P-(CH2)2-PiPr2);●該含鹵化Ti的先質係TiI4:(HiPrP-(CH2)2-PHiPr);
●該含鹵化Ti的先質係TiI4:(tBu2P-(CH2)2-PtBu2);●該含鹵化Ti的先質係TiI4:(tBuHP-(CH2)2-PHtBu);●該含鹵化Ti的先質係TiI4:(tAmHP-(CH2)2-PHtAm);●該含鹵化Ti的先質係TiBr3:(R2P-(CH2)n-PR2),其中每個R獨立地是C1-5烴基並且n=1-4;●該含鹵化Ti的先質係TiBr3:(Me2P-(CH2)n-PMe2);●該含鹵化Ti的先質係TiBr3:(EtMeP-(CH2)n-PMeEt);●該含鹵化Ti的先質係TiBr3:(Et2P-(CH2)n-PEt2);●該含鹵化Ti的先質係TiBr3:(iPr2P-(CH2)n-PiPr2);●該含鹵化Ti的先質係TiBr3:(HiPrP-(CH2)n-PHiPr);●該含鹵化Ti的先質係TiBr3:(tBu2P-(CH2)n-PtBu2);●該含鹵化Ti的先質係TiBr3:(tBuHP-(CH2)n-PHtBu);●該含鹵化Ti的先質係TiBr3:(tAmHP-(CH2)n-PHtAm);●該含鹵化Ti的先質係TiBr3:(Me2P-(CH2)-PMe2);●該含鹵化Ti的先質係TiBr3:(EtMeP-(CH2)-PMeEt);●該含鹵化Ti的先質係TiBr3:(Et2P-(CH2)-PEt2);●該含鹵化Ti的先質係TiBr3:(iPr2P-(CH2)-PiPr2);●該含鹵化Ti的先質係TiBr3:(HiPrP-(CH2)-PHiPr);●該含鹵化Ti的先質係TiBr3:(tBu2P-(CH2)-PtBu2);●該含鹵化Ti的先質係TiBr3:(tBuHP-(CH2)-PHtBu);●該含鹵化Ti的先質係TiBr3:(tAmHP-(CH2)-PHtAm);●該含鹵化Ti的先質係TiBr3:(Me2P-(CH2)2-PMe2);●該含鹵化Ti的先質係TiBr3:(EtMeP-(CH2)2-PMeEt);●該含鹵化Ti的先質係TiBr3:(Et2P-(CH2)2-PEt2);
●該含鹵化Ti的先質係TiBr3:(iPr2P-(CH2)2-PiPr2);●該含鹵化Ti的先質係TiBr3:(HiPrP-(CH2)2-PHiPr);●該含鹵化Ti的先質係TiBr3:(tBu2P-(CH2)2-PtBu2);●該含鹵化Ti的先質係TiBr3:(tBuHP-(CH2)2-PHtBu);●該含鹵化Ti的先質係TiBr3:(tAmHP-(CH2)2-PHtAm);●該含鹵化Ti的先質係TiI3:(Me2P-(CH2)n-PMe2);●該含鹵化Ti的先質係TiI3:(EtMeP-(CH2)n-PMeEt);●該含鹵化Ti的先質係TiI3:(Et2P-(CH2)n-PEt2);●該含鹵化Ti的先質係TiI3:(iPr2P-(CH2)n-PiPr2);●該含鹵化Ti的先質係TiI3:(HiPrP-(CH2)n-PHiPr);●該含鹵化Ti的先質係TiI3:(tBu2P-(CH2)n-PtBu2);●該含鹵化Ti的先質係TiI3:(tBuHP-(CH2)n-PHtBu);●該含鹵化Ti的先質係TiI3:(tAmHP-(CH2)n-PHtAm);●該含鹵化Ti的先質係TiI3:(Me2P-(CH2)-PMe2);●該含鹵化Ti的先質係TiI3:(EtMeP-(CH2)-PMeEt);●該含鹵化Ti的先質係TiI3:(Et2P-(CH2)-PEt2);●該含鹵化Ti的先質係TiI3:(iPr2P-(CH2)-PiPr2);●該含鹵化Ti的先質係TiI3:(HiPrP-(CH2)-PHiPr);●該含鹵化Ti的先質係TiI3:(tBu2P-(CH2)-PtBu2);●該含鹵化Ti的先質係TiI3:(tBuHP-(CH2)-PHtBu);●該含鹵化Ti的先質係TiI3:(tAmHP-(CH2)-PHtAm);●該含鹵化Ti的先質係TiI3:(Me2P-(CH2)2-PMe2);●該含鹵化Ti的先質係TiI3:(EtMeP-(CH2)2-PMeEt);●該含鹵化Ti的先質係TiI3:(Et2P-(CH2)2-PEt2);
●該含鹵化Ti的先質係TiI3:(iPr2P-(CH2)2-PiPr2);●該含鹵化Ti的先質係TiI3:(HiPrP-(CH2)2-PHiPr);●該含鹵化Ti的先質係TiI3:(tBu2P-(CH2)2-PtBu2);●該含鹵化Ti的先質係TiI3:(tBuHP-(CH2)2-PHtBu);●該含鹵化Ti的先質係TiI3:(tAmHP-(CH2)2-PHtAm);●A係R(=O)Cl,其中R係C2-C4烴基;●該含鹵化Ti的先質係TiBr4:R(=O)Cl,其中R係C2-C10烴基;●該含鹵化Ti的先質係TiBr4:(Me-C(=O)Cl);●該含鹵化Ti的先質係TiBr4:(Ph-C(=O)Cl);●該含鹵化Ti的先質係TiI4:(Me-C(=O)Cl);●A係RNO2,其中R係C1-C5烴基;●該含鹵化Ti的先質係TiBr4:(MeNO2);●該含鹵化Ti的先質係TiI4:(MeNO2);●該含鹵化Ti的先質係TiBr4:(EtNO2);●該含鹵化Ti的先質係TiBr4:(PrNO2);●該含鹵化Ti的先質係TiBr4:(PhNO2);●A係R≡N,其中R係C2-C6烴基;●該含鹵化Ti的先質係TiBr4:(Me-C≡N)2;●該含鹵化Ti的先質係TiBr4:(Et-C≡N)2;●該含鹵化Ti的先質係TiBr4:(Pr-C≡N)2;●該含鹵化Ti的先質係TiBr4:(Bu-C≡N)2;●該含鹵化Ti的先質係TiBr4:(Ph-C≡N)2;●A係吡啶;●A係哌啶;
●該含鹵化Ti的先質係TiBr4:吡啶;●該含鹵化Ti的先質係TiBr4:哌啶;●該含鹵化Ti的先質係TiBr4:2,2,6,6-四甲基哌啶;●該含鹵化Ti的先質係TiX3(NR2);●該含鹵化Ti的先質係TiBr3(NR2);●該含鹵化Ti的先質係TiBr3(NEt2);●該含鹵化Ti的先質係TiBr3(吡咯啶);●該含鹵化Ti的先質係TiBr3(吡啶);●該含鹵化Ti的先質係TiBr3(哌啶);●該含鹵化Ti的先質係TiI3(NR2);●該含鹵化Ti的先質係TiX2(NR2)2;●該含鹵化Ti的先質係TiBr2(NR2)2;●該含鹵化Ti的先質係TiBr2(NMe2)2;●該含鹵化Ti的先質係TiI2(NR2)2;●該含鹵化Ti的先質係TiX(NR2)3;●該含鹵化Ti的先質係TiBr(NR2)3;●該含鹵化Ti的先質係TiI(NR2)3;●該含鹵化Ti的先質係TiX3(NR,R’-fmd),其中R和R’獨立地是C1-C5烴基;●該含鹵化Ti的先質係TiBr3(NiPr-fmd);●該含鹵化Ti的先質係TiI3(NiPr-fmd);●該含鹵化Ti的先質係TiX3(NR,R’ R”-amd),其中R、R’、以及R”獨立地是C1-C5烴基;●該含鹵化Ti的先質係TiBr3(NiPr Me-amd);●該含鹵化Ti的先質係TiI3(NiPr Me-amd);
●該含鹵化Ti的先質係TiBr2(-N(R)-C2H4-N(R)-),其中每個R獨立地是C1-C5烴基;●該含鹵化Ti的先質係TiIBr2(-N(R)-C2H4-N(R)-),其中每個R獨立地是C1-C5烴基;●該等形成含Ti膜之組成物包含在大約0.1莫耳%與大約50莫耳%之間的該等含鹵化鈦的先質;●該形成含Ti膜之組成物具有在大約1與大約50cps之間的黏度;●該形成含Ti膜之組成物具有在大約1與大約20cps之間的黏度;●該形成含Ti膜之組成物包含在大約95% w/w至大約100% w/w之間的該等含鹵化鈦的先質;●該形成含Ti膜之組成物包含在大約99% w/w至大約100% w/w之間的該等含鹵化鈦的先質;●該形成含Ti膜之組成物進一步包含溶劑;●該形成含Ti膜之組成物包含在大約0% w/w與10% w/w之間的烴溶劑或游離加合物;●該形成含Ti膜之組成物包含在大約0% w/w與5% w/w之間的烴溶劑或游離加合物;●該形成含Ti膜之組成物包含在大約0% w/w與5ppm之間的H2O;●該形成含Ti膜之組成物包含在大約0% w/w與0.2% w/w之間的溴氧化物(TiBr2(=O))、羥基溴化物(TiBr3(OH))、以及氧化物(TiO2)的混合物;●該形成含Ti膜之組成物包含在大約0% w/w與0.1% w/w之間的溴氧化物(TiBr2(=O))、羥基溴化物(TiBr3(OH))、以及氧化物(TiO2)的混合物;
●該形成含Ti膜之組成物包含在大約0% w/w與0.2% w/w之間的碘氧化物(TiI2(=O))、羥基碘化物(TiI3(OH))、以及氧化物(TiO2)的混合物;●該形成含Ti膜之組成物包含在大約0% w/w與0.1% w/w之間的碘氧化物(TiI2(=O))、羥基碘化物(TiI3(OH))、以及氧化物(TiO2)的混合物;●該形成含Ti膜之組成物包含在大約0% w/w與0.1% w/w之間的溴化氫(HBr);●該形成含Ti膜之組成物包含在大約0% w/w與0.1% w/w之間的碘化氫(HI);●該形成含Ti膜之組成物包含在大約0% w/w與0.2% w/w之間的TiX4:SR’2,其中R’≠R;●該溶劑選自由以下各項組成之群組:C1-C16烴(無論是飽和的還是不飽和的)、酮、醚、乙二醇二甲醚、酯、四氫呋喃(THF)、草酸二甲酯(DMO)、及其組合;●該溶劑係C1-C16烴;●該溶劑係C1-C16鹵化烴;●該溶劑係四氫呋喃(THF);●該溶劑係DMO;●該溶劑係醚;●該溶劑係乙二醇二甲醚;或●在含鹵化Ti的先質與溶劑的沸點之間的差小於100℃。
還揭露了形成含Ti膜之組成物之遞送裝置,該等遞送裝置包括罐,該罐具有入口導管和出口導管並且含有以上揭露的任一種形成含Ti膜之組成物。所揭露的遞送裝置可以包括以下方面中的一項或多項:●該形成含Ti膜之組成物具有小於10ppmw的總金屬污染物濃度;●該入口導管的一端位於該形成含Ti膜之組成物的表面上方且該出口導管的一端位於該形成含Ti膜之組成物的表面上方;●該入口導管的一端位於該形成含Ti膜之組成物的表面上方且該出口導管的一端位於該形成含Ti膜之組成物的表面下方;●該入口導管的一端位於該形成含Ti膜之組成物的表面下方且該出口導管的一端位於該形成含Ti膜之組成物的表面上方;或●該含鹵化鈦的先質係TiBr4:S(nPr)2。
還揭露了用於在一個或多個基板上沈積含Ti膜之製程。將以上揭露的至少一種形成含Ti膜之組成物引入到其中設置有至少一個基板的反應器內。將該含鹵化鈦的先質的至少一部分沈積到該一個或多個基板上以形成該含Ti膜。所揭露的製程可以進一步包括以下方面中的一項或多項:●將至少一種反應物引入到該反應器中;●將該反應物進行電漿處理;●將該反應物進行遠端電漿處理;●該反應物不進行電漿處理;●該反應物選自由以下各項組成之群組:H2、NH3、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、環胺像吡咯啶或嘧啶)、腈(諸如乙腈)、二胺(諸如乙二胺、二甲基伸乙基二胺、四甲基伸乙基二胺)、胺基醇
(諸如乙醇胺[HO-CH2-CH2-NH2]、二乙醇胺[HN(C2H5OH)2]或三乙醇胺[N(C2H5OH)3])、吡唑啉、以及吡啶;●該反應物選自由以下各項組成之群組:(SiH3)3N;N(SiHxR3-x)3,其中每個x獨立地是1-3並且每個R獨立地是烷基或NR’2,其中每個R’獨立地是H或C1-C4烷基(諸如(H3Si)2N(SiH2NEt2)、(H3Si)2N(SiH2NiPr2)、或(H3Si)2N(SiH2iPr));R3Si-NH-SiR3,其中每個R獨立地是H、Cl、Br、I、或C1-C4烷基(諸如H3Si-NH-SiH3、H2ISi-NH-SiH3、或Me3Si-NH-SiMe3);氫化矽烷(諸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12);氯矽烷和氯聚矽烷(諸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8);溴矽烷和溴聚矽烷(諸如SiHBr3、SiH2Br2、SiH3Br、Si2Br6、Si2HBr5、Si3Br8);碘矽烷和碘聚矽烷(諸如SiHI3、SiH2I2、SiH3I、Si2I6、Si2HI5、Si3I8);烷基矽烷(諸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3);以及胺基矽烷(諸如三(二甲基胺基)矽烷、二(二乙基胺基)矽烷、二-異丙基胺基矽烷以及其他單胺基矽烷、二胺基矽烷或三胺基矽烷);其自由基;或其混合物●該反應物選自由以下各項組成之群組:NH3、N(SiH3)3、胺基矽烷、以及其混合物;●該反應物選自三烷基鋁、二烷基鋁鹵化物、烷基鋁鹵化物、鋁的烷基胺基和烷氧基衍生物、鋁烷、胺加合的鋁烷、以及其混合物;●該反應物係NH3;●該反應物選自由以下各項組成之群組:O2、O3、H2O、H2O2、NO、N2O、NO2、醇、二醇(諸如乙二醇)、其電漿活化的氧自由基、以及其混合物;●該反應物係H2O;
●該反應物係O2;●該反應物係電漿處理的O2;●該反應物係O3;●該反應物選自由以下各項組成之群組:NH3,肼和取代的肼,胺,諸如一級胺(甲胺、乙胺、異丙胺、三級丁胺)、二級胺(諸如二甲胺、二乙胺、乙基甲基胺、二-異丙胺、吡咯啶)、或三級胺(諸如三乙胺(TEA)、三甲胺(TMA));●該反應物係NH3;●該反應物係肼或取代的肼;●該反應物係一級胺,諸如甲胺、乙胺、異丙胺、三級丁胺;●該反應物係二級胺,諸如二甲胺、二乙胺、乙基甲基胺、二-異丙胺、吡咯啶;●該反應物係三級胺,諸如TEA、TMA;●該反應物係含Si先質;●該含Si先質選自由以下各項組成之群組:SiH4、Si2H6、Si4H8、三矽烷胺(TSA)、以及取代的TSA(由烷基、二烷基胺、鹵化物取代的);●該含Si先質係TSA;●將該形成含Ti膜之組成物和該反應物同時引入到反應器中;●該反應器被配置用於化學氣相沈積;●該反應器被配置用於電漿增強化學氣相沈積;●將該形成含Ti膜之組成物和該反應物順序地引入到室中;●該反應器被配置用於原子層沈積;●該反應器被配置用於電漿增強原子層沈積;●該反應器被配置用於空間原子層沈積;
●從該含鹵化Ti的先質中釋放加合物A;●該釋放的加合物A形成封阻劑;●將封阻劑引入到該反應器中;●該封阻劑係自組裝單層;●該封阻劑係抑制劑;●該含Ti膜係氧化鈦(TinOm,其中n和m各自是在範圍從1至6(包括端點)的整數);●該含Ti膜係TiO2;●該含Ti膜係TiN;●該含Ti膜係TiSiN;●該含Ti膜係TiMiOx,其中i範圍係從0至1;x範圍係從1至6;並且M係來自週期表的任何元素;●該含Ti膜係TiMiOx,其中i範圍係從0至1;x範圍係從1至6;並且M係Si、Al、或Ge;●該含Ti膜係TiMiNy,其中i範圍係從0至1;y範圍係從0.5至6;並且M係來自週期表的任何元素;●該含Ti膜係TiMiNy,其中i範圍係從0至1;y範圍係從0.5至6;並且M係Si、Al、或Ge;●該含Ti膜係TiCN;●該含Ti膜係TiAl;●該含Ti膜係TiAlN;●該含Ti膜係TiMiNyOx,其中i範圍係從0至1;x和y範圍係從1至6;並且M係來自週期表的任何元素;
●該含Ti膜係TiMiNyOx,其中i範圍係從0至1;x和y範圍係從1至6;並且M係Si、Al、或Ge;●該含Ti膜具有範圍係從大約0at%至5at%的C濃度;●該含Ti膜具有範圍係從大約0at%至40at%的O濃度;●該含Ti膜具有範圍係從大約0at%至2at%的S濃度;●該含Ti膜具有範圍係從大約0at%至2at%的Se濃度;●該含Ti膜具有範圍係從大約0at%至2at%的Te濃度;●該含Ti膜具有範圍係從大約0at%至2at%的P濃度;●該含TiN膜形成電容器結構中的電極;●該含TiN膜形成CMOS電晶體或快閃記憶體中的金屬閘極;●該含TiN膜形成埋入式字線;●該含Ti膜係CMOS電晶體或快閃記憶體中的導電金屬插塞與下面的摻雜矽層之間的矽化鈦接觸層;●選擇性地將該含Ti膜沈積到摻雜的矽層上但不沈積到導電金屬插塞上;或●該含Ti膜係選擇性地沈積在鎢層上的氮化鈦層以形成埋入式字線。
某些縮寫、符號以及術語貫穿以下說明書和申請專利範圍使用,並且包括:
如在此所使用,不定冠詞“一個/一種(a或an)”意指一個/一種或多個/多種。
如在此所使用,術語“大約(approximately)”或“約(about)”意指所陳述的值的±10%。
如在此所使用,當被用於描述R基團的上下文中時,術語“獨立地”應理解為表示物件R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且相對於同樣的R基團的任何附加種類獨立地選擇。例如,在式MR1 x(NR2R3)(4-x)中,其中x係2或3,兩個或三個R1基團可以但是不必係彼此相同的或與R2或與R3相同的。進一步地,應理解,除非另外確切地指明,否則當用於不同式中時,R基團的值彼此獨立。
如在此所使用,術語“加合物”意指藉由其方式為存在連線性但不損失原子而直接組合兩個單獨的分子實體形成的分子實體;術語“路易士酸”意指作為電子對受體的分子實體;術語“路易士鹼”意指能夠提供一對電子並因此與路易士酸配位的分子實體;並且術語“路易士加合物”意指在路易士酸與路易士鹼之間形成的加合物。
如在此所使用,術語“烴基”係指含有碳和氫的官能基;術語“烷基”係指僅僅含有碳和氫原子的飽和官能基。烴基可以是飽和的或不飽和的。這兩個術語中的任何一個係指直鏈的、支鏈的、或環狀基團。直鏈烷基的實例包括但不限於甲基、乙基、丙基、丁基等。支鏈烷基的實例包括但不限於三級丁基。環烷基的實例包括但不限於,環丙基、環戊基、環己基等。
如在此所使用,縮寫“Me”係指甲基;縮寫“Et”係指乙基;縮寫“Pr”係指丙基;縮寫“nPr”係指“正”或直鏈丙基;縮寫“iPr”係指異丙基;縮寫“Bu”係指丁基;縮寫“nBu”係指“正”或直鏈丁基;縮寫“tBu”係指三級丁基,也稱為1,1-二甲基乙基;縮寫“sBu”係指二級丁基,也稱為1-甲基丙基;縮寫“iBu”係指異丁基,也稱為2-甲基丙基;術語“amyl”係指戊基(amyl)或戊基(pentyl group)(即,C5烷基);術語“tAmyl”係指三級戊基,也稱為1,1-二甲基丙基;術語“鹵離子”係指鹵素陰離子F-、Cl-、Br-、以及I-;並且縮寫“TMS”係指三甲基矽基或-SiMe3。
如在此所使用,縮寫“NR,R’ R”-amd”或NR R”-amd(當R=R’時)係指脒化物(amidinate)配位基[R-N-C(R”)=N-R’],其中R、R’和R”係限定的烷基,諸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu;縮寫“NR,R’-fmd”或NR-fmd(當R=R’時)係指脒醚配位基[R-N-C(H)=N-R’],其中R和R’係限定的烷基,諸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu;縮寫“NR,R’、NR”,R’’’-gnd”或NR、NR”-gnd(當R=R’並且R”=R’’’時)係指胍基物配位基[R-N-C(NR”R’’’)=NR’],其中R、R’、R”和R’’’係限定的烷基,諸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu。雖然這裡描述為在配位基主鏈的C與N之間具有雙鍵,但熟悉該項技術者將認識到脒化物、脒醚和胍基物配位基不含有固定的雙鍵。相反,一個電子在N-C-N鏈中非定域。
本文中使用元素週期表的元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Ti係指鈦,Br係指溴,C係指碳等)。附加地,第3族係指週期表的第3族(即Sc、Y、La或Ac)並且第5族係指週期表的第5族(即V、Nb或Ta)。
在此列舉的任何及所有範圍包括其端點(即,x=1至4或x範圍從1至4,包括x=1、x=4及x=其間的任何數),不論是否使用術語“包括端點”。
如在此所使用,術語“選擇性的”或“選擇性地”意指在一種類型的基板上沈積膜而不在第二類型的基板上沈積膜或者在一種類型的基板上比
在第二類型的基板上較佳的是更快生長膜。例如,基板可以含有由摻雜的二氧化矽包圍的鎢插塞或通道。所揭露的形成含Ti膜之組成物可以在鎢上沈積含Ti膜,但不在周圍的二氧化矽上沈積,或反之亦然。可替代地,在相同的暴露週期期間,所揭露的形成含Ti膜之組成物可以在一種類型的基板上比在另一種類型的基板上形成更厚的膜。較厚的膜可能是由於更快的生長速率或更短的誘導時間。作為結果,與第二基板相比,所揭露的形成含Ti膜之組成物在一個基板上選擇性地沈積含Ti膜。
請注意,所沈積的膜或層(諸如氧化鈦或氮化鈦)可以在整個說明書及申請專利範圍中在不提及其適當化學計量(即TiO2、Ti3N4)的情況下列舉。該等層可以包括但不限於純(Ti)層、碳化物(TioCp)層、氮化物(TikNl)層、氧化物(TinOm)層或其混合物,其中k、l、m、n、o和p範圍從1至6(包括端點)。例如,氧化鈦為TinOm,其中n範圍從0.5至1.5並且m範圍從1.5至3.5。更較佳的是,氧化鈦層係TiO2。該等膜還可含有氫,典型地從0at%至15at%。然而,因為不是常規測量的,所以給出的任何膜組成物都忽略它們的H含量,除非另外明確地指明。
圖1和圖2
1:形成含Ti膜之組成物之遞送裝置
11:形成含Ti膜之組成物
14:加熱元件
2:容器
3:入口導管
4:出口導管
6:閥
7:閥
8:入口導管3的端部
9:出口導管4的端部
圖3
5:配件
10:控制閥
12:出口導管
15:可密封的頂部
18:密封件
20:墊片
30:內部盤
31:開口/外部氣體通道
33:容器
34:內部盤
35:外部氣體通道
36:內部盤
37:外部氣體通道
40:同心壁
41:同心壁
42:同心壁
44:內部盤
45:外部氣體通道
47:同心槽縫
48:同心槽縫
49:同心槽縫
50:支承腳
51:內部通道
52:氣體窗口
55:浸入管末端
56:內部氣體通道
58:容器的底部
59:氣體通路
61:外壁
62:外部盤
64:環形槽縫
65:環形槽縫
66:環形槽縫
68:壁
69:壁
70:壁
78:外部盤
79:內部氣體通道
82:外部盤
83:內部氣體通道
86:外部盤
87:開口/內部氣體通道
90:控制閥
92:浸入管
95:配件
100:昇華器
為了進一步理解本發明的本質和目的,應結合附圖來參考以下詳細說明,其中:[圖1]為液體形成含Ti膜之組成物之遞送裝置1的一個實施方式之側視圖;[圖2]為形成含Ti膜之組成物之遞送裝置1的第二實施方式之側視圖;[圖3]係用於使固體形成含Ti膜之組成物昇華的固體先質昇華器100之示例性實施方式;[圖4]係實例1中生產的TiBr4:S(nPr)2先質之1H NMR譜;
[圖5]係闡明TiBr4:S(nPr)2在溫度增加時的重量損失的百分比(TGA)或溫度差(DTA)之熱重分析/差熱分析(TGA/DTA)曲線圖;[圖6]係實例2中生產的TiBr4:SEtPr先質之1H NMR譜;[圖7]係闡明TiBr4:SEtPr在溫度增加時的重量損失的百分比之TGA曲線圖;[圖8]係示出了實例3的製程之流程圖;[圖9]係由圖8的步驟1生產的NH2封端的基板之示意性側視圖;[圖10]係圖8的步驟2開始時的基板之示意性側視圖;[圖11]係與基板的反應以及由圖8的步驟2產生的反應副產物之示意性側視圖;[圖12]係由圖8的步驟3生產的基板之示意性側視圖;[圖13]係在圖8的步驟4期間基板之示意性側視圖;並且[圖14]係示出使用TiBr4:S(nPr)2先質的每個ALD循環的氮化鈦膜生長速率和所得氮化鈦膜厚度之曲線圖。
揭露了形成含Ti膜之組成物。該等形成含Ti膜之組成物包含具有下式之一的含鹵化Ti的先質:TiXb:Ac Ti(NR2)y(X)z Ti(-N-R”-N-)y(X)z其中當c=3時,b=3;當c=1或2時,b=4;y=1-3;z=1-3;y+z=4;X=Br或I;A=SR2、SeR2、TeR2、或PR3;每個R獨立地是H、C1-C5烴基或SiR’3,其中每個R’獨立地是H或C1-C5烴基;並且R”=C1-C5烴基。較佳的是,b=4並且c=1或2。然而,在某些實施方式中,八面體TiX3:A3係最穩定的實施方式。
具有式TiX4:Ac(其中c=1或2並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:SR2、TiX4:(SR2)2、TiX4:SeR2、TiX4:(SeR2)2、TiX4:TeR2、或TiX4:(TeR2)2,其中每個R獨立地是C1-C5烴基。當使用不同的R時(例如SEtPr),該含鹵化Ti的先質可以是液體。不同的R基團可以降低分子間力,導致比具有相同R基團的分子更低的熔點和黏度(即,SEtPr可以具有比SEt2和/或SPr2更低的熔點和黏度)。兩個R基團也可以連接形成環狀結構。當c=2時,由於位阻,每個R較佳的是較小的烴基配位基。例如,當c=2時,每個R可以獨立地為C1-2烴基。相比之下,當c=1時,先質享有較小的位阻並且每個R可獨立地為C3-C5烴基。
當X=Br並且A=SR2時,示例性TiX4:Ac先質包括TiBr4:SEtPr、TiBr4:SPr2、TiBr4:S(nPr)2、TiBr4:S(iPr)2、TiBr4:SBu2、TiBr4:S(nBu)2、TiBr4:S(tBu)2、TiBr4:S(iBu)2、TiBr4:S(sBu)2、TiBr4:(SMe2)2、TiBr4:(SEt2)2、TiBr4:(SMeEt)2、或TiBr4:(四氫噻吩)2。
當X=I並且A=SR2時,示例性TiX4:Ac先質包括TiI4:SEtPr、TiI4:S(nPr)2、TiI4:S(iPr)2、TiI4:SBu2、TiI4:S(nBu)2、TiI4:S(tBu)2、TiI4:S(iBu)2、TiI4:S(sBu)2、TiI4:(SEt2)2、TiI4:(SMe2)2、TiI4:(SMeEt)2、或TiI4:(四氫噻吩)2。
示例性TiX4:(SeR2)c先質包括TiBr4:SeMePr、TiBr4:SePr2、TiBr4:SeBu2、TiBr4:(SeMe2)2、TiBr4:(SeEt2)2、TiBr4:(SeMeEt)2、或TiBr4:(四氫硒酚)2。
示例性TiX4:(TeR2)c先質包括TiBr4:TeMePr、TiBr4:TePr2、TiBr4:TeBu2、TiBr4:(TeMe2)2、TiBr4:(TeEt2)2、TiBr4:(TeMeEt)2、或TiBr4:(四氫碲吩)2。
該等先質可以藉由在任何溶劑中使鹵化Ti與過量配位基直接反應來製備。參見例如,Fowles等人,Journal of the less common metals[稀有金
屬雜誌],8,1965,第47-50頁。鹵化物起始材料係可商購的。SR2、SeR2和TeR2起始材料可以是可商購的和/或藉由文獻中已知的方法合成。在下面的實例中提供了包含進一步細節的示例性合成方法。
具有式TiXb:Ac(其中b=3或4,c=1-3,並且X=Br或I)的示例性含鹵化Ti的先質包括TiXb:(PR3)c,其中每個R獨立地是H或C1-C5烴基。A可以是PRR’R”,其中R不等於R’和R”。相鄰的R基團也可以連接形成環狀結構。當c=2時,由於位阻,每個R較佳的是較小的烴基配位基。例如,當c=2時,每個R可以獨立地為H或C1-2烴基。相比之下,當c=1時,先質享有較小的位阻並且每個R可獨立地為C3-C10烴基。示例性TiXb:(PR3)c先質包括TiBr4:PH3、TiBr4:(PH3)2、或TiBr3:(PH3)3。該等先質可以藉由使鹵化Ti與過量PR3直接反應來製備。參見例如,R.Höltje,Zeitschrift fuer Anorganische und Allgemeine Chemie,1930,190,第241-256頁。
另一種示例性含鹵化Ti的先質具有式TiX4:(R2P-(CH2)n-PR2)或TiX3:(R2P-(CH2)n-PR2),其中每個R獨立地是C1-5烴基並且n=1-4。該等先質可以藉由使鹵化Ti與過量R2P-CH2-PR2直接反應來合成。參見例如,Fowles等人,Journal of the less common metals[稀有金屬雜誌],8,1965,第47-50頁。熟悉該項技術者將認識到R2P-CH2-PR2配位基可以將Ti(IV)還原成Ti(III)。作為結果,該等形成含Ti膜之組成物可包括TiX4:(R2P-(CH2)n-PR2)和TiX3:(R2P-(CH2)n-PR2)先質兩者的組合。
當X=Br時,示例性TiX4:(R2P-(CH2)n-PR2)先質包括TiBr4:(Me2P-(CH2)n-PMe2)、TiBr4:(EtMeP-(CH2)n-PMeEt)、TiBr4:(Et2P-(CH2)n-PEt2)、TiBr4:(iPr2P-(CH2)n-PiPr2)、TiBr4:(HiPrP-(CH2)n-PHiPr)、TiBr4:(tBu2P-(CH2)n-PtBu2)、TiBr4:(tBuHP-(CH2)n-PHtBu)、TiBr4:(tAmHP-(CH2)n-PHtAm)、
TiBr4:(Me2P-(CH2)-PMe2)、TiBr4:(EtMeP-(CH2)-PMeEt)、TiBr4:(Et2P-(CH2)-PEt2)、TiBr4:(iPr2P-(CH2)-PiPr2)、TiBr4:(HiPrP-(CH2)-PHiPr)、TiBr4:(tBu2P-(CH2)-PtBu2)、TiBr4:(tBuHP-(CH2)-PHtBu)、TiBr4:(tAmHP-(CH2)-PHtAm)、TiBr4:(Me2P-(CH2)2-PMe2)、TiBr4:(EtMeP-(CH2)2-PMeEt)、TiBr4:(Et2P-(CH2)2-PEt2)、TiBr4:(iPr2P-(CH2)2-PiPr2)、TiBr4:(HiPrP-(CH2)2-PHiPr)、TiBr4:(tBu2P-(CH2)2-PtBu2)、TiBr4:(tBuHP-(CH2)2-PHtBu)、或TiBr4:(tAmHP-(CH2)2-PHtAm)。
示例性TiX3:(R2P-(CH2)n-PR2)先質包括TiBr3:(Me2P-(CH2)n-PMe2)、TiBr3:(EtMeP-(CH2)n-PMeEt)、TiBr3:(Et2P-(CH2)n-PEt2)、TiBr3:(iPr2P-(CH2)n-PiPr2)、TiBr3:(HiPrP-(CH2)n-PHiPr)、TiBr3:(tBu2P-(CH2)n-PtBu2)、TiBr3:(tBuHP-(CH2)n-PHtBu)、TiBr3:(tAmHP-(CH2)n-PHtAm)、TiBr3:(Me2P-(CH2)-PMe2)、TiBr3:(EtMeP-(CH2)-PMeEt)、TiBr3:(Et2P-(CH2)-PEt2)、TiBr3:(iPr2P-(CH2)-PiPr2)、TiBr3:(HiPrP-(CH2)-PHiPr)、TiBr3:(tBu2P-(CH2)-PtBu2)、TiBr3:(tBuHP-(CH2)-PHtBu)、TiBr3:(tAmHP-(CH2)-PHtAm)、TiBr3:(Me2P-(CH2)2-PMe2)、TiBr3:(EtMeP-(CH2)2-PMeEt)、TiBr3:(Et2P-(CH2)2-PEt2)、TiBr3:(iPr2P-(CH2)2-PiPr2)、TiBr3:(HiPrP-(CH2)2-PHiPr)、TiBr3:(tBu2P-(CH2)2-PtBu2)、TiBr3:(tBuHP-(CH2)2-PHtBu)、或TiBr3:(tAmHP-(CH2)2-PHtAm)。
當X=I時,示例性TiX4:(R2P-(CH2)n-PR2)先質包括TiI4:(Me2P-(CH2)n-PMe2)、TiI4:(EtMeP-(CH2)n-PMeEt)、TiI4:(Et2P-(CH2)n-PEt2)、TiI4:(iPr2P-(CH2)n-PiPr2)、TiI4:(HiPrP-(CH2)n-PHiPr)、
TiI4:(tBu2P-(CH2)n-PtBu2)、TiI4:(tBuHP-(CH2)n-PHtBu)、TiI4:(tAmHP-(CH2)n-PHtAm)、TiI4:(Me2P-(CH2)-PMe2)、TiI4:(EtMeP-(CH2)-PMeEt)、TiI4:(Et2P-(CH2)-PEt2)、TiI4:(iPr2P-(CH2)-PiPr2)、TiI4:(HiPrP-(CH2)-PHiPr)、TiI4:(tBu2P-(CH2)-PtBu2)、TiI4:(tBuHP-(CH2)-PHtBu)、TiI4:(tAmHP-(CH2)-PHtAm)、TiI4:(Me2P-(CH2)2-PMe2)、TiI4:(EtMeP-(CH2)2-PMeEt)、TiI4:(Et2P-(CH2)2-PEt2)、TiI4:(iPr2P-(CH2)2-PiPr2)、TiI4:(HiPrP-(CH2)2-PHiPr)、TiI4:(tBu2P-(CH2)2-PtBu2)、TiI4:(tBuHP-(CH2)2-PHtBu)、或TiI4:(tAmHP-(CH2)2-PHtAm)。
示例性TiX3:(R2P-(CH2)n-PR2)先質包括TiI3:(Me2P-(CH2)n-PMe2)、TiI3:(EtMeP-(CH2)n-PMeEt)、TiI3:(Et2P-(CH2)n-PEt2)、TiI3:(iPr2P-(CH2)n-PiPr2)、TiI3:(HiPrP-(CH2)n-PHiPr)、TiI3:(tBu2P-(CH2)n-PtBu2)、TiI3:(tBuHP-(CH2)n-PHtBu)、TiI3:(tAmHP-(CH2)n-PHtAm)、TiI3:(Me2P-(CH2)-PMe2)、TiI3:(EtMeP-(CH2)-PMeEt)、TiI3:(Et2P-(CH2)-PEt2)、TiI3:(iPr2P-(CH2)-PiPr2)、TiI3:(HiPrP-(CH2)-PHiPr)、TiI3:(tBu2P-(CH2)-PtBu2)、TiI3:(tBuHP-(CH2)-PHtBu)、TiI3:(tAmHP-(CH2)-PHtAm)、TiI3:(Me2P-(CH2)2-PMe2)、TiI3:(EtMeP-(CH2)2-PMeEt)、TiI3:(Et2P-(CH2)2-PEt2)、TiI3:(iPr2P-(CH2)2-PiPr2)、TiI3:(HiPrP-(CH2)2-PHiPr)、TiI3:(tBu2P-(CH2)2-PtBu2)、TiI3:(tBuHP-(CH2)2-PHtBu)、或TiI3:(tAmHP-(CH2)2-PHtAm)。
具有式TiX4:Ac(其中c=1並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:(R(=O)Cl),其中R係C2-C6烴基。示例性TiX4:(R(=O)Cl)先質包括TiBr4:(Me-C(=O)Cl)、TiBr4:(Ph-C(=O)Cl)、或TiI4:(Me-C(=O)Cl)。該等先
質可以藉由沒有溶劑或在CCl4、苯、甲苯中使鹵化Ti與過量配位基直接反應來製備。參見例如,Emeléus等人,Complexes of Titanium and Zirconium Halides with Organic Ligands[鈦和鋯的鹵化物與有機配位基的錯合物],J.Chemical Society(Resumed)[化學學會雜誌(續)],1958,第4245-50頁。
具有式TiX4:Ac(其中c=1並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:(RNO2),其中R係C1-C10烴基。示例性TiX4:(RNO2)先質包括TiBr4:(MeNO2)、TiI4:(MeNO2)、TiBr4:(EtNO2)、TiBr4:(PrNO2)、或TiBr4:(PhNO2)。該等先質可以藉由沒有溶劑或在CCl4、苯、甲苯中使鹵化Ti與過量配位基直接反應來製備。參見例如,Emeléus等人,Complexes of Titanium and Zirconium Halides with Organic Ligands[鈦和鋯的鹵化物與有機配位基的錯合物],J.Chemical Society(Resumed)[化學學會雜誌(續)],1958,第4245-50頁。
具有式TiX4:Ac(其中c=2並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:(R≡N)2,其中R係C2-C10烴基。示例性TiX4:(R≡N)c先質包括TiBr4:(Me-C≡N)2、TiBr4:(Et-C≡N)2、TiBr4:(Pr-C≡N)2、TiBr4:(Bu-C≡N)2、或TiBr4:(Ph-C≡N)2。該等先質可以藉由沒有溶劑或在CCl4、苯、甲苯中使鹵化Ti與過量配位基直接反應來製備。參見例如,Emeléus等人,Complexes of Titanium and Zirconium Halides with Organic Ligands[鈦和鋯的鹵化物與有機配位基的錯合物],J.Chemical Society(Resumed)[化學學會雜誌(續)],1958,第4245-50頁。
具有式TiX4:Ac(其中c=1或2並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:(吡啶)c。示例性TiX4:(吡啶)c先質包括TiBr4:吡啶。該等先質可以藉由沒有溶劑或在CCl4、苯、甲苯中使鹵化Ti與過量配位基直接反應來製備。參見例如,Emeléus等人,Complexes of Titanium and Zirconium
Halides with Organic Ligands[鈦和鋯的鹵化物與有機配位基的錯合物],J.Chemical Society(Resumed)[化學學會雜誌(續)],1958,第4245-50頁。
具有式TiX4:Ac(其中c=1或2並且X=Br或I)的示例性含鹵化Ti的先質包括TiX4:(哌啶)c。示例性TiX4:(哌啶)c先質包括TiBr4:哌啶或TiBr4:2,2,6,6-四甲基哌啶。該等先質可以藉由在苯或甲苯中使鹵化Ti與過量配位基直接反應來合成。參見例如Dermer等人,in Zeitschrift fuer Anorganishce und Allgemeine Chemie(1934)221,第83-96頁。
具有式Ti(NR2)y(X)z(其中y=1-3,z=1-3,y+z=4,X=Br或I,並且每個R獨立地是H、C1-C10烴基、或SiR’3,其中每個R’獨立地是H或C1-C10烴基)的示例性含鹵化Ti的先質包括TiX3(NR2)、TiX2(NR2)2、或TiX(NR2)3。兩個R基團可以連接形成環狀結構。
示例性TiX3(NR2)先質包括TiBr3(NR2)和TiI3(NR2),諸如TiBr3(NEt2)、TiBr3(吡咯啶)、TiBr3(吡啶)、或TiBr3(哌啶)。該等先質可以藉由TiX4與Me3Si(NR2)反應來合成,如藉由Buerger等人,Zeitschrift fuer Anorganische und Allgemeine Chemie,370(5-6),1969,第275-282頁描述的。
示例性TiX2(NR2)2先質包括TiBr2(NR2)2和TiI2(NR2)2,諸如TiBr2(NMe2)2。該等先質可以藉由TiX4與Ti(NR2)4複分解來合成,如藉由Buerger等人,Zeitschrift fuer Anorganische und Allgemeine Chemie,370(5-6),1969,第275-282頁描述的。
示例性TiX(NR2)3先質包括TiBr(NR2)3和TiI(NR2)3。該等先質可以藉由TiX4與Ti(NR2)4反應來合成,如藉由Buerger等人,Zeitschrift fuer Anorganische und Allgemeine Chemie,370(5-6),1969,第275-282頁描述的。
具有式Ti(-N-R”-N-)y(X)z(其中y=1-3,z=1-3,y+z=4,X=Br或I,並且R”係C1-C10烴基)的示例性含鹵化Ti的先質包括TiBr3(NiPr-fmd)、
TiBr3(NiPr Me-amd)、或TiBr2(-N(R)-C2H4-N(R)-)2。該等先質可以藉由使TiBr4或TiI4和脒化物配位基的三甲基矽基衍生物(例如TiBr4和TMS-NiPr Me-amd)反應來合成。在D.Fenske等人,Z.Naturforsch.43b,1611-1615(1988);D.Liguori等人,Macromolecules[大分子]2003,36,5451-5458中描述了用於氯化鈦錯合物的示例性合成方法。
熟悉該項技術者將認識到用於實踐所揭露的合成方法的設備的來源。基於所希望的溫度範圍、壓力範圍、當地法規等可以要求該等部件的某一水平的定製化。示例性設備供應商包括步琪玻璃烏斯特市公司(Buchi Glass Uster AG)、山東凱斯達機械製造有限公司(Shandong ChemSta Machinery Manufacturing Co.Ltd.)、江蘇沙家浜化工設備有限公司(Jiangsu Shajabang Chemical Equipment Co.Ltd)等。
為了確保製程可靠性,該等形成含Ti膜之組成物可在使用前藉由連續或分級分批蒸餾或昇華純化至純度範圍為從大約93% w/w至大約100% w/w,較佳的是範圍為從大約99% w/w至大約100% w/w。該等形成含Ti膜之組成物可含有下列任何雜質:不期望的同類物質;過量的加合物;鹵化氫(HX);溶劑;鹵化的金屬化合物(TiX);或其他反應產物。在一個替代方案中,該等雜質的總量係低於0.1% w/w。
藉由使用高純度反應物可以獲得高純度產物。例如,SR2加合物可含有痕量的SR’2,其中R≠R’。較佳的是,該形成含Ti膜之組成物包含在大約0% w/w與0.2% w/w之間的TiXb:(SR’2)c,其中R’≠R。可以使用GC和/或NMR在起始材料或成品中分析SR’2水平。
該等形成含Ti膜之組成物應該不含水,因為該等分子將水解(例如,在大約0% w/w與5ppm之間的H2O)。存在於該等形成含Ti膜之組成物中的任何水可導致形成所不希望的鹵氧化物(TiBr2(=O)或TiI2(=O))、羥基
鹵化物(TiBr3(OH)或TiI3(OH))、以及氧化物(TiO2)。該形成含Ti膜之組成物中的這三種雜質的組合的總量應該小於0.2% w/w,並且較佳的是小於0.1% w/w。可以使用NMR、FTIR、TGA或其組合檢測該等雜質。
鹵化氫(即HBr或HI)反應副產物的量也應該最小化,因為它可以與遞送線和沈積室中的組分反應。HX也可能對下面的基板有害。該等形成含Ti膜之組成物應該含有小於0.1% w/w並且較佳的是小於0.01% w/w的任何HX副產物。可以使用FTIR和/或GC檢測該等雜質。
己烷、戊烷、二甲醚或苯甲醚在經純化的形成含Ti膜之組成物中的各自濃度範圍可以是從大約0% w/w至大約5% w/w,較佳的是從大約0% w/w至大約0.1% w/w。溶劑可以用於該組成物的合成中。如果溶劑和先質二者都具有相似的沸點,則從先質中分離溶劑可能是困難的。冷卻混合物可在液體溶劑中產生可藉由過濾分離的固體先質。還可以使用真空蒸餾,只要該先質反應產物不在大約其分解點以上加熱。
在一個替代方案中,所揭露的形成含Ti膜之組成物含有小於5% v/v、較佳的是小於1% v/v、更較佳的是小於0.1% v/v、並且甚至更較佳的是小於0.01% v/v的其所不希望的同族物種、反應物、或其他反應產物中的任一種。此替代方案可提供更好的方法可重複性。此替代方案可藉由含Ti先質的蒸餾產生。
在另一個替代方案中,所揭露的形成含Ti膜之組成物可含有在5% v/v與50% v/v之間的一種或多種同族含鹵化Ti的先質、反應物、或其他反應產物,特別是當混合物提供改進的製程參數或分離目標化合物過於困難或昂貴時。例如,兩種含鹵化Ti的先質的混合物(諸如TiBr4:(iPr2P-(CH2)-PiPr2)和TiBr3:(iPr2P-(CH2)-PiPr2))可產生適合於氣相沈積的穩定的液體混合物。
該等經純化的形成含Ti膜之組成物中的痕量金屬和類金屬的濃度範圍可以各自在從大約0ppm至大約5ppm、較佳的是從大約0ppm至大約1ppm、並且更較佳的是從大約0ppb至大約500ppb。該等金屬雜質包括但不限於鋁(Al)、銀(Ag)、砷(As)、鋇(Ba)、鈹(Be)、鉍(Bi)、鎘(Cd)、鈣(Ca)、鉻(Cr)、鈷(Co)、銅(Cu)、鎵(Ga)、鍺(Ge)、鉿(Hf)、銦(In)、鐵(Fe)、鉛(Pb)、鋰(Li)、鎂(Mg)、錳(Mn)、鎢(W)、鎳(Ni)、鉀(K)、鈉(Na)、鍶(Sr)、釷(Th)、錫(Sn)、鈾(U)、釩(V)、鋅(Zn)、以及鋯(Zr)。
當與它們的TiX4類似物相比時,所揭露的先質的益處係降低的熔點。對於含碘化鈦的先質,含鹵化Ti的先質可具有在大約-50℃與大約150℃之間(在標準溫度和壓力下)、較佳的是在大約-50℃與大約30℃之間(在標準溫度和壓力下)的熔點。對於含溴化鈦的先質,含鹵化Ti的先質可具有在大約-50℃與大約39℃之間(在標準溫度和壓力下)的熔點。較佳的是,含鹵化Ti的先質在標準溫度和壓力下是液體,因為來自固體先質的可再現和穩定的蒸氣產生充其量具挑戰性。固體先質可以溶解在溶劑中並且溶液蒸發,但是這可能從溶劑中將不允許的污染問題引入到所得到的膜中。可替代地,昇華器可用於直接從固體材料產生蒸汽,但是晶粒尺寸、昇華器中的固體分佈以及固體本身的蒸汽壓力使得很難向半導體製程提供一致且可再現的蒸汽濃度。
申請人還預期Ti-加合物鍵將在沈積溫度下斷裂。作為結果,由在含鹵化Ti的先質中包含加合物,預期沒有膜污染。如此,該等先質應表現為TiBr4和TiI4,但由於其較低的熔點而更易於處理和使用。由於較低的沈積溫度並且不存在高度腐蝕的Cl,所揭露的含鹵化Ti的先質也比TiCl4更好。
最後,申請人相信所揭露的形成含Ti膜之組成物可比含類似氯化物的組成物更穩定且更不可水解。所揭露的形成含Ti膜之組成物還可以比含類似氯化物的組成物展示了對基板和反應器更少的蝕刻損害。使用TiBr4-S(nPr)2分子進行測試,並且在300℃、350℃、400℃或450℃下對Al2O3、HfO2、Nb2O5、SiO2、或ZrO2膜沒有明顯的基板損害。這有點出人意料,因為HBr比HCl更具酸性(pKa HCl=-7,pKa HBr=-9,並且pKa HI=-10)。
形成含Ti膜之組成物可展示了(i)足夠的揮發性以提供從儲存它們的器皿中快速且可再現地遞送到反應室中,(ii)高的熱穩定性以避免在儲存期間在罐中的分解並且在高溫(對於介電膜典型地>150℃並且對於導電膜>275℃)下使ALD模式的自限制生長成為可能,(iii)對於基板末端官能基的適當反應性以及與反應氣體的適當反應性以易於轉化成所希望的膜,以及(iv)高純度以獲得具有低雜質的膜。
還揭露了用於使用氣相沈積製程在基板上形成含Ti層之方法。該方法可以在製造半導體、光伏、LCD-TFT、或平板型裝置中是有用的。所揭露的形成含Ti膜之組成物可使用熟悉該項技術者已知的任何沈積方法用於沈積含Ti薄膜。合適的氣相沈積方法的實例包括化學氣相沈積(CVD)或原子層沈積(ALD)。示例性CVD方法包括熱CVD、電漿增強的CVD(PECVD)、脈衝CVD(PCVD)、低壓CVD(LPCVD)、低於大氣壓的CVD(SACVD)或大氣壓CVD(APCVD)、熱絲CVD(HWCVD,還被稱為cat-CVD,其中熱絲充當用於沈積製程的能源),自由基結合的CVD、以及其組合。示例性ALD方法包括熱ALD、電漿增強的ALD(PEALD)、空間隔離ALD、熱絲ALD(HWALD)、自由基結合的ALD、以及其組合。也可以使用超臨界流體沈積。沈積方法較佳的是ALD、空間ALD、或
PE-ALD,以提供適合的階梯覆蓋和膜厚度控制。所揭露的形成含Ti膜之組成物特別適用於ALD製程,因為它們的熱穩定性使完美的自限制生長成為可能。
所揭露的形成含Ti膜之組成物可以純淨的供應,或者可以進一步包含合適的溶劑,諸如C1-C16烴、C1-C16鹵化烴、酮、醚、乙二醇二甲醚、酯、四氫呋喃、草酸二甲酯(DMO)、及其組合。C1-C16烴和C1-C16鹵化烴可以是飽和的或不飽和的。示例性溶劑包括但不限於四氫呋喃、DMO、乙苯、二甲苯、均三甲苯、癸烷和/或十二烷。當經由直接液體注入將該形成含Ti膜之組成物引入到反應器中時,加合物還可用作溶劑。熟悉該項技術者將認識到,加合物不是鼓泡器的合適溶劑,因為它在含鹵化Ti的先質的蒸發之前將蒸發(即,由於在含鹵化Ti的先質與加合物溶劑之間的蒸氣壓力的差異,當經由鼓泡器引入到反應器中時,在加合物溶劑的蒸汽中將沒有含鹵化Ti的先質的蒸汽)。所揭露的含鹵化Ti的先質可以是以變化的濃度存在於該溶劑中。在含鹵化Ti的先質的沸點與溶劑的沸點之間的差範圍應該從大約0℃至大約80℃。
雖然先質理想地是液體並且在鼓泡器或直接液體注入系統中蒸發,但是使用昇華器(如Xu等人的PCT公開WO2009/087609中揭露的那種)對於ALD和CVD先質蒸發使用固體先質還是可能的。可替代地,可以將固體先質混合或溶解在溶劑中以達到可用的熔點和黏度用於藉由直接液體注入系統使用。
藉由常規手段如管道系統和/或流量計將純淨的或共混的形成含Ti膜之組成物以蒸氣形式引入至反應器內。蒸氣形式可以藉由以下方式產生:藉由常規蒸發步驟(如直接蒸發、蒸餾)、或藉由鼓泡、或藉由使用昇華器(如Xu等人的PCT公開WO2009/087609中揭露的那種)蒸發該純淨
的或共混的組成物。組成物可以液態進料到氣化器中,在那裡使其氣化,隨後將其引入反應器中。可替代地,可藉由將載氣傳送至含有該化合物的容器中或藉由將載氣鼓泡進該化合物中使該組成物汽化。該載氣可包括但不限於Ar、He、N2及其混合物。用載氣鼓泡還可移除該等純淨的或共混的化合物溶液中存在的任何溶解氧。然後將該載氣和蒸氣形式的組成物作為蒸氣引入到反應器中。
如果必要,該容器可以被加熱到允許組成物處於其液相並具有足夠的蒸氣壓的溫度。可以將該容器維持在例如大約50℃至大約180℃的範圍內的溫度下。熟悉該項技術者認識到可以按已知方式調整該容器的溫度以控制蒸發的組成物的量。較佳的是,將容器維持在導致具有範圍係從大約1至大約50cps、較佳的是在大約1至大約20cps之間的黏度的形成含Ti膜之組成物的溫度下。這樣的黏度使得形成含Ti膜之組成物適合用於使用直接液體注入引入到反應器中。
該等形成含Ti膜之組成物可藉由所揭露的形成含Ti膜之組成物的遞送裝置遞送至半導體加工工具中。圖1及圖2展示所揭露的遞送裝置1的兩個實施方式。
圖1為形成含Ti膜之組成物之遞送裝置1的一個實施方式的側視圖。在圖1中,所揭露的形成含Ti膜之組成物11被包含在具有至少兩個導管(入口導管3和出口導管4)的容器2內。在先質領域中的普通技術人員將認識到,容器2、入口導管3及出口導管4製造為防止形成含Ti膜之組成物11的氣態形式的逸出,即使在高溫和高壓下。
適合的閥包括彈簧載入閥或束縛隔膜閥。閥可進一步包含限流孔(RFO)。遞送裝置1應連接至氣體歧管並且在罩殼中。氣體歧管應允許當
替換遞送裝置1時可能暴露於空氣的管道被安全抽真空並且吹掃,使得任何殘餘量的材料不發生反應。
當關閉時,遞送裝置1必須防洩漏且裝備有不允許即使微小量的物質逸出的閥。遞送裝置1經由閥6和7流體地連接到半導體加工工具的其他部件,例如以上揭露的氣櫃。較佳的是,容器2、入口導管3、閥6、出口導管4和閥7典型地由316L EP不銹鋼製成。
在圖1中,入口導管3的端部8位於形成含Ti膜之組成物11的表面之上,而出口導管4的端部9位於形成含Ti膜之組成物11的表面之下。在此實施方式中,形成含Ti膜之組成物11較佳的是呈液體形式。包括但不限於氮氣、氬氣、氦氣及其混合物的惰性氣體可被引入至入口導管3中。惰性氣體對容器2加壓以迫使液體的形成含Ti膜之組成物11穿過出口導管4且進入半導體加工工具中的部件(未示出)中。半導體加工工具可包括汽化器,其使用或不使用載氣(諸如氦氣、氬氣、氮氣或其混合物)將液體的形成含Ti膜之組成物11轉變成蒸氣,以便將該蒸氣遞送至其中定位有待修復晶圓且處理以氣相發生的室中。可替代地,液體形成含Ti膜之組成物11可以作為射流或氣溶膠被直接遞送至晶圓表面上。
圖2為形成含Ti膜之組成物之遞送裝置1的第二實施方式的側視圖。在圖2中,入口導管3的端部8位於形成含Ti膜之組成物11的表面之下,而出口導管4的端部9位於形成含Ti膜之組成物11的表面之上。圖2還包括視需要的加熱元件14,其可提高形成含Ti膜之組成物11的溫度。形成含Ti膜之組成物11可呈固體或液體形式。包括但不限於氮氣、氬氣、氦氣及其混合物的惰性氣體被引入至入口導管3中。惰性氣體流經形成含Ti膜之組成物11並且將惰性氣體與形成含Ti膜的氣化組成物11的混合物攜載至出口導管4和半導體加工工具中的部件。
圖1和圖2二者都包括閥6和7。熟悉該項技術者將認識到,閥6和7可以被置於打開或關閉位置,以分別允許流過導管3和4。在另一個替代方案中,入口導管3和出口導管4兩者可位於形成含Ti膜之組成物11的表面的上方,而不脫離在此的揭露內容。此外,入口導管3可以是填充埠。
在另一個替代方案中,如果形成含Ti膜之組成物11係呈蒸氣形式或如果足夠的蒸氣壓存在於固相/液相上方,則可以使用圖1或圖2中的遞送裝置1或者具有在存在的任何固體或液體表面上方終止的單個導管的更簡單的遞送裝置。在此情況下,藉由分別打開圖1中的閥6或圖2中的閥7,使形成含Ti膜之組成物11以蒸氣形式通過導管3或4遞送。遞送裝置1可維持於合適溫度以向待以蒸氣形式遞送的形成含Ti膜之組成物11提供足夠的蒸氣壓,例如藉由使用視需要的加熱元件14來維持。
當該等形成含Ti膜之組成物係固體時,可以使用昇華器將它們的蒸氣遞送到反應器中。圖3示出了合適的昇華器100的一個實施方式。昇華器100包括容器33。容器33可以是圓柱形容器,或可替代地,可以是但不限於任何形狀。容器33由以下材料構造,該等材料如但不限於不銹鋼、鎳及其合金、石英、玻璃、以及其他化學上相容的材料。在某些情況下,容器33由另一種金屬或金屬合金構造(沒有限制)。在某些情況下,容器33具有從約8釐米至約55釐米的內徑,並且可替代地,從約8釐米至約30釐米的內徑。如由熟悉該項技術者理解的,替代配置可以具有不同的尺寸。
容器33包括可密封的頂部15、密封件18、以及墊片20。可密封的頂部15被配置成密封容器33遠離外部環境。可密封的頂部15被配置成允許進入容器33。附加地,可密封的頂部15被配置成供導管進入容器33中。可替代地,可密封的頂部15被配置成允許流體流動到容器33中。可密封的頂部15被配置成接收並且穿過包括浸入管92的導管以保持與容器33處於流體
接觸。具有控制閥90和配件95的浸入管92被配置成用於使載氣流動到容器33中。在某些情況下,浸入管92沿著容器33的中心軸向下延伸。進一步地,可密封的頂部15被配置成接收並且穿過包括出口導管12的導管。將載氣以及形成含Ti膜之組成物的蒸氣通過出口導管12從容器33中移除。出口導管12包括控制閥10和配件5。在某些情況下,將出口導管12流體聯接至氣體輸送歧管,用於將載氣從昇華器100引導至膜沈積室。
容器33和可密封的頂部15被至少兩個密封件18,可替代地,被至少約四個密封件密封。在某些情況下,可密封的頂部15被至少約八個密封件18密封到容器33上。如由熟悉該項技術者理解的,密封件18可釋放地將可密封的頂部15聯接到容器33上,並且與墊片20形成耐受氣體的密封。密封件18可以包括對於熟悉該項技術者已知的用於密封容器33的任何合適的器件。在某些情況下,密封件18包括翼形螺釘。
如圖3中所示,容器33進一步包括至少一個佈置在其中的盤。該盤包括用於固體材料的擱架或水平支承件。在某些實施方式中,內部盤30被環狀地佈置在容器33內,使得盤30包括小於容器33的內徑或周長的外徑或周長,形成開口31。外部盤86被周向地佈置在容器33內,使得盤86包括與容器33的內徑相同、大約相同、或總體上一致的外徑或周長。外部盤86形成佈置在該盤的中心處的開口87。多個盤被佈置在容器33內。該等盤以交替方式堆疊,其中內部盤30、34、36、44與交替的外部盤62、78、82、86在該容器內豎直地堆疊。在實施方式中,內部盤30、34、36、44環狀地向外延伸,並且外部盤62、78、82、86環狀地朝向容器33的中心延伸。如圖3的實施方式中所示,內部盤30、34、36、44不與外部盤62、78、82、86處於物理接觸。
組裝的昇華器100包括內部盤30、34、36、44,該等內部盤包括對齊且聯接的支承腳50,內部通道51,同心壁40、41、42,以及同心槽縫47、48、49。內部盤30、34、36、44豎直地堆疊,並且圍繞浸入管92環狀地定向。附加地,該昇華器包括外部盤62、78、82、86。如圖3中所示,外部盤62、78、82、86應該緊密地配合到容器33中用於良好接觸以將熱量從容器33傳導至盤62、78、82、86。較佳的是,外部盤62、78、82、86被聯接至容器33的內壁,或者處於與該內壁物理接觸。
如所示,外部盤62、78、82、86和內部盤30、34、36、44堆疊在容器33內部。當在容器33中組裝以形成昇華器100時,內部盤30、34、36、44在組裝的外部盤62、78、82、86之間形成外部氣體通道31、35、37、45。進一步地,外部盤62、78、82、86與內部盤30、34、36、44的支承腳形成內部氣體通道56、79、83、87。內部盤30、34、36、44的同心壁40、41、42形成用於保持固體先質的帶凹槽的槽縫。外部盤62、78、82、86包括用於保持固體先質的壁68、69、70。在組裝期間,將該等固體先質裝載到內部盤30、34、36、44的同心槽縫47、48、49以及外部盤62、78、82、86的環形槽縫64、65、66中。
雖然圖3揭露了能夠將任何固體形成含Ti膜之組成物的蒸氣遞送至該反應器的昇華器的一個實施方式,但熟悉該項技術者將認識到,其他昇華器設計也是合適的,而不脫離在此的傳授內容。最後,熟悉該項技術者將認識到,可使用其他遞送裝置(諸如Jurcik等人的WO 2006/059187中所揭露的安瓿)將所揭露的形成含Ti膜之組成物11遞送至半導體加工工具中而不背離本文中的傳授內容。
反應室可以是其中發生沈積方法的裝置的任何圍隔或室,例如但不限於平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、
多晶圓反應器或其他此類類型的沈積系統。所有該等示例性反應室都能夠用作ALD反應室。可以將該反應室維持在範圍係從約0.5毫托至約20托、較佳的是在約0.1托與約5托之間的壓力下。此外,該反應室內的溫度範圍可以是從約50℃至約600℃。熟悉該項技術者將認識到,可以實驗性地確定每種含鹵化Ti的先質的最佳沈積溫度範圍以實現所希望的結果。
反應器含有一個或多個上面沈積有薄膜的基板。基板一般定義為在其上進行方法的材料。基板可為任何適用於製造半導體、光伏材料、平板或LCD-TFT器件的基板。合適的基板的實例包括晶圓,諸如矽、SiGe、二氧化矽、玻璃、或Ge。也可使用塑膠基板諸如聚(3,4-伸乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。從先前的製造步驟,該基板還可具有在其上已經沈積的一個或多個不同材料層。例如,該等晶圓可包括矽層(結晶、非晶形、多孔等)、氧化矽層、氮化矽層、氮氧化矽層、碳摻雜的氧化矽(SiCOH)層或其組合。附加地,該等晶圓可以包括銅、鈷、釕、鎢和/或其他金屬的層(例如鉑、鈀、鎳、釕、或金)。晶圓可以包括阻擋層或電極,諸如鉭、氮化鉭等。也可以使用塑膠層,例如聚(3,4-伸乙基二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。該等層可以是平面的或圖案化的。基板可以是有機圖案化光致光阻劑膜。該基板可以包括被用作MIM、DRAM、或FeRam技術中的介電材料(例如,ZrO2基材料、HfO2基材料、TiO2基材料、稀土氧化物基材料、三元氧化物基材料等)或者來自被用作電極的氮化物基膜(例如,TaN、TiN、NbN)的氧化物層。所揭露的製程可直接在晶圓上或直接在晶圓頂部的一個或多於一個(當圖案化層形成該基板時)層上沈積該含Ti層。此外,熟悉該項技術者將認識到,本文所用的術語“膜”或“層”係指放置或鋪展在表面上的一些材料的厚度並且該表面可為溝槽或線。貫穿本說明書和申請專利範圍,晶圓和其上的任何相關層稱為基板。
所利用的實際基板還可取決於所利用的特定先質實施方式。然而,在許多情況下,所用的較佳的基板將是由TiCl4中氯的存在而遭受損害的那些,諸如氧化鈦、鎢金屬或GeSbTe層。
所揭露的製程可以選擇性地沈積含Ti膜,特別是當形成含Ti膜之組成物暴露於由多種不同材料製成的基板時。例如,封阻劑諸如自組裝單層(SAM)可以防止含鹵化Ti的先質在一部分基板上的吸附。SAM防止含Ti膜在特定區域或類型的基板上的生長。可替代地或此外,在沈積製程期間可以添加游離抑制劑以防止含鹵化Ti的先質吸附在基板的一部分上。在一些情況下,從該形成含Ti膜之組成物中釋放的加合物可能沈積在某些表面上並抑制含Ti膜在此類表面上的生長。例如,含S的加合物可以與銅結合並防止含Ti膜在銅上生長。在其他情況下,TiX4可蝕刻某些金屬表面,諸如Al。作為結果,該含Ti膜可能不在該等表面上生長。選擇性沈積製程也可以由該等物理現象的任何組合產生。作為結果,熟悉該項技術者將認識到,特定的形成含Ti膜之組成物將具有與不同基板不同的再活化。
反應器內的溫度和壓力保持在適用於蒸氣沈積的條件下。換言之,在將該蒸發的組成物引入至該室內之後,該室內的條件係使得將該蒸發的含鹵化Ti的先質的至少一部分沈積到該基板上以形成含Ti膜。例如,根據每個沈積參數所要求的,反應器中的壓力可以保持在約1Pa與約105Pa之間,更較佳的是在約25Pa與約103Pa之間。同樣,反應器中的溫度可以保持在約100℃與約500℃之間,較佳的是在約200℃與約450℃之間。熟悉該項技術者將認識到,“使該蒸發的含鹵化Ti的先質的至少一部分沈積”意指一些或全部先質與基板反應或黏附至基板。
可藉由控制基板固持器的溫度或控制反應器壁的溫度來控制反應器的溫度。用於加熱基板的裝置係本領域中已知的。可以將該反應器壁
加熱至足夠溫度以便以足夠生長速率和所希望的物理狀態和組成獲得所希望的膜。非限制性示例性溫度範圍(可以將該反應器壁加熱到該溫度範圍)包括從大約100℃至大約500℃。當使用電漿沈積製程時,沈積溫度可以在從大約50℃至大約400℃的範圍內。可替代地,當進行熱處理時,沈積溫度可以在從大約200℃至大約450℃的範圍內。
除所揭露的形成含Ti膜之組成物之外,還可將反應物引入到反應器中。該反應物可以是含氧氣體,諸如O2、O3、H2O、H2O2、NO、N2O、NO2之一,醇(諸如乙醇或甲醇),二醇(諸如乙二醇或水合的六氟丙酮),含氧自由基諸如O‧或OH‧,NO,NO2,羧酸,甲酸,乙酸,丙酸,及其混合物。較佳的是,氧化氣體選自由以下各項組成之群組:O2、O3、H2O、H2O2、其含氧自由基(諸如O‧或OH‧)、及其混合物。
可替代地,該反應物可以是H2、NH3、肼(諸如N2H4、MeHNNH2、Me2NNH2、MeHNNHMe、苯肼)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH、環胺像吡咯啶或嘧啶)、腈(諸如乙腈)、二胺(諸如乙二胺、二甲基伸乙基二胺、四甲基伸乙基二胺)、胺基醇(諸如乙醇胺[HO-CH2-CH2-NH2]、二乙醇胺[HN(C2H5OH)2]或三乙醇胺[N(C2H5OH)3])、吡唑啉、吡啶、其自由基、或其混合物。較佳的是,該反應物係H2、NH3、其自由基、或其混合物。
在另一個替代方案中,該反應物可以是N(SiH3)3;N(SiHxR3-x)3,其中每個x獨立地是1-3並且每個R獨立地是烷基或NR’2,其中每個R’獨立地是H或C1-C4烷基(諸如(H3Si)2N(SiH2NEt2)、(H3Si)2N(SiH2NiPr2)、或(H3Si)2N(SiH2iPr));R3Si-NH-SiR3,其中每個R獨立地是H、Cl、Br、I、或C1-C4烷基(諸如H3Si-NH-SiH3、H2ISi-NH-SiH3、或Me3Si-NH-SiMe3);氫化矽烷(諸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12);氯矽烷和
氯聚矽烷(諸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8);溴矽烷和溴聚矽烷(諸如SiHBr3、SiH2Br2、SiH3Br、Si2Br6、Si2HBr5、Si3Br8);碘矽烷和碘聚矽烷(諸如SiHI3、SiH2I2、SiH3I、Si2I6、Si2HI5、Si3I8);烷基矽烷(諸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3);以及胺基矽烷(諸如三(二甲基胺基)矽烷、二(二乙基胺基)矽烷、二-異丙基胺基矽烷以及其他單胺基矽烷、二胺基矽烷或三胺基矽烷);其自由基;或其混合物。較佳的是,該反應物係(SiH3)3N或胺基矽烷,諸如二(二乙基胺基)矽烷。
反應物可藉由電漿處理,以便使反應物分解成其自由基形式。當用電漿處理時,N2還可以被用作還原氣體。例如,可以以範圍從約50W至約2500W、較佳的是從約100W至約400W的功率產生電漿。可以在反應器自身內產生或存在電漿。可替代地,電漿通常可以位於遠離反應器的位置,例如,在遠端定位的電漿系統中。熟悉該項技術者將認識到適合於這種電漿處理的方法和設備。
例如,反應物可以被引入到直接電漿反應器(該反應器在反應室中產生電漿)中,以在該反應室中產生電漿處理過的反應物。示例性的直接電漿反應器包括由垂恩技術公司(Trion Technologies)生產的TitanTM PECVD系統。反應物可以在電漿加工之前被引入並保持在反應室中。可替代地,電漿加工可以與引入反應物同時發生。原位電漿典型地是在噴淋頭與基板固持器之間產生的13.56MHz RF電感耦合電漿。根據是否發生正離子碰撞,基板或噴淋頭可以是被供電電極。原位電漿產生器中的典型施加功率為從大約30W至大約1000W。較佳的是,在所揭露的方法中使用從大約30W至大約600W的功率。更較佳的是,功率的範圍係從大約100W至大約500W。使用原位電漿的反應物的解離典型地小於對於相同功率輸入使
用遠端電漿源實現的,並且因此在反應物解離中不如遠端電漿系統有效,這可能有利於將含Ti膜沈積在容易被電漿損壞的基板上。
可替代地,電漿處理過的反應物可以在反應室外產生。MKS儀器公司的ASTRONi®反應性氣體產生器可以用於在通入反應室之前處理反應物。在2.45GHz、7kW電漿功率和範圍從大約0.5托至大約10托的壓力下操作,反應物O2可分解成兩個O.自由基。較佳的是,可以用範圍從約1kW至約10kW、更較佳的是從約2.5kW至約7.5kW的功率產生遠程電漿。
室內的氣相沈積條件允許所揭露的形成含Ti膜之組成物和反應物反應並在基板上形成含Ti膜。在一些實施方式中,申請人認為電漿處理反應物可以向反應物提供與所揭露的組成物反應所需的能量。
根據希望沈積哪種類型的膜,可以將另外的先質化合物引入到反應器中。該先質可用於向含Ti膜提供附加的元素。附加元素可包括鑭系元素(例如,鏡、鉺、鏑、釓、鐠、鈰、鑭、釔)、鍺、矽、鋁、硼、磷、鉿、鋯、第3族元素(即Sc、Y、La、或Ac)、或第5族元素(即V、Nb或Ta)、或該等的混合物。當使用另外的先質化合物時,所得的沈積在基板上的膜含有與至少一種另外的元素組合的Ti。
當所得膜含有Al時,合適的反應物包括三烷基鋁(例如AlMe3、AlEt3等)、二烷基鋁鹵化物(例如AlMe2Br、AlEt2Br等)、烷基鋁二鹵化物(例如AlMeBr2、AlEtBr2等)、鋁的烷基胺基或烷氧基衍生物(例如,Al(NEt2)3、Al(OtBu)3等)、鋁烷、胺加合的鋁烷(例如,Al:NEt3)、以及其混合物。所得無定形TiAl膜可用於互補金屬氧化物半導體(CMOS)中的微鏡陣列。Schmidt等人,J.of Micro/Nanolithography[微米/奈米光刻],MEMS,和MOEMS,7(2)2008。無定形TiAl膜的氣相沈積提供了比藉由濺
射產生的那些更好的保形性、表面光滑度、組成均勻性、以及通常更少的缺陷。
可以將形成含Ti膜之組成物和反應物同時(化學氣相沈積)、順序地(原子層沈積)或其不同組合引入到反應器中。可以在引入組成物與引入反應物之間用惰性氣體吹掃反應器。可替代地,反應物和組成物可以混合在一起以形成反應物/化合物混合物,並且然後以混合物形式引入到反應器中。另一個實例係連續引入反應物並藉由脈衝(脈衝化學氣相沈積)引入形成含Ti膜之組成物。
蒸發的組成物和反應物可以順序地或同時地(例如脈衝CVD)脈衝進入反應器。組成物的每次脈衝可持續範圍從約0.01秒至約100秒、可替代地從約0.3秒至約30秒、可替代地從約0.5秒至約10秒的一段時間。反應物也可以脈衝進入反應器。在此類實施方式中,每種氣體的脈衝可持續從約0.01秒至約100秒、可替代地從約0.3秒至約30秒、可替代地從約0.5秒至約10秒。在另一個替代方案中,可以同時地從噴淋頭噴射該汽化的組成物和一種或多種反應物,保持若干晶圓的基座在該噴淋頭下旋轉(空間ALD)。
根據具體的製程參數,沈積可能進行不同的時間長度。通常,可使沈積繼續所希望或所必需長度的時間以產生具有必需特性的膜。根據具體的沈積製程,典型的膜厚度可以從幾埃到幾百微米變化。沈積製程也可以進行獲得所希望的膜所必需的很多次。
在一個非限制性的示例性CVD型製程中,將蒸氣相的所揭露的形成含Ti膜之組成物和反應物同時引入到反應器中。兩者反應以形成所得的含Ti薄膜。當在此示例性CVD製程中的反應物用電漿處理時,示例性CVD製程變成示例性的PECVD製程。反應物可以在引入到室中之前或之後用電漿處理。
在一個非限制性的示例性ALD型製程中,將蒸氣相的所揭露的形成含Ti膜之組成物引入到反應器中,其中該含鹵化Ti的先質物理吸附或化學吸附在基板上。然後可藉由吹掃和/或排空該反應器從該反應器中去除過量的組成物。將所希望的氣體(例如,O3)引入到反應器中,在該反應器中使其以自限制的方式與物理吸附或化學吸附的先質反應。藉由吹掃和/或排空該反應器從該反應器中去除任何過量的還原氣體。如果所希望的膜係Ti金屬膜,則此兩步製程可提供所希望的膜厚度或可被重複直至獲得具有必需厚度的膜。
可替代地,如果所希望的膜含有Ti金屬和第二元素,則可以在以上兩步製程之後將另外的先質化合物的蒸氣引入到反應器中。將基於沈積的Ti金屬膜的性質來選擇另外的先質化合物。在引入到反應器中之後,使該另外的先質化合物與基板接觸。藉由吹掃和/或排空該反應器從該反應器中去除任何過量的先質化合物。再次,可以將所希望的氣體引入到反應器中以與先質化合物反應。藉由吹掃和/或排空該反應器從該反應器中去除過量氣體。如果已經實現了所希望的膜厚度,則可終止該製程。然而,如果較厚的膜係所希望的,則可重複整個四步驟製程。藉由交替提供含Ti化合物、另外的先質化合物和反應物,可以沈積具有所希望的組成和厚度的膜。
當在此示例性ALD製程中的反應物用電漿處理時,示例性ALD製程變成示例性的PEALD製程。反應物可以在引入到室中之前或之後用電漿處理。
在第二非限制性示例性ALD型製程中,將所揭露的含鹵化Ti的先質之一(例如TiBr4:S(nPr)2)的蒸氣相引入反應器,在該反應器中使其與TiO基板接觸。然後可藉由吹掃和/或排空該反應器從該反應器中去除過量的含鹵化Ti的先質。將所希望的氣體(例如,NH3)引入到反應器中,在該反應
器中使其以自限制的方式與吸收的含鹵化Ti的先質反應以形成TiN膜。藉由吹掃和/或排空該反應器從該反應器中去除任何過量的含N氣體。可以重複這兩個步驟,直到TiN膜獲得所需厚度。
由以上討論的製程得到的含Ti膜可以包括氧化鈦(TinOm,其中n和m各自是範圍從1至6(包括端點)的整數),諸如TiO2;氮化鈦,諸如TiN或TiSiN;含有另一種元素M的氧化鈦(TiMiOx,其中i範圍係從0.1至1;x範圍係從1至6;並且M選自鋯、鉿、第3族元素、第5族元素、鑭系元素、Si、Al、B、P或Ge);或氮氧化鈦(TiM’ iNyOx,其中i範圍係從0至1;x和y範圍係從1至6;並且M選自鉿、鋯、第3族元素、第5族元素、鑭系元素、Si、Al、B、P或Ge)。熟悉該項技術者將認識到,藉由適當的所揭露的化合物、視需要的先質化合物以及反應物物種的明斷選擇,可以獲得所希望的膜組成。
形成含Ti膜之組成物可用於在矽層上沈積Ti並退火以形成TiSi2層。可替代地,形成含Ti膜之組成物和含Si反應物諸如TSA可用於形成TiSi2層。在任一替代方案中,形成的TiSi2層較佳的是展示了C54多晶型以及在大約10uΩcm與大約20uΩcm之間、較佳的是在大約13uΩcm與大約16uΩcm之間的電阻率。可替代地,如果較高的電阻率係所希望的,可以形成C49多晶型TiSi2層。C49多晶型TiSi2層具有在大約60uΩcm與大約70uΩcm之間的電阻率。可以使用XRD確定多晶型相。
由以上討論的製程得到的含Ti膜含有在大約0原子%至大約5原子%之間的C;在大約0原子%至大約40原子%之間的O;在大約0原子%至大約2原子%之間的S;在大約0原子%至大約2原子%之間的Se;在大約0原子%至大約2原子%之間的Te;或在大約0原子%至大約2原子%之間的P雜質(取決於加合物組成)。
一經獲得所希望的膜厚度,可以使該膜經受另外的加工,如熱退火、爐退火、快速熱退火、UV或電子束固化、和/或電漿氣體暴露。熟悉該項技術者認識到用於執行該等附加處理步驟的系統和方法。例如,可以使該含Ti膜暴露於在惰性氣氛、含H氣氛、含N氣氛、含O氣氛、或其組合下範圍係從大約200℃與大約1000℃的溫度持續範圍係從大約0.1秒至大約7200秒的時間。最較佳的是,在含H氣氛或含O氣氛下,溫度為400℃持續3600秒。所得到的膜可含有較少的雜質,並且因此可具有改善的密度,導致改善的洩漏電流。退火步驟可以在進行該沈積製程的同一反應室中進行。可替代地,可以從該反應室中移除該基板,其中在單獨的設備中進行退火/快速退火製程。已經發現任何以上後處理方法、但尤其是熱退火有效地減少該含Ti膜的碳和氮污染。這進而傾向於改善膜的電阻率。
以下實例說明結合在此的揭露內容進行的實驗。該等實例不旨在包括所有情況並且並不旨在限制在此所描述的揭露的範圍。
由於其吸濕性,TiX4反應物和TiXn:Ly加合物均在乾燥的惰性氣氛下的手套箱中處理。將各種路易士鹼配位基在氬氣下使用標準乾燥技術(諸如分子篩或其他乾燥劑處理)乾燥並且存儲。
實例1:TiBr4:S(nPr)2的合成
在手套箱中使0.5g固體TiBr4與1莫耳當量的S(nPr)2反應。觀察到放熱以及到暗紅色的立即變色。幾乎沒有留下固體顆粒。15分鐘後,使用注射器插塞過濾器過濾混合物以產生澄清的暗紅色液體。根據Baker等人,所得產物係單取代的並且採用五配位的三角雙錐體幾何形狀:
圖4係所得產物在C6D6中的1H-NMR譜。純淨的光譜顯示沒有雜質。α-Ti 1H分裂表明兩個丙基的磁性不等價,這可能是由於配位基的限制構象。
圖5係闡明在Al2O3盤中的TiBr4:S(nPr)2在溫度增加時在1大氣壓下的重量損失的百分比(TGA)或溫度差(DTA)的熱重分析/差熱分析(TGA/DTA)曲線圖。TGA結果表明純淨蒸發(<0.5%殘餘物)。當在減壓(約12托)下進行TGA分析時,沒有獲得殘餘物。
實例2:TiBr4:SEtPr的合成
在手套箱中使0.5g固體TiBr4與1莫耳當量的SEtPr反應。觀察到放熱反應以及由橙色到暗紅色的立即變色。幾乎沒有留下固體顆粒。攪拌15分鐘後,使用注射器插塞過濾器過濾混合物以產生澄清的暗紅色液體。
圖6係所得產物在C6D6中的1H-NMR譜。純淨的光譜顯示沒有雜質。
圖7係闡明在Al2O3盤中的TiBr4:SEtPr在溫度增加時在1大氣壓下的重量損失的百分比(TGA)或溫度差(DTA)的TGA/DTA曲線圖。TGA
結果表明純淨蒸發(<0.5%殘餘物)。當在減壓(約12托)下進行TGA分析時,沒有獲得殘餘物。
實例3:TiBr4:S(nPr)2的原子層沈積(ALD)
使用實例1中製備的液體TiBr4:S(nPr)2進行TiN的ALD。圖8係示出ALD製程的流程圖。在步驟1中,將3秒的NH3脈衝引入到含有SiO2基板的反應室(未示出)中並與基板反應以產生圖9的NH2-封端的基板。將反應器在1托下維持在200℃、300℃和400℃下。在3秒的NH3脈衝之後是10秒的Ar吹掃脈衝以去除任何過量的NH3或反應副產物。
在圖8的步驟2中,將蒸汽形式的TiBr4:S(nPr)2先質的6秒脈衝引入到反應室中。將實例1的液體TiBr4:S(nPr)2先質放置於加熱並維持在72℃的器皿中以產生蒸氣形式。器皿利用交叉流動配置,其中入口導管和出口導管的端部都位於該形成含Ti膜之組成物的表面上方。圖10係步驟2開始時的基板的示意性側視圖。圖11係在TiBr4:S(nPr)2先質與基板之間的反應以及反應副產物(諸如HBr和S(nPr)2)的示意性側視圖。藉由從TiBr4:S(nPr)2先質裂解S(nPr)2加合物產生S(nPr)2反應副產物。HBr反應副產物藉由在-NH2基板表面與TiBr4:S(nPr)2先質的一個Br之間的反應產生。
在圖8的步驟3中,十秒氬氣脈衝吹掃任何過量的TiBr4:S(nPr)2先質和來自反應室的反應副產物以產生圖12的基板。
在圖8的步驟4中,如果藉由引入步驟1的3秒NH3脈衝尚未獲得所希望的膜厚度,則可以重複該製程。圖13係在圖12的基板與圖8的步驟1的NH3反應物之間的反應以及反應副產物(諸如HBr)的示意性側視圖。HBr反應副產物係藉由TiBr3基板的一個Br與NH3反應物的一個H的反應產生的。在3秒的NH3脈衝之後是10秒的Ar吹掃脈衝以去除任何過量的NH3或反應副產物。
觀察到在400℃下用0.57Å/循環的生長速率在二氧化矽基板(SiO2)上的ALD飽和行為。在具有1:20縱橫比的特徵上300次循環後獲得74%的階梯覆蓋。
圖14係表明隨在200℃與400℃之間的基板溫度變化使用TiBr4:S(nPr)2/NH3的TiN薄膜的(a)生長速率和(b)膜厚度的曲線圖。觀察到線性生長。
藉由XPS(X射線光電子能譜)分析一些TiN膜的化學計量。然而,該等膜含有大量的氧。氧可能是在沈積製程完成後在大氣下處理膜的結果。儘管如此,膜的Ti:N比大約為1:1。
使用固體TiBr4進行TiN的對比ALD。將TiBr4放置於加熱且維持在55℃下的器皿中。將反應器在0.5托下維持在200℃、300℃和500℃下。TiBr4引入、氬氣吹掃、NH3引入和氬氣吹掃的長度分別為3秒、10秒、2秒和10秒。觀察到分別在300℃和500℃下用0.57和0.56Å/循環的生長速率在矽晶圓(Si)上ALD飽和行為。在具有1:20縱橫比的特徵上200次循環後獲得74.5%的階梯覆蓋。
如可以看出的,使用液體TiBr4:S(nPr)2先質的ALD結果與使用固體TiBr4先質獲得的結果相似。然而,液體TiBr4:S(nPr)2先質比固體TiBr4先質更容易處理。
應當理解,由熟悉該項技術者可在如所附申請專利範圍中所表述的本發明的原則和範圍內做出在此已經描述且闡明以解釋本發明的本質的細節、材料、步驟和零件安排上的許多附加的改變。因此,本發明不意圖限於上面給出的實例和/或附圖中的特定實施方式。
1‧‧‧形成含Ti膜之組成物之遞送裝置
11‧‧‧形成含Ti膜之組成物
2‧‧‧容器
3‧‧‧入口導管
4‧‧‧出口導管
6‧‧‧閥
7‧‧‧閥
8‧‧‧入口導管3的端部
9‧‧‧出口導管4的端部
Claims (22)
- 一種形成含鈦膜之組成物,該組成物包含具有下式的含鹵化鈦(Ti)的先質:TiXb:Ac其中b=3或4;c=1-3;X=Br或I;A=SRR’、SeRR’、或TeRR’,並且R和R’獨立地是H或C1-C5烴基,其中該含鹵化Ti的先質在標準溫度和壓力下是液體。
- 如申請專利範圍第1項所述之形成含鈦膜之組成物,進一步包含在大約0% w/w與0.2% w/w之間的鹵氧化物(TiX2(=O))、羥基鹵化物(TiX3(OH))、以及氧化物(TiO2)的混合物。
- 如申請專利範圍第2項所述之形成含鈦膜之組成物,進一步包含在大約0% w/w與0.1% w/w之間的鹵氧化物(TiX2(=O))、羥基鹵化物(TiX3(OH))、以及氧化物(TiO2)的混合物。
- 如申請專利範圍第1項所述之形成含鈦膜之組成物,進一步包含在大約0% w/w與0.1% w/w之間的鹵化氫(HX)。
- 如申請專利範圍第1項所述之形成含鈦膜之組成物,進一步包含在大約0% w/w與5% w/w之間的烴溶劑或游離加合物。
- 如申請專利範圍第1項所述之形成含鈦膜之組成物,進一步包含在大約0% w/w與5ppmw之間的H2O。
- 如申請專利範圍第1所述之形成含鈦膜之組成物,其中X係Br。
- 如申請專利範圍第1所述之形成含鈦膜之組成物,其中X係I。
- 如申請專利範圍第1所述之形成含鈦膜之組成物,其中A係SRR’。
- 如申請專利範圍第1所述之形成含鈦膜之組成物,其中A係SeRR’。
- 如申請專利範圍第1所述之形成含鈦膜之組成物,其中A係TeRR’。
- 如申請專利範圍第9項所述之形成含鈦膜之組成物,其中該含鹵化Ti的先質係TiBr4:S(nPr)2。
- 如申請專利範圍第9項所述之形成含鈦膜之組成物,其中R≠R’。
- 如申請專利範圍第13項所述之形成含鈦膜之組成物,其中該含鹵化Ti的先質係TiBr4:SEt(nPr)。
- 一種在基板上沈積含Ti膜之方法,該方法包括將如申請專利範圍第1至11項中任一項所述之形成含Ti膜之組成物引入到含有該基板的反應器中並將該含鹵化Ti的先質的至少一部分沈積到該基板上以形成該含Ti膜。
- 如申請專利範圍第15項所述之方法,進一步包括將反應物引入到該反應器中。
- 如申請專利範圍第15項所述之方法,其中選擇性地將該含Ti膜沈積到該基板上。
- 如申請專利範圍第15所述之方法,其中該形成含Ti膜之組成物包含具有式TiBr4:(SRR’)2的含鹵化鈦的先質。
- 如申請專利範圍第18項所述之方法,其中該形成含Ti膜之組成物包含具有式TiBr4:S(nPr)2的含鹵化鈦的先質。
- 如申請專利範圍第15所述之方法,其中該形成含Ti膜之組成物包含具有式TiBr4:SEt(nPr)的含鹵化鈦的先質。
- 一種含鹵化鈦的先質,其具有下式:TiBr4:S(nPr)2。
- 一種含鹵化鈦的先質,其具有下式:TiBr4:SEt(nPr)。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/827,783 US10584039B2 (en) | 2017-11-30 | 2017-11-30 | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
US15/827783 | 2017-11-30 | ||
US15/968,099 US10689405B2 (en) | 2017-11-30 | 2018-05-01 | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
US15/968099 | 2018-05-01 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201925515A TW201925515A (zh) | 2019-07-01 |
TWI784098B true TWI784098B (zh) | 2022-11-21 |
Family
ID=66634900
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107142903A TWI784098B (zh) | 2017-11-30 | 2018-11-30 | 用於氣相沈積含鈦膜的形成含鈦膜之組成物 |
Country Status (7)
Country | Link |
---|---|
US (2) | US10689405B2 (zh) |
JP (1) | JP6920556B2 (zh) |
KR (1) | KR102425882B1 (zh) |
CN (1) | CN111386592B (zh) |
SG (1) | SG11202004730PA (zh) |
TW (1) | TWI784098B (zh) |
WO (1) | WO2019108330A1 (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10584039B2 (en) * | 2017-11-30 | 2020-03-10 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
US10689405B2 (en) * | 2017-11-30 | 2020-06-23 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
KR20230003695A (ko) | 2021-06-29 | 2023-01-06 | 삼성전자주식회사 | 반도체 소자가 포함하는 컨택의 형성 방법 |
US20230399743A1 (en) * | 2022-06-13 | 2023-12-14 | Tokyo Electron Limited | Cyclic Film Deposition Using Reductant Gas |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5425966A (en) * | 1994-10-27 | 1995-06-20 | Wayne State University | Process for coating with single source precursors |
US20110198736A1 (en) * | 2010-02-17 | 2011-08-18 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5558365A (en) * | 1978-10-27 | 1980-05-01 | Hitachi Metals Ltd | Coating method for titanium compound |
EP0784713A4 (en) * | 1994-10-11 | 2000-03-01 | Gelest Inc | TITANIUM BASED CONFORMING LAYERS AND PREPARATION METHOD |
CA2202387A1 (en) * | 1994-10-11 | 1996-04-25 | Barry C. Arkles | Conformal titanium-based films and method for their preparation |
US5656338A (en) | 1994-12-13 | 1997-08-12 | Gordon; Roy G. | Liquid solution of TiBr4 in Br2 used as a precursor for the chemical vapor deposition of titanium or titanium nitride |
US5700519A (en) * | 1995-01-06 | 1997-12-23 | Sony Corporation | Method for producing ultra high purity titanium films |
US6444556B2 (en) * | 1999-04-22 | 2002-09-03 | Micron Technology, Inc. | Chemistry for chemical vapor deposition of titanium containing films |
JP2002543589A (ja) * | 1999-04-27 | 2002-12-17 | 東京エレクトロン株式会社 | ハロゲン化チタン前駆体からのCVDTiNプラグの形成 |
US6833058B1 (en) * | 2000-10-24 | 2004-12-21 | Honeywell International Inc. | Titanium-based and zirconium-based mixed materials and sputtering targets |
FI109770B (fi) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US7311942B2 (en) * | 2002-08-29 | 2007-12-25 | Micron Technology, Inc. | Method for binding halide-based contaminants during formation of a titanium-based film |
US7208427B2 (en) | 2003-08-18 | 2007-04-24 | Advanced Technology Materials, Inc. | Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing |
FR2871292B1 (fr) | 2004-06-03 | 2006-07-28 | Air Liquide | Procede pour deposer un film a haute constante dielectrique utilisant du tetrakis(ehtylamino)silane |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
CN101213322A (zh) | 2005-06-29 | 2008-07-02 | 乔治洛德方法研究和开发液化空气有限公司 | 三元膜的沉积方法 |
EP2257561B1 (en) * | 2008-02-27 | 2017-11-08 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process |
US9187511B2 (en) * | 2012-05-01 | 2015-11-17 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules |
JP2014148450A (ja) * | 2013-02-01 | 2014-08-21 | Hitachi Chemical Co Ltd | ルチル型酸化チタンナノロッドの製造方法及びルチル型酸化チタンナノロッド |
WO2015145751A1 (ja) * | 2014-03-28 | 2015-10-01 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法および記録媒体 |
US9478438B2 (en) * | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
JP6486479B2 (ja) * | 2015-09-03 | 2019-03-20 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、プログラム、および供給系 |
US20170170114A1 (en) * | 2015-12-15 | 2017-06-15 | Lam Research Corporation | Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects |
US10584039B2 (en) * | 2017-11-30 | 2020-03-10 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
US10689405B2 (en) * | 2017-11-30 | 2020-06-23 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Titanium-containing film forming compositions for vapor deposition of titanium-containing films |
-
2018
- 2018-05-01 US US15/968,099 patent/US10689405B2/en active Active
- 2018-10-30 JP JP2020529375A patent/JP6920556B2/ja active Active
- 2018-10-30 KR KR1020207016964A patent/KR102425882B1/ko active IP Right Grant
- 2018-10-30 WO PCT/US2018/058084 patent/WO2019108330A1/en active Application Filing
- 2018-10-30 CN CN201880076908.7A patent/CN111386592B/zh active Active
- 2018-10-30 SG SG11202004730PA patent/SG11202004730PA/en unknown
- 2018-11-30 TW TW107142903A patent/TWI784098B/zh active
-
2020
- 2020-05-11 US US16/871,862 patent/US11168099B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5425966A (en) * | 1994-10-27 | 1995-06-20 | Wayne State University | Process for coating with single source precursors |
US20110198736A1 (en) * | 2010-02-17 | 2011-08-18 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
Also Published As
Publication number | Publication date |
---|---|
SG11202004730PA (en) | 2020-06-29 |
US20190161507A1 (en) | 2019-05-30 |
KR102425882B1 (ko) | 2022-07-27 |
CN111386592A (zh) | 2020-07-07 |
TW201925515A (zh) | 2019-07-01 |
US11168099B2 (en) | 2021-11-09 |
US20200277315A1 (en) | 2020-09-03 |
KR20200087212A (ko) | 2020-07-20 |
JP2021504962A (ja) | 2021-02-15 |
CN111386592B (zh) | 2024-01-05 |
US10689405B2 (en) | 2020-06-23 |
JP6920556B2 (ja) | 2021-08-18 |
WO2019108330A1 (en) | 2019-06-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN110073474B (zh) | 锆前体、铪前体、钛前体及使用其沉积含第4族的膜 | |
CN110785513B (zh) | 形成含铌膜的组合物和含铌膜的气相沉积 | |
TWI784098B (zh) | 用於氣相沈積含鈦膜的形成含鈦膜之組成物 | |
TW201641505A (zh) | 形成含矽膜之組成物及其使用方法 | |
US10584039B2 (en) | Titanium-containing film forming compositions for vapor deposition of titanium-containing films | |
TWI803641B (zh) | 氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物 | |
JP6492178B2 (ja) | ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物 | |
CN110088357B (zh) | 锆前体、铪前体、钛前体及使用其沉积含第4族的膜 | |
CN110121571B (zh) | 锆前体、铪前体、钛前体及使用其沉积含第4族的膜 | |
KR102514667B1 (ko) | 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물 | |
JP2020504785A (ja) | ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積 | |
JP6956086B2 (ja) | コバルト含有フィルム形成組成物、それらの合成およびフィルム析出における使用 | |
JP6941670B2 (ja) | 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物 | |
US20220205099A1 (en) | Group iv element containing precursors and deposition of group iv element containing films |