WO2015145751A1 - 基板処理装置、半導体装置の製造方法および記録媒体 - Google Patents

基板処理装置、半導体装置の製造方法および記録媒体 Download PDF

Info

Publication number
WO2015145751A1
WO2015145751A1 PCT/JP2014/059246 JP2014059246W WO2015145751A1 WO 2015145751 A1 WO2015145751 A1 WO 2015145751A1 JP 2014059246 W JP2014059246 W JP 2014059246W WO 2015145751 A1 WO2015145751 A1 WO 2015145751A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
substrate
halogen element
nitride film
processing chamber
Prior art date
Application number
PCT/JP2014/059246
Other languages
English (en)
French (fr)
Inventor
和広 原田
中谷 公彦
芦原 洋司
Original Assignee
株式会社日立国際電気
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立国際電気 filed Critical 株式会社日立国際電気
Priority to PCT/JP2014/059246 priority Critical patent/WO2015145751A1/ja
Priority to JP2016509843A priority patent/JP6204570B2/ja
Priority to TW104100916A priority patent/TWI593822B/zh
Publication of WO2015145751A1 publication Critical patent/WO2015145751A1/ja
Priority to US15/275,576 priority patent/US9728409B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/076Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with titanium or zirconium or hafnium
    • C01B21/0763Preparation from titanium, zirconium or hafnium halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present invention relates to a substrate processing apparatus, a semiconductor device manufacturing method, and a recording medium.
  • MOSFET Metal-Oxide-Semiconductor Field Effect Transistor
  • DRAM Dynamic Random Access Memory
  • a gate stack structure in which a high dielectric constant film is formed on silicon and a gate electrode is further formed on the high dielectric constant film is known.
  • a gate electrode a metal film containing a metal element is known (see, for example, Patent Document 1).
  • An important parameter indicating the characteristics of the transistor is a threshold voltage, which is determined by the work function of the electrode.
  • This work function has different required values depending on the required device performance. For example, in order to save power in an NMOS type transistor, a metal film having a lower work function is required. Thus, the required work function varies depending on the required device performance, and it is desired that the work function of the metal film can be adjusted.
  • the main object of the present invention is to provide a technique capable of adjusting the work function of a metal film.
  • a processing chamber for processing a substrate, a first metal source supply system for supplying a first metal source containing a first halogen element and a predetermined metal element to the processing chamber, A second metal source supply system for supplying a second metal source containing a second halogen element different from the first halogen element and the predetermined metal element to the processing chamber; and nitrogen containing nitrogen
  • a process for forming a first metal nitride film on the substrate by supplying a nitrogen-containing raw material supply system for supplying a raw material to the processing chamber, supplying the first metal raw material and the nitrogen-containing raw material to the processing chamber.
  • the substrate processing apparatus is provided with a control unit configured to charge to supply system and executed by controlling the nitrogen-containing raw material supply system.
  • a first metal raw material containing a first halogen element and a predetermined metal element and a nitrogen-containing raw material are supplied to the substrate in the processing chamber, and the substrate is placed on the substrate.
  • a step of forming a first metal nitride film; and a second metal material containing a second halogen element different from the first halogen element and the predetermined metal element with respect to the substrate in the processing chamber And a step of forming a second metal nitride film on the substrate by supplying the nitrogen-containing element and a method of manufacturing a semiconductor device for forming a laminated metal nitride film on the substrate Is provided.
  • a first metal raw material containing a first halogen element and a predetermined metal element and a nitrogen-containing raw material are supplied to the substrate in the processing chamber, and the substrate is placed on the substrate.
  • a procedure for forming a first metal nitride film, and a second metal material containing a second halogen element different from the first halogen element and the predetermined metal element with respect to the substrate in the processing chamber There is provided a computer-readable recording medium on which a program for causing a computer to execute the steps of supplying a nitrogen-containing element and forming a second metal nitride film on the substrate is provided.
  • the work function of the metal film can be adjusted.
  • FIG. 4 is a process flow diagram illustrating an example of a manufacturing process of the gate of the transistor illustrated in FIG. 3.
  • FIG. 5 is a process flow diagram illustrating an example of a metal nitride film formation process in the process flow illustrated in FIG. 4. It is a figure which shows the timing of the gas supply in the film-forming process shown in FIG. It is a figure which shows the work function of the metal nitride film in 1st Embodiment of this invention.
  • This substrate processing apparatus is specifically a semiconductor device manufacturing apparatus, and is used in one step of a semiconductor device manufacturing process.
  • a single-wafer type substrate processing apparatus that performs film forming processing on one substrate at a time will be described.
  • FIG. 1 is a schematic configuration diagram of a substrate processing apparatus suitably used in the present embodiment.
  • the substrate processing apparatus 10 includes a processing container 102.
  • the processing container 102 is configured as, for example, a flat sealed container having a circular top view.
  • the processing container 102 is made of, for example, a metal material such as aluminum (Al) or stainless steel (SUS), or quartz (SiO 2 ).
  • a processing chamber 101 is formed in the processing container 102.
  • a wafer 100 such as a silicon wafer as a substrate is processed.
  • a support base 103 that supports the wafer 100 is provided in the processing container 102.
  • the support base 103 is made of, for example, quartz (SiO 2 ), carbon, ceramics, silicon carbide (SiC), aluminum oxide (Al 2 O 3 ), or aluminum nitride (AlN).
  • a susceptor as a support plate made of quartz (SiO 2 ), carbon, ceramics, silicon carbide (SiC), aluminum oxide (Al 2 O 3 ), or aluminum nitride (AlN).
  • 117 is provided, and the wafer 100 is placed on the susceptor 117.
  • the support base 103 incorporates a heater 106 as a heating unit that heats the wafer 100.
  • the lower end (support) of the support base 103 penetrates the bottom of the processing container 102.
  • the elevating mechanism 107 b is connected to the lower end of the support base 103.
  • the support base 103 is raised and lowered, and the wafer 100 supported on the susceptor 117 is raised and lowered.
  • the support table 103 (susceptor 117) is lowered to a height of a wafer transfer port 150 (to be described later) when the wafer 100 is transferred, and is raised to a wafer processing position (shown position) when the wafer 100 is processed.
  • a wafer transfer port 150 to be described later
  • a wafer processing position shown position
  • the periphery of the lower end portion of the support base 103 is covered with a bellows 103a, and the inside of the processing container 102 is kept airtight.
  • a plurality of, for example, three lift pins 108b are provided on the inner bottom surface of the processing container 102.
  • the support base 103 (including the susceptor 117) is provided with a plurality of through holes 108a at positions corresponding to the lift pins 108b.
  • the upper end of the lift pin 108b passes through the through hole 108a and protrudes from the upper surface of the susceptor 117, and the lift pin 108b supports the wafer 100 from below.
  • the lift pins 108b When the support base 103 is raised to the wafer processing position, the lift pins 108b are buried from the upper surface of the susceptor 117, and the susceptor 117 supports the wafer 100 from below. In addition, since the lift pins 108b are in direct contact with the wafer 100, it is desirable to form the lift pins 108b with a material such as quartz or alumina.
  • a wafer transfer port 150 for transferring the wafer 100 into and out of the processing container 102 is provided on the inner wall side surface of the processing container 102.
  • the wafer transfer port 150 is provided with a gate valve 151.
  • the transfer chamber 171 is formed in a transfer container (sealed container) 172, and a transfer robot 173 for transferring the wafer 100 is provided in the transfer chamber 171.
  • the transfer robot 173 includes a transfer arm 173 a that supports the wafer 100 when the wafer 100 is transferred.
  • the transfer robot 173 can transfer the wafer 100 between the processing container 102 and the transfer chamber 171. .
  • the wafer 100 transported into the processing container 102 is temporarily placed on the lift pins 108b.
  • a load lock chamber (not shown) is provided on the opposite side of the transfer container 172 to the side where the wafer transfer port 150 is provided, and the wafer 100 is transferred between the load lock chamber and the transfer chamber 171 by the transfer robot 173. Can be transported.
  • the load lock chamber functions as a spare chamber for temporarily storing the wafer 100 before processing or after processing.
  • An exhaust port 160 that exhausts the atmosphere in the processing container 102 is provided on the inner wall side surface of the processing container 102 and on the opposite side of the wafer transfer port 150.
  • An exhaust pipe 161 is connected to the exhaust port 160 via an exhaust chamber 160a.
  • the exhaust pipe 161 has an APC (Auto Pressure Controller) 162 as a pressure regulator for controlling the inside of the processing chamber 101 to a predetermined pressure, raw material recovery A trap 163 and a vacuum pump 164 are connected in series in order.
  • An exhaust system (exhaust line) is mainly configured by the exhaust port 160, the exhaust pipe 161, and the pressure regulator 162.
  • the raw material recovery trap 163 and the vacuum pump 164 are provided on the semiconductor manufacturing factory side where the substrate processing apparatus 10 is installed, but may be provided in the substrate processing apparatus 10.
  • a gas inlet 110 for supplying various gases into the processing container 102 is provided at the upper part of the processing container 102 (the upper surface (ceiling wall) of a shower head 140 described later).
  • a gas supply system (described later) is connected to the gas inlet 110.
  • a shower head 140 as a gas dispersion mechanism is provided between the gas inlet 110 and the processing chamber 101 in the processing container 202.
  • the shower head 140 is a dispersion plate 140 a that disperses the gas introduced from the gas introduction port 110, and a shower plate that further uniformly disperses the gas that has passed through the dispersion plate 140 a and supplies the gas to the surface of the wafer 100 on the support base 103. 140b.
  • the dispersion plate 140a and the shower plate 140b are provided with a plurality of holes.
  • the dispersion plate 140 a is disposed so as to face the upper surface of the shower head 140 and the shower plate 140 b, and the shower plate 140 b is disposed so as to face the wafer 100 on the support base 103.
  • spaces are provided between the upper surface of the shower head 140 and the dispersion plate 140a, and between the dispersion plate 140a and the shower plate 140b, respectively, and these spaces are supplied from the gas inlet 110.
  • a second buffer space 140d for diffusing the gas that has passed through the dispersion plate 140a.
  • a step portion 101a On the side of the inner wall of the processing chamber 101, a step portion 101a is provided.
  • the step portion 101 a holds the conductance plate 104.
  • the conductance plate 104 is configured as a ring-shaped plate member provided with a hole for accommodating the wafer 100 in the inner periphery.
  • a plurality of discharge ports 104 a arranged in the circumferential direction at predetermined intervals are provided on the outer periphery of the conductance plate 104.
  • the lower plate 105 is locked to the outer periphery of the support base 103 in the processing container 102.
  • the lower plate 105 includes a ring-shaped concave portion 105b and a flange portion 105a provided integrally on the inner peripheral upper side of the concave portion 105b.
  • the recessed portion 105 b is provided so as to close a gap between the outer peripheral portion of the support base 103 and the inner wall side surface of the processing chamber 101.
  • a part of the bottom of the recess 105b near the exhaust port 160 is provided with a plate exhaust port 105c that discharges (circulates) gas from the recess 105b to the exhaust port 160 side.
  • the flange portion 105 a functions as a locking portion that locks on the upper outer periphery of the support base 103.
  • the lower plate 105 is lifted and lowered together with the support base 103 as the support base 103 is raised and lowered.
  • the conductance plate 104 closes the upper opening surface of the recess 105b of the lower plate 105, and an exhaust duct 159 is formed with the inside of the recess 105b as the gas flow path region.
  • the conductance plate 104 and the lower plate 105 are made of a material that can be kept at a high temperature, for example, a high temperature resistant and high load in consideration of the case where the reaction product deposited on the inner wall of the exhaust duct 159 is etched (self cleaning). Preferably, it is made of quartz for use.
  • the gas supplied from the gas inlet 110 to the shower head 140 passes through the first buffer space 140c, flows into the second buffer space 140d from the hole of the dispersion plate 140a, and further passes through the hole of the shower plate 140b. It is supplied to the wafer 100 in the processing chamber 101.
  • the gas supplied to the wafer 100 passes through the exhaust duct 159 located on the outer periphery of the wafer 100 and is exhausted from the exhaust port 160 to the outside of the processing chamber 101.
  • FIG. 2 is a configuration diagram of a gas supply system of the substrate processing apparatus 10.
  • the gas supply system of the substrate processing apparatus 10 includes an inert gas supply system 230A, a reaction gas supply system 230B, a first source gas supply system 230C, and a second source gas supply system 230D connected to the gas inlet 110.
  • the inert gas supply system 230A mainly includes a gas supply pipe 232a, an inert gas supply source 233a, an MFC 234a, and a valve 235a.
  • the gas supply pipe 232a has a downstream side connected to the gas inlet 110, and an inert gas supply source 233a, an MFC (mass flow controller) 234a, and a valve 235a are provided in that order from the upstream side.
  • nitrogen (N 2 ) gas is used as the inert gas.
  • the N 2 gas flowing into the gas supply pipe 232a from the inert gas supply source 233a is adjusted to a predetermined flow rate by the MFC 234a, and then supplied to the gas inlet 110 through the valve 235a.
  • the inert gas may be a rare gas such as helium (He) gas, neon (Ne) gas, argon (Ar) gas, krypton (Kr) gas, xenon (Xe) gas, etc. in addition to N 2 gas. good.
  • the reactive gas supply system 230B is mainly configured by a gas supply pipe 232b, a reactive gas supply source 233b, an MFC 234b, and a valve 235b.
  • the gas supply pipe 232b has a downstream side connected to the gas inlet 110, and a reactive gas supply source 233b, an MFC 234b, and a valve 235b are provided in that order from the upstream side.
  • the reaction gas is a nitrogen-containing raw material containing nitrogen and is used as a nitriding source.
  • ammonia (NH 3 ) is used as a nitrogen-containing raw material containing nitrogen.
  • the NH 3 gas that has flowed into the gas supply pipe 232b from the reaction gas supply source 233b is adjusted to a predetermined flow rate by the MFC 234b and then supplied to the gas inlet 110 through the valve 235b.
  • the reaction gas is not limited to NH 3 gas, and N 2 , nitrous oxide (NO), nitrogen oxide (N 2 O), or the like may be used.
  • the first metal raw material contains a first halogen element and a predetermined metal element.
  • the first halogen element is a halogen element having an atomic number larger than that of a second halogen element described later.
  • the first halogen element is a halogen element having an atomic number larger than that of chlorine (Cl), and is any one of bromine (Br), iodine (I), and astatine (At).
  • the predetermined metal element is, for example, a transition metal element.
  • iodine (I) is selected as the first halogen element
  • titanium (Ti) which is a transition metal element
  • TiI 4 titanium iodide
  • the first source gas supply system 230C mainly includes a gas supply pipe 232c, a carrier gas supply source 233c, an MFC 234c, a first source supply source 235c, and a valve 236c.
  • the gas supply pipe 232c is connected to the gas inlet 110 on the downstream side, and is provided with a carrier gas supply source 233c, an MFC 234c, a first raw material supply source 235c, and a valve 236c in this order from the upstream side.
  • N 2 gas is used as the carrier gas.
  • the first raw material supply source 235c is configured as a vaporizer, for example.
  • the carrier gas flowing into the gas supply pipe 232c from the carrier gas supply source 233c is adjusted to a predetermined flow rate by the MFC 234c and then flows into the first raw material supply source 235c.
  • the first raw material supply source 235c contains solid TiI 4 , and the vaporized gas is supplied to the gas inlet 110 through the valve 236c together with the carrier gas.
  • TiI 4 is a solid at normal temperature and pressure, and is vaporized by heating the first raw material supply source 235c to a predetermined temperature (for example, 120 ° C.).
  • iodine (I) is exemplified as the first halogen element, but bromine (Br), astatine (At), or the like may be used.
  • titanium (Ti) which is a transition metal element was illustrated as a predetermined
  • W Tungsten
  • Ta Zirconium
  • Zr Hafnium
  • Ru Cobalt
  • Co nickel
  • a second metal source is supplied from the second source gas supply system 230D.
  • the second metal raw material contains a second halogen element different from the first halogen element described above and the predetermined metal element described above.
  • the second halogen element is a halogen element having an atomic number smaller than that of the first halogen element.
  • the second halogen element is chlorine (Cl) or fluorine (F) which is a halogen element having a smaller atomic number.
  • chlorine (Cl) having an atomic number smaller than that of iodine (I) selected as the first halogen element is selected as the second halogen element.
  • the predetermined metal element contained in the second metal material is titanium (Ti), which is a metal element contained in the first metal material. That is, in this embodiment, titanium chloride (titanium tetrachloride (TiCl 4 )) is used as the second metal material.
  • the second source gas supply system 230D mainly includes a gas supply pipe 232d, a carrier gas supply source 233d, an MFC 234d, a second source supply source 235d, and a valve 236d.
  • the gas supply pipe 232d has a downstream side connected to the gas inlet 110, and is provided with a carrier gas supply source 233d, an MFC 234d, a second raw material supply source 235d, and a valve 236d in this order from the upstream side.
  • N 2 gas is used as the carrier gas.
  • the second raw material supply source 235d is configured as a bubbler, for example.
  • the carrier gas flowing into the gas supply pipe 232c from the carrier gas supply source 233d is adjusted to a predetermined flow rate by the MFC 234d and then flows into the second raw material supply source 235c.
  • TiCl 4 is a liquid at normal temperature and pressure, and is stored in the second raw material supply source 235c as a liquid.
  • TiCl 4 accommodated in the second raw material supply source 235d is vaporized by the carrier gas supplied to the second raw material supply source 235d, and is supplied to the gas inlet 110 together with the carrier gas through the valve 236d. Note that sufficiently increases the vapor pressure of the TiCl 4 in the second raw material supply source 235d by heating the second material supply source 235d, may be the TiCl 4 to be supplied as a gas. In this case, it is not always necessary to configure the second raw material supply source 235d as a bubbler.
  • the substrate processing apparatus 10 includes a controller (control unit) 280 that controls the operation of each unit of the substrate processing apparatus 10.
  • the controller 280 includes at least a calculation unit 281 and a storage unit 282.
  • the controller 280 is connected to each configuration described above, calls a program or recipe from the storage unit 282 according to an instruction from the host controller or the user, and controls the operation of each configuration according to the contents.
  • the controller 280 controls operations of the heater 106, the lifting mechanism 107b, the gate valve 151, the APC 162, the vacuum pump 164, the transfer robot 173, the gas supply system valve, the MFC, and the like.
  • the controller 280 may be configured as a dedicated computer or a general-purpose computer.
  • an external storage device storing the above-described program (for example, magnetic tape, magnetic disk such as a flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, semiconductor memory such as USB memory or memory card)
  • the controller 280 according to the present embodiment can be configured by preparing the H.283 and installing the program in a general-purpose computer using the external storage device 283.
  • the means for supplying the program to the computer is not limited to supplying the program via the external storage device 283.
  • the program may be supplied without using the external storage device 283 by using communication means such as the Internet or a dedicated line.
  • the storage unit 282 and the external storage device 283 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. Note that when the term “recording medium” is used in this specification, it may include only the storage unit 282, only the external storage device 283, or both.
  • NMOS type transistor is taken as an example.
  • FIG. 3 is a diagram illustrating a configuration example of a gate of a transistor formed by using the substrate processing apparatus 10, and more specifically, a diagram illustrating a configuration example of a gate of an NMOS type transistor.
  • the gate includes a silicon-based insulating film made of silicon oxide (SiO 2 ) formed on a silicon substrate (Si-sub), and hafnium oxide (HfO) formed on the SiO 2. 2 ), and a stacked structure in which a high dielectric constant film (High-k film) made of 2 ) and a gate electrode made of a metal nitride film (TiN) formed on HfO 2 are stacked.
  • SiO 2 silicon oxide
  • HfO hafnium oxide
  • TiN as a gate electrode is formed by using TiI 4 as a raw material, the first TiN (first metal nitride film, indicated as “TiI 4 -TiN” in the figure), It is to be configured as a laminated body with a second TiN (second metal nitride film; indicated as “TiCl 4 -TiN” in the figure) formed using TiCl 4 as a raw material.
  • FIG. 4 is a process flow diagram showing an example of a manufacturing process of the gate of the transistor shown in FIG.
  • the silicon substrate is treated with, for example, a 1% HF aqueous solution to remove the sacrificial oxide film formed on the silicon substrate (“HF treatment” step).
  • silicon oxide (SiO 2 ) is formed on the silicon substrate by thermal oxidation (“SiO 2 formation” step). SiO 2 is formed as an interface layer at the interface between the silicon substrate and HfO 2 to be formed later.
  • hafnium oxide (HfO 2 ) as a high dielectric constant film is formed on SiO 2 (“High-k formation” step).
  • a gate insulating film is composed of SiO 2 and HfO 2 .
  • annealing is performed (“Post Deposition Annealing” step). The annealing process is performed impurity removal during HfO 2, the densification or crystallization of HfO 2 on the purpose.
  • a metal nitride film (TiN) is formed as a gate electrode on HfO 2 (“TiN deposition” step).
  • the TiN film forming process using TiI 4 as a raw material and the TiN film forming process using TiCl 4 as a raw material are performed.
  • a TiN film forming process for supplying TiI 4 and NH 3 to the wafer 100 is performed X times (first predetermined number of times), and a TiN film forming process for supplying TiCl 4 and NH 3 to the wafer 100 is performed.
  • a process of performing the process Y times (second predetermined number of times).
  • Each of these steps is alternately performed Z times (third predetermined number of times) to form a laminated metal nitride film (laminated TiN). Details of this processing will be described later.
  • the laminated metal nitride film means a metal nitride film formed by laminating a predetermined number of metal nitride films containing the same metal element, which are formed using different raw materials. That is, the laminated TiN means a film formed by laminating a predetermined number of TiNs formed using different raw materials.
  • Gate patterning patterning using a photolithography technique
  • Gate etching pattern etching using a dry etching technique
  • FGA Forming gas annealing
  • a TiN film is further formed on the TiN by, for example, PVD (Physical Vapor Deposition) to measure the work function. A required film thickness can be ensured.
  • the TiN film is formed by PVD before the “Gate etching” step. Further, after the “FGA” step, an aluminum layer as a back contact may be formed on the back surface of the silicon substrate.
  • TiN deposition process in FIG. 4 the operation of each part constituting the substrate processing apparatus 10 is controlled by the controller 280.
  • FIG. 5 is a process flow diagram showing an example of a film formation process of laminated TiN in the process flow shown in FIG.
  • FIG. 6 is a diagram showing gas supply timing in the film forming process shown in FIG.
  • wafer when the term “wafer” is used, it means “wafer itself” or “a laminate (aggregate) of a wafer and a predetermined layer or film formed on the surface thereof”. "(In other words, a wafer including a predetermined layer or film formed on the surface is referred to as a wafer).
  • wafer surface when the term “wafer surface” is used in this specification, it means “surface of the wafer itself (exposed surface)” or “surface of a predetermined layer or film formed on the wafer”. That is, it may mean “the outermost surface of the wafer as a laminate”.
  • the phrase “supplying a predetermined gas to the wafer” means that “a predetermined gas is directly supplied to the surface (exposed surface) of the wafer itself”. , It may mean that “a predetermined gas is supplied to a layer, a film, or the like formed on the wafer, that is, to the outermost surface of the wafer as a laminated body”.
  • a predetermined film or layer
  • a predetermined film (or layer) is formed on the film or layer formed on the wafer, that is, on the outermost surface of the wafer as a laminate”.
  • substrate used in this specification is the same as the case where the term “wafer” is used. In that case, in the above description, “wafer” may be replaced with “substrate”. .
  • Step S10 First, the gate valve 151 provided at the wafer transfer port 150 is opened, and the wafer 100 is transferred from the transfer chamber 171 into the processing container 102 by the transfer robot 173.
  • the above-described high dielectric constant film (HfO 2 ) is formed on the wafer 100 transferred into the processing container 102.
  • HfO 2 aluminum oxide (AlO), zirconium oxide (ZrO), lanthanum oxide (LaO), yttrium oxide (YO), tantalum oxide (TaO), cerium oxide (CeO), oxide Any of titanium (TiO), strontium titanate (STO), barium titanate (BTO), or a combination of two or more thereof may be used. Further, these films may be a film containing silicon oxide (SiO) or silicon nitride (SiN).
  • the wafer 100 transferred into the processing container 102 is placed on the lift pins 108b. Then, the wafer 100 is placed on the susceptor 117 by raising the support base 103 to the wafer processing position.
  • the wafer 100 placed on the susceptor 117 is heated to a predetermined temperature by the heater 106 built in the support base 103.
  • the processing container 102 is provided with a temperature sensor (not shown), and the energization amount to the heater 106 is feedback controlled so that the wafer 100 reaches a predetermined temperature based on temperature information detected by the temperature sensor. .
  • the stacked TiN film forming step includes forming a first TiN using TiI 4 as a raw material (first TiN film forming step) and forming a second TiN using TiCl 4 as a raw material (second TiN). Film forming step).
  • TiI 4 supply step S13 TiI 4 as the first metal raw material is supplied to the processing chamber 101. Specifically, the valve 236d of the gas supply pipe 232c is opened, and N 2 gas as the carrier gas is supplied to the first raw material supply source 235c. At this time, the carrier gas supplied from the first raw material supply source 235c is adjusted to a predetermined flow rate by the MFC 234c. TiI 4 vaporized inside the first raw material supply source 235c is supplied to the processing chamber 101 as a TiI 4 gas having a predetermined flow rate together with the carrier gas. At this time, the valve 232a of the inert gas supply system 230A may be opened, and N 2 gas may be supplied to the processing chamber 101 from the inert gas supply source 233a together with the TiI 4 gas.
  • the pressure in the processing chamber 101 is set to a pressure in the range of, for example, 20 to 1330 Pa by the APC 162.
  • the flow rate of the TiI 4 gas controlled by the MFC 234c (and the heater that heats the first raw material supply source 235c) is set to a flow rate in the range of 1 to 200 sccm, for example.
  • the flow rate of the N 2 gas controlled by the MFC 234a is, for example, a flow rate in the range of 0.1 to 2000 sccm.
  • the time for exposing the wafer 100 to the TiI 4 gas is, for example, a time within the range of 0.01 seconds to 300 seconds.
  • the temperature (processing temperature) of the wafer 100 is adjusted to a temperature in the range of 350 to 400 ° C., for example, preferably 400 ° C.
  • a Ti-containing layer having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 100.
  • the valve 236c is closed and the supply of TiI 4 gas into the processing chamber 101 is stopped.
  • the APC 162 is kept open, and the inside of the processing chamber 101 is evacuated by the vacuum pump 164, and TiI 4 gas remaining in the processing chamber 101 or contributing to the formation of the Ti-containing layer is supplied into the processing chamber 101.
  • the valve 235a is opened (or left open), and N 2 gas is supplied into the processing chamber 101.
  • the N 2 gas acts as a purge gas, and the effect of removing the TiI 4 gas remaining in the processing chamber 101 from the processing chamber 101 can be further enhanced.
  • the purge is performed by supplying N 2 gas at a flow rate of 2000 sccm, for example, for 1 second to 60 seconds, for example.
  • NH 3 Supply Step S15 NH 3 gas as a reaction gas is supplied to the processing chamber 101.
  • the valve 235b of the gas supply pipe 232b is opened, and the NH 3 gas stored in the reaction gas supply source 233b is caused to flow to the gas supply pipe 232b.
  • the NH 3 gas flowing through the gas supply pipe 232b is adjusted to a predetermined flow rate by the MFC 235b.
  • the NH 3 gas whose flow rate has been adjusted is supplied to the processing chamber 101 through the gas inlet 110.
  • the valve 232a of the inert gas supply system 230A may be opened, and N 2 gas may be supplied to the processing chamber 101 from the inert gas supply source 233a together with the NH 3 gas.
  • the pressure in the processing chamber 101 is set to a pressure in the range of, for example, 20 to 1330 Pa by the APC 162. Further, the flow rate of the NH 3 gas controlled by the MFC 234b is set to a flow rate in the range of 10 to 3000 sccm, for example.
  • the flow rate of the N 2 gas controlled by the MFC 234a is, for example, a flow rate in the range of 0.1 to 2000 sccm.
  • the time for exposing the wafer 100 to the NH 3 gas is, for example, a time within the range of 0.01 seconds to 300 seconds.
  • the temperature (processing temperature) of the wafer 100 is set to a temperature in the range of 350 to 400 ° C., for example, preferably 400 ° C.
  • the NH 3 gas supplied to the processing chamber 101 reacts with at least a part of the Ti-containing layer formed on the wafer 100 in step S13. Thereby, the Ti-containing layer is nitrided to form the first TiN.
  • the valve 235b is closed and the supply of NH 3 gas into the processing chamber 101 is stopped.
  • the APC 162 is kept open, the inside of the processing chamber 101 is evacuated by the vacuum pump 164, and NH 3 gas remaining in the processing chamber 101 or contributing to nitridation of the Ti-containing layer is treated with the processing chamber 101.
  • the valve 235a is opened (or left open), and N 2 gas is supplied into the processing chamber 101.
  • the N 2 gas acts as a purge gas, and the effect of removing the NH 3 gas remaining in the processing chamber 101 from the processing chamber 101 can be further enhanced.
  • the purge is performed by supplying N 2 gas at a flow rate of 2000 sccm, for example, for 1 second to 60 seconds, for example.
  • Processing number determination step S17 a series of steps with the above-described steps S13 to S16 as one cycle has been performed for the first predetermined number of times X (whether the series of steps has been performed for X sets).
  • the process of steps S13 to S16 is repeatedly performed until it is determined that the first predetermined number of times X has been performed.
  • X is an integer of 1 or more.
  • the first TiN film forming process is completed by performing the cycles of steps S13 to S16 X times.
  • TiN (first TiN) having a predetermined film thickness (for example, 0.01 to 20 nm) is formed by performing the processing of steps S13 to S16 for at least one cycle or more.
  • TiI 4 gas may be supplied before the TiI 4 gas and NH 3 gas.
  • TiCl 4 supply step S18 TiCl 4 as the second metal raw material is supplied to the processing chamber 101.
  • the valve 236d of the gas supply pipe 232d is opened, and N 2 gas as the carrier gas is supplied to the second raw material supply source 235d.
  • the carrier gas supplied from the second raw material supply source 235d is adjusted to a predetermined flow rate by the MFC 234d.
  • TiCl 4 accommodated in the second raw material supply source 235d is vaporized by the carrier gas supplied to the second raw material supply source 235d, and is supplied to the processing chamber 101 together with the carrier gas through the valve 236d.
  • the valve 232a of the inert gas supply system 230A may be opened, and N 2 gas may be supplied to the processing chamber 101 from the inert gas supply source 233a together with the TiCl 4 gas.
  • the pressure in the processing chamber 101 is set to a pressure in the range of, for example, 20 to 1330 Pa by the APC 162. Further, the flow rate of the TiCl 4 gas controlled by the MFC 234d is set to a flow rate in the range of 1 to 200 sccm, for example.
  • the flow rate of the N 2 gas controlled by the MFC 234a is, for example, a flow rate in the range of 0.1 to 2000 sccm.
  • the time for exposing the wafer 100 to the TiCl 4 gas is, for example, a time within the range of 0.01 seconds to 300 seconds.
  • the temperature (processing temperature) of the wafer 100 is set to a temperature in the range of 350 to 400 ° C., for example, preferably 400 ° C.
  • a Ti-containing layer having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 100.
  • the valve 236d is closed, and the supply of TiCl 4 gas into the processing chamber 101 is stopped.
  • the APC 162 is kept open, and the inside of the processing chamber 101 is evacuated by the vacuum pump 164, and the TiCl 4 gas remaining in the processing chamber 101 or contributing to the formation of the Ti-containing layer is supplied into the processing chamber 101.
  • the valve 235a is opened (or left open), and N 2 gas is supplied into the processing chamber 101.
  • the N 2 gas acts as a purge gas, and the effect of removing the TiCl 4 gas remaining in the processing chamber 101 from the processing chamber 101 can be further enhanced.
  • the purge is performed by supplying N 2 gas at a flow rate of 2000 sccm, for example, for 1 second to 60 seconds, for example.
  • NH 3 Supply Step S20 In the NH 3 supply step S20, NH 3 gas as a reaction gas is supplied to the processing chamber 101 as in step S15 described above. Since the specific apparatus operation and supply conditions are the same as in step S15, description thereof will be omitted. NH 3 gas in NH 3 supply step S20 is supplied to the processing chamber 101, it reacts with at least a portion of the Ti-containing layer formed on the wafer 100 in step S18. Thereby, the Ti-containing layer is nitrided to form a second TiN film.
  • Step S21 residual Gas Removal Step S21
  • the NH 3 gas remaining in the processing chamber 101 is removed from the processing chamber 101 as in the above-described step S16. Since the specific apparatus operation and supply conditions are the same as in step S15, description thereof will be omitted.
  • Processing number determination step S22 a series of steps with the above-described steps S18 to S21 as one cycle has been performed a second predetermined number of times Y (whether the series of steps has been performed as Y set)
  • the process of steps S13 to S16 is repeatedly performed until it is determined that the second predetermined number of times Y has been performed.
  • Y is an integer of 1 or more.
  • TiN (second TiN) having a predetermined film thickness is formed by performing the processing of steps S18 to S21 for at least one cycle or more.
  • the NH 3 gas may be supplied before the TiCl 4 gas.
  • the first TiN film forming process using TiI 4 as a raw material and the second TiN film forming process using TiCl 4 as a raw material are alternately performed at least one cycle or more to thereby obtain a predetermined film thickness (for example, 0. (02 to 40 nm) laminated TiN is formed.
  • the film forming process using TiCl 4 as a raw material is performed after the film forming process using TiI 4 as a raw material.
  • TiI 4 is performed.
  • a film forming process using 4 as a raw material may be performed.
  • wafer Unloading Step S24 When the laminated TiN film forming step is completed, the process proceeds to the wafer unloading step S24.
  • the wafer unloading step S ⁇ b> 24 the support base 103 is lowered, the gate valve 151 is opened, and the processed (film-formed) wafer 100 is unloaded from the processing container 102 by the transfer robot 173.
  • the number of times of performing the process of forming the first TIN (the process from step S13 to step S17) (the above-mentioned X or the multiplication value of X and Z) and the process of forming the second TiN (step S18).
  • the work function of the laminated TiN as the gate electrode can be adjusted to an arbitrary value depending on the number of times (the process from step S22 to step S22) (the above-mentioned Y or the multiplication value of Y and Z). That is, the work function of the laminated TiN is determined by the ratio (lamination ratio) of the first TiN formed using TiI 4 contained in the laminated TiN and the second TiN formed using TiCl 4 as the raw material. It can be adjusted to any value. Hereinafter, this reason will be described.
  • the inventor of the present application has found that the work function is different between the first TiN and the second TiN. Specifically, the inventor of the present application has found that the work function of the first TiN is lower than that of the second TiN. This is because iodine (I) and chlorine (Cl) remain in the first TiN and the second TiN, respectively, but the work functions of iodine (I) and chlorine (Cl) are different (iodine ( It is considered that the work function of I) is lower than that of chlorine (Cl).
  • the work function of the first TiN is titanium ( The approach to the inherently lower work function of Ti) can also be considered as one of the reasons why the work function of the first TiN is lower than that of the second TiN. Furthermore, the diffusion of the halogen element when TiI 4 is used causes the fixed charge at the interface between SiO 2 and HfO 2 to fluctuate in the direction of lowering the work function. The work function of the first TiN is also the second TiN. It is considered to be one of the reasons lower than that.
  • the work function of the laminated TiN can be changed to the work function specific to the first TiN and the specific work function of the second TiN. It can be adjusted to any value between the work function.
  • the magnitude of the work function of each element can be considered by using, as an index, an electronegativity whose correlation with the work function is known in addition to the measured value of the work function or its theoretical value.
  • FIG. 7 is a diagram showing the relationship between the ratio of the first TiN contained in the laminated TiN and the work function of the laminated TiN. Specifically, the thickness of the laminated TiN is 6 nm.
  • the work function is shown when the ratio of the first TiN contained is changed from 0% to 100%.
  • the work function shown in FIG. 7 is an effective work function (eWF) when HfO 2 is used as the high dielectric constant film, and is a value including a dipole at the HfO 2 / SiO 2 interface.
  • FIG. 8 shows the values of X, Y, and Z described above when the eWF shown in FIG. 7 is obtained. In FIG. 8, the value of Z when the eWF shown in FIG. 7 is obtained is the value shown in “Example 1”.
  • the processing conditions for the first TiN and the second TiN are as follows.
  • the work function decreases as the proportion of the first TiN contained in the laminated TiN increases.
  • the work function of the stacked TiN is higher as the ratio of the first TiN is higher (lower as the ratio of the second TiN is lower), and the work function peculiar to the first TiN (4.45 [eV in the illustrated example). ])
  • the lower the ratio of the first TiN the higher the ratio of the second TiN
  • FIG. 9 is a diagram showing the electrical resistivity and film thickness of the laminated TiN when the ratio of the first TiN and the second TiN contained in the laminated TiN is changed.
  • the values of X, Y, and Z when the values shown in FIG. 9 are obtained are as shown in FIG. However, in FIG. 8, the value of Z when the value shown in FIG. 9 is obtained is the value shown in “Example 2”.
  • the processing conditions for the first TiN and the second TiN are the same as described above.
  • the electrical resistivity is greatly reduced. Specifically, when 25% or more of the first TiN is contained in the laminated TiN, the electrical resistivity is less than 300 [ ⁇ cm].
  • the electrical resistivity of the first TiN and the electrical resistivity of the second TiN are different (the electrical resistivity of the first TiN is lower than that of the second TiN).
  • the electrical resistivity of the gate electrode is low, when the first TiN is contained in the laminated TiN by 25% or more (when eWF is adjusted in a region of 4.54 [eV] or less), the work function is In addition to being adjustable, low resistance electrical characteristics can be obtained.
  • the film thickness increases as the ratio of the first TiN contained in the laminated TiN increases. This is because the binding energy of the halogen element in TiI 4 is smaller than that of TiCl 4 , and it is considered that the formation of the Ti-containing layer is promoted when TiI 4 is used under the same processing conditions. It is. Note that the bond energy between titanium (Ti) and the halogen element decreases as the atomic number of the halogen element increases.
  • the bond energy of titanium (Ti) and chlorine (Cl) in TiCl 4 is 494 [kJ / mol]
  • the bond energy of titanium (Ti) and iodine (I) in TiI 4 is 310 [kJ / mol].
  • the film thickness that is, the film formation rate is greatly improved. Therefore, when the first TiN is contained in the laminated TiN in an amount of 25% or more (when eWF is adjusted in an area of 4.54 [eV] or less), in addition to being able to adjust the work function, the film formation rate is also greatly improved. It can also be made.
  • the reason why the content ratio of iodine (I) in the first TiN is lower than the content ratio of chlorine (Cl) in the second TiN is that the binding energy of the halogen element in TiI 4 is small, and iodine It is considered that (I) is efficiently separated from titanium (Ti).
  • the reason for starting the film forming process using TiI 4 as a raw material in the laminated TiN film forming process shown in FIG. 5 will be described.
  • the diffusion of the halogen element when TiI 4 is used causes the fixed charge at the interface between SiO 2 and HfO 2 to fluctuate in a direction that lowers the work function. This is considered to be one of the reasons lower than that of the second TiN. Therefore, in order to increase the adjustment width more to reduce the work function, starting from the film-forming process using TiI 4 as a starting material, the halogen element TiI 4, the SiO 2 and HfO 2 through the HfO 2 It was made to diffuse to the interface.
  • FIG. 10 is a diagram showing the roughness of the first TiN and the second TiN, and specifically, is a measurement result by AFM (Atomic Force Microscopy).
  • AFM Anatomic Force Microscopy
  • the values of X, Y, and Z when the values shown in FIG. 10 are obtained are as shown in FIG.
  • the value of Z is the value shown in “Example 1”.
  • the processing conditions for the first TiN and the second TiN are the same as described above.
  • the first TiN is better than the second TiN in terms of average surface roughness (Ra), root mean square surface roughness (RMS), and in-plane maximum height difference (Rmax). .
  • Ra average surface roughness
  • RMS root mean square surface roughness
  • Rmax in-plane maximum height difference
  • the adhesion between the laminated TiN and the underlying gate insulating film is increased, and the film peeling of the laminated TiN hardly occurs.
  • a thin film such as tungsten (W) is formed on TiN in a device structure such as 3D-NAND, so that the thin film such as tungsten (W) is formed. It is also possible to suppress film peeling.
  • the first metal material containing the first halogen element and the predetermined metal element and the nitrogen-containing material are supplied to form the first metal nitride film.
  • Supplying a second metal raw material containing a second halogen element different from the first halogen element and the predetermined metal element and a nitrogen-containing element to form a second metal nitride film Since the laminated metal nitride film is formed, the work function of the metal film can be adjusted.
  • the film formation process of the present embodiment uses an existing metal nitride film (TiCl 4). Since it is based on a TiN film forming process that is a metal nitride film formed using as a raw material, integration problems can also be avoided.
  • the present invention can also be realized, for example, by modifying a gas supply system of an existing substrate processing apparatus existing in a semiconductor device manufacturing factory and changing a process recipe.
  • the process recipe according to the present invention is installed in an existing substrate processing apparatus via a telecommunication line or a recording medium recording the process recipe, or input / output of the existing substrate processing apparatus It is also possible to operate the apparatus and change the process recipe itself to the process recipe according to the present invention.
  • the film forming technique has been described as various typical embodiments of the present invention, but the present invention is not limited to these embodiments.
  • a single wafer apparatus is described as an example of the substrate processing apparatus, but the present invention can be similarly applied to a vertical processing apparatus that processes a plurality of substrates at a time.
  • a processing chamber for processing a substrate for processing a substrate, a first metal source supply system for supplying a first metal source containing a first halogen element and a predetermined metal element to the processing chamber, and the first A second metal source supply system for supplying a second metal source containing a second halogen element different from the halogen element and the predetermined metal element to the processing chamber; and a nitrogen-containing source containing nitrogen.
  • a nitrogen-containing source supply system for supplying to the chamber; a process for supplying the first metal source and the nitrogen-containing source to the processing chamber to form a first metal nitride film on the substrate; Forming a second metal nitride film on the substrate by supplying the metal source and the nitrogen-containing source to the processing chamber to form a laminated metal nitride film on the substrate.
  • the first metal raw material supply system, the second metal raw material supply system, and the front A substrate processing apparatus and a control unit configured to be executed by controlling the nitrogen-containing raw material supply system.
  • the control unit alternately supplies the first metal material and the nitrogen-containing material to the processing chamber for a first predetermined number of times to form the first metal nitride film on the substrate.
  • a process of forming the second metal nitride film on the substrate by alternately supplying the second metal source and the nitrogen-containing source to the process chamber a second predetermined number of times.
  • a film forming process for forming the laminated metal nitride film on the substrate by performing a third predetermined number of times is executed by controlling the first metal source supply system, the second metal source supply system, and the nitrogen-containing source supply system.
  • the substrate processing apparatus according to appendix 1, configured to cause
  • Appendix 4 The substrate processing apparatus according to any one of appendices 1 to 3, wherein the first metal nitride film and the second metal nitride film have different work functions.
  • Appendix 5 The substrate processing apparatus according to any one of appendices 1 to 4, wherein the first metal nitride film and the second metal nitride film have different resistivities.
  • the first metal raw material contains a halogen element having an atomic number larger than chlorine (Cl) as the first halogen element, and the second metal raw material contains chlorine (The substrate processing apparatus according to any one of appendices 1 to 6, containing Cl).
  • Appendix 8 The substrate processing apparatus according to any one of appendices 1 to 7, wherein the first metal material is titanium iodide (TiI 4 ), and the second metal material is titanium chloride (TiCl 4 ).
  • Appendix 9 The substrate processing apparatus according to any one of appendices 6 to 8, wherein the film forming process is started from a process of forming the first metal nitride film.
  • a first metal raw material containing a first halogen element and a predetermined metal element and a nitrogen-containing raw material are supplied to a substrate in the processing chamber to form a first metal nitride film on the substrate.
  • a step of forming a second metal nitride film on the substrate to perform a film forming step to form a laminated metal nitride film on the substrate.
  • a first metal material containing a first halogen element and a predetermined metal element and a nitrogen-containing material are alternately supplied to the substrate in the processing chamber for a first predetermined number of times on the substrate.
  • Forming a first metal nitride film; and a second metal containing the second halogen element different from the first halogen element and the predetermined metal element with respect to the substrate in the processing chamber Performing a film forming step of alternately supplying a raw material and a nitrogen-containing raw material a second predetermined number of times to form a second metal nitride film on the substrate, and performing a third predetermined number of times alternately.
  • the first metal raw material contains a halogen element having an atomic number larger than chlorine (Cl) as the first halogen element, and the second metal raw material contains chlorine ( 14.
  • a first metal material containing a first halogen element and a predetermined metal element and a nitrogen-containing material are supplied to a substrate in the processing chamber to form a first metal nitride film on the substrate.
  • a first metal source containing a first halogen element and a predetermined metal element and a nitrogen-containing source are alternately supplied to the substrate in the processing chamber for a first predetermined number of times on the substrate.
  • a procedure for forming a first metal nitride film, and a second metal containing a second halogen element different from the first halogen element and the predetermined metal element with respect to the substrate in the processing chamber A program for causing a computer to execute a procedure for alternately supplying a raw material and a nitrogen-containing raw material for a second predetermined number of times and forming a second metal nitride film on the substrate alternately for a third predetermined number of times .
  • a first metal material containing a first halogen element and a predetermined metal element and a nitrogen-containing material are supplied to a substrate in the processing chamber to form a first metal nitride film on the substrate.
  • a first metal raw material containing a first halogen element and a predetermined metal element and a nitrogen-containing raw material are alternately supplied to the substrate in the processing chamber for a first predetermined number of times on the substrate.
  • a procedure for forming a first metal nitride film, and a second metal containing a second halogen element different from the first halogen element and the predetermined metal element with respect to the substrate in the processing chamber A program for causing a computer to execute a procedure for alternately supplying a raw material and a nitrogen-containing raw material for a second predetermined number of times and forming a second metal nitride film on the substrate alternately for a third predetermined number of times
  • the present invention can be used for, for example, a substrate processing apparatus for processing a substrate such as a silicon wafer, a method for manufacturing a semiconductor device, and the like.
  • Substrate processing apparatus ... 10, wafer (substrate) ... 100, processing chamber ... 101, heater (heating unit) ... 106, inert gas supply system ... 230A, reactive gas supply system (nitrogen) Containing raw material supply system) 230B, first raw material gas supply system (first metal raw material supply system) 230C, second raw material gas supply system (second metal raw material supply system) 230D, controller ( Control unit) ... 280, calculation unit ... 281, storage unit ... 282mm

Abstract

処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して基板上に第1の金属窒化膜を形成する工程と、処理室内の基板に対して上記第1のハロゲン元素とは異なる第2のハロゲン元素と上記所定の金属元素とを含有する第2の金属原料と窒素含有元素とを供給して基板上に第2の金属窒化膜を形成する工程と、を含む成膜工程を行って基板上に積層金属窒化膜を形成する。

Description

基板処理装置、半導体装置の製造方法および記録媒体
 本発明は、基板処理装置、半導体装置の製造方法および記録媒体に関する。
 MOSFET(Metal-Oxide-Semiconductor Field Effect Transistor)等のトランジスタのゲート電極や、DRAM(Dynamic Random Access Memory)のキャパシタ電極では、様々な種類の金属膜が用いられている。
 トランジスタの構造として、シリコン上に高誘電率膜を形成し、この高誘電率膜上にさらにゲート電極を形成したゲートスタック構造が知られている。ゲート電極としては、金属元素を含有する金属膜が知られている(例えば特許文献1参照)。
特開2011-6783号公報
トランジスタの特性を示す重要なパラメータとして閾値電圧があり、この閾値電圧は、電極の仕事関数によって決定される。この仕事関数は、求めるデバイス性能によって要求される値が異なる。例えばNMOSタイプのトランジスタを省電力化するには、より低い仕事関数を有する金属膜が要求される。このように、要求される仕事関数は求めるデバイス性能によって異なり、金属膜の仕事関数を調整できることが望まれている。
 本発明の主な目的は、金属膜の仕事関数を調整することができる技術を提供することにある。
 本発明の一態様によれば、基板を処理する処理室と、 第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料を前記処理室に供給する第1金属原料供給系と、前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料を前記処理室に供給する第2金属原料供給系と、窒素を含有する窒素含有原料を前記処理室に供給する窒素含有原料供給系と、前記第1の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第1の金属窒化膜を形成する処理と、前記第2の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第2の金属窒化膜を形成する処理とを行って前記基板上に積層金属窒化膜を形成する成膜処理を前記第1金属原料供給系、前記第2金属原料供給系および前記窒素含有原料供給系を制御して実行させるように構成された制御部と、を有する基板処理装置が提供される。
また、本発明の一態様によれば、処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する工程と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する工程と、を含む成膜工程を行って前記基板上に積層金属窒化膜を形成する半導体装置の製造方法が提供される。
また、本発明の一態様によれば、処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する手順と、をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
 本発明によれば、金属膜の仕事関数を調整することができる。
本発明の第1実施形態で好適に用いられる基板処理装置の概略構成図である。 図1に示す基板処理装置のガス供給系の概略構成図である。 図1に示す基板処理装置を用いて形成されるトランジスタのゲートの構成例を示す説明図である。 図3に示すトランジスタのゲートの製造工程例を示す処理フロー図である。 図4に示す処理フローにおける金属窒化膜の成膜工程例を示す処理フロー図である。 図5に示す成膜工程におけるガス供給のタイミングを示す図である。 本発明の第1実施形態における金属窒化膜の仕事関数を示す図である。 図7に示す仕事関数を得たときの成膜処理回数を示す図である。 本発明の第1実施形態における金属窒化膜の抵抗率と膜厚を示す図である。 本発明の第1実施形態における金属窒化膜を構成する第1の金属窒化膜と第2の金属窒化膜のラフネスを示す図である。
(第1実施形態) 以下、本発明の第1実施形態について図面を参照して説明する。
 まず、本実施形態で使用される基板処理装置について説明する。この基板処理装置は、具体的には半導体装置の製造装置であり、半導体装置の製造工程の一工程で使用されるものである。以下では、基板処理装置の一例として、一度に1枚の基板に対し成膜処理等を行う枚葉式の基板処理装置を使用した場合について述べる。
(1)基板処理装置の構成
 図1は、本実施形態で好適に用いられる基板処理装置の概略構成図である。
<処理室> 図1に示すように、基板処理装置10は処理容器102を備えている。処理容器102は、例えば上面視が円形を呈する扁平な密閉容器として構成される。また、処理容器102は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料、または、石英(SiO)等により構成される。処理容器102内には処理室101が形成される。処理室101では、基板としてのシリコンウェハ等のウェハ100が処理される。
<支持台> 処理容器102内には、ウェハ100を支持する支持台103が設けられる。支持台103は、例えば、石英(SiO)、カーボン、セラミックス、炭化ケイ素(SiC)、酸化アルミニウム(Al)、または窒化アルミニウム(AlN)により構成される。支持台103の上面には、例えば、石英(SiO)、カーボン、セラミックス、炭化ケイ素(SiC)、酸化アルミニウム(Al)、または窒化アルミニウム(AlN)により構成された支持板としてのサセプタ117が設けられ、このサセプタ117にウェハ100が載置される。支持台103には、ウェハ100を加熱する加熱部としてのヒータ106が内蔵される。また、支持台103の下端部(支柱)は、処理容器102の底部を貫通している。
<昇降機構> 支持台103の下端部には、昇降機構107bが接続される。この昇降機構107bを作動させることにより、支持台103を昇降させ、サセプタ117上に支持されるウェハ100を昇降させる。支持台103(サセプタ117)は、ウェハ100の搬送時には後述のウェハ搬送口150の高さまで下降し、ウェハ100の処理時にはウェハ処理位置(図示の位置)まで上昇する。なお、支持台103の下端部の周囲は、ベローズ103aにより覆われており、処理容器102内は気密に保持されている。
<リフトピン> また、処理容器102の内部底面には、複数本、例えば3本のリフトピン108bが設けられる。また、支持台103(サセプタ117も含む)には、複数の貫通孔108aが、リフトピン108bのそれぞれに対応する位置に設けられる。支持台103をウェハ搬送位置まで下降させた際は、リフトピン108bの上端が貫通孔108aを通過してサセプタ117の上面から突出し、リフトピン108bがウェハ100を下方から支持する。また、支持台103をウェハ処理位置まで上昇させたときには、リフトピン108bはサセプタ117の上面から埋没して、サセプタ117がウェハ100を下方から支持する。なお、リフトピン108bは、ウェハ100と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
<ウェハ搬送口> 処理容器102の内壁側面には、処理容器102の内外にウェハ100を搬送するためのウェハ搬送口150が設けられる。ウェハ搬送口150にはゲートバルブ151が設けられ、このゲートバルブ151を開くことにより、処理容器102内と搬送室(予備室)171内とが連通する。搬送室171は搬送容器(密閉容器)172内に形成されており、搬送室171内にはウェハ100を搬送する搬送ロボット173が設けられている。搬送ロボット173には、ウェハ100を搬送する際にウェハ100を支持する搬送アーム173aが備えられている。
支持台103をウェハ搬送位置まで下降させた状態で、ゲートバルブ151を開くことにより、搬送ロボット173により処理容器102内と搬送室171内との間でウェハ100を搬送することが可能とされる。処理容器102内に搬送されたウェハ100は、上述したようにリフトピン108b上に一時的に載置される。なお、搬送容器172においてウェハ搬送口150が設けられた側と反対側には、図示しないロードロック室が設けられており、搬送ロボット173によりロードロック室内と搬送室171内との間でウェハ100を搬送することが可能とされる。なお、ロードロック室は、処理前もしくは処理済のウェハ100を一時的に収容する予備室として機能する。
<排気系> 処理容器102の内壁側面であって、ウェハ搬送口150の反対側には、処理容器102内の雰囲気を排気する排気口160が設けられる。排気口160には排気チャンバ160aを介して排気管161が接続され、排気管161には、処理室101内を所定の圧力に制御する圧力調整器としてのAPC(Auto Pressure Controller)162、原料回収トラップ163、および真空ポンプ164が順に直列に接続されている。主に、排気口160、排気管161、圧力調整器162によって、排気系(排気ライン)が構成される。なお、原料回収トラップ163、真空ポンプ164は、基板処理装置10が設置される半導体製造工場側に設けられるが、基板処理装置10に設けても良い。
<ガス導入口> 処理容器102の上部(後述のシャワーヘッド140の上面(天井壁))には、処理容器102内に各種ガスを供給するガス導入口110が設けられている。ガス導入口110には、ガス供給系(後述)が接続される。
<シャワーヘッド> 処理容器202においてガス導入口110と処理室101との間には、ガス分散機構としてのシャワーヘッド140が設けられる。シャワーヘッド140は、ガス導入口110から導入されるガスを分散させる分散板140aと、分散板140aを通過したガスをさらに均一に分散させて支持台103上のウェハ100の表面に供給するシャワー板140bと、を備えている。分散板140aおよびシャワー板140bには、複数の孔が設けられている。分散板140aは、シャワーヘッド140の上面およびシャワー板140bと対向するように配置されており、シャワー板140bは、支持台103上のウェハ100と対向するように配置されている。なお、シャワーヘッド140の上面と分散板140aとの間、および分散板140aとシャワー板140bとの間には、それぞれ空間が設けられており、かかる空間は、ガス導入口110から供給されるガスを拡散させる第1バッファ空間140c、および分散板140aを通過したガスを拡散させる第2バッファ空間140dとしてそれぞれ機能する。
<排気ダクト> 処理室101の内壁側面には、段差部101aが設けられる。この段差部101aは、コンダクタンスプレート104を保持する。コンダクタンスプレート104は、内周部にウェハ100を収容する孔が設けられたリング状の板材として構成される。コンダクタンスプレート104の外周部には、所定間隔で周方向に配列された複数の排出口104aが設けられている。
 処理容器102において支持台103の外周部には、ロワープレート105が係止される。ロワープレート105は、リング状の凹部105bと、凹部105bの内周側上部に一体的に設けられたフランジ部105aとを備えている。凹部105bは、支持台103の外周部と、処理室101の内壁側面との隙間を塞ぐように設けられている。凹部105bの底部のうち排気口160付近の一部には、凹部105b内から排気口160側へガスを排出(流通)させるプレート排気口105cが設けられている。フランジ部105aは、支持台103の上部外周縁上に係止する係止部として機能する。フランジ部105aが支持台103の上部外周縁上に係止することにより、支持台103の昇降に伴い、ロワープレート105が支持台103と共に昇降される。
 支持台103がウェハ処理位置まで上昇すると、コンダクタンスプレート104がロワープレート105の凹部105bの上部開口面を塞ぎ、凹部105bの内部をガス流路領域とする排気ダクト159が形成される。なお、コンダクタンスプレート104およびロワープレート105は、排気ダクト159の内壁に堆積する反応生成物をエッチングする場合(セルフクリーニングする場合)を考慮して、高温保持が可能な材料、例えば、耐高温高負荷用石英で構成することが好ましい。
 ここで、ウェハ100を処理する際の処理室101内のガスの流れについて説明する。ガス導入口110からシャワーヘッド140に供給されたガスは、第1バッファ空間140cを通過して分散板140aの孔から第2バッファ空間140dへと流入し、さらにシャワー板140bの孔を通過して処理室101内のウェハ100に供給される。ウェハ100に供給されたガスは、ウェハ100外周部に位置する排気ダクト159を通過し、排気口160から処理室101の外部へと排気される。
<ガス供給系> 続いて、上述したガス導入口110に接続されるガス供給系の構成について説明する。図2は、基板処理装置10のガス供給系の構成図である。基板処理装置10のガス供給系は、ガス導入口110に接続された不活性ガス供給系230A、反応ガス供給系230B、第1原料ガス供給系230Cおよび第2原料ガス供給系230Dを備える。
(不活性ガス供給系) 不活性ガス供給系230Aは、主に、ガス供給管232a、不活性ガス供給源233a、MFC234a、バルブ235aにより構成される。ガス供給管232aは、その下流側がガス導入口110に接続されると共に、上流側から順に、不活性ガス供給源233a、MFC(マスフローコントローラ)234aおよびバルブ235aが設けられる。本実施形態においては、不活性ガスとして窒素(N)ガスを用いる。
不活性ガス供給源233aからガス供給管232aに流入したNガスは、MFC234aで所定の流量に調整された後、バルブ235aを介してガス導入口110へ供給される。なお、不活性ガスは、Nガスの他、ヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス、クリプトン(Kr)ガス、キセノン(Xe)ガス等の希ガスであっても良い。
(反応ガス供給系(窒素含有原料供給系)) 反応ガス供給系230Bは、主に、ガス供給管232b、反応ガス供給源233b、MFC234b、バルブ235bにより構成される。ガス供給管232bは、その下流側がガス導入口110に接続されると共に、上流側から順に、反応ガス供給源233b、MFC234bおよびバルブ235bが設けられる。反応ガスは、窒素を含有する窒素含有原料であり、窒化源として用いられる。本実施形態においては、窒素を含有する窒素含有原料として、アンモニア(NH)を用いる。
反応ガス供給源233bからガス供給管232bに流入したNHガスは、MFC234bで所定の流量に調整された後、バルブ235bを介してガス導入口110へ供給される。なお、反応ガスは、NHガスに限らず、N、亜酸化窒素(NO)、酸化窒素(NO)などを用いてもよい。
(第1原料ガス供給系(第1の金属原料供給系))第1原料ガス供給系230Cからは、第1の金属原料が供給される。まず、この第1の金属原料について説明する。第1の金属原料は、第1のハロゲン元素と所定の金属元素とを含有する。ここで、第1のハロゲン元素とは、後述する第2のハロゲン元素よりも原子番号が大きいハロゲン元素である。好ましくは、第1のハロゲン元素は塩素(Cl)よりも原子番号が大きいハロゲン元素であり、臭素(Br)、ヨウ素(I)またはアスタチン(At)のいずれかである。また、所定の金属元素とは、例えば遷移金属元素である。本実施形態においては、第1のハロゲン元素としてヨウ素(I)を選択し、所定の金属元素として遷移金属元素であるチタン(Ti)を選択した。すなわち、本実施形態においては、第1の金属原料としてヨウ化チタン(四ヨウ化チタン(TiI))を用いる。
第1原料ガス供給系230Cは、主に、ガス供給管232c、キャリアガス供給源233c、MFC234c、第1原料供給源235c、バルブ236cにより構成される。ガス供給管232cは、その下流側がガス導入口110に接続されると共に、上流側から順に、キャリアガス供給源233c、MFC234c、第1原料供給源235cおよびバルブ236cが設けられる。キャリアガスとしては、例えばNガスを用いる。第1原料供給源235cは、例えば気化器として構成される。
キャリアガス供給源233cからガス供給管232cに流入したキャリアガスは、MFC234cで所定の流量に調整された後、第1原料供給源235cに流入する。第1原料供給源235cには固体のTiIが収容されており、その気化ガスはキャリアガスと共にバルブ236cを介してガス導入口110へ供給される。なお、TiIは常温常圧で固体であり、第1原料供給源235cを所定の温度(例えば120℃)に加熱することによって気化させられる。
 なお、上記では、第1のハロゲン元素としてヨウ素(I)を例示したが、臭素(Br)やアスタチン(At)などを用いてもよい。また、所定の金属元素として遷移金属元素であるチタン(Ti)を例示したが、これに限らず、タングステン(W)、タンタル(Ta)、ジルコニウム(Zr)、ハフニウム(Hf)、ルテニウム(Ru)、コバルト(Co)、ニッケル(Ni)からなる群から選択してもよい。また、遷移金属以外の金属元素を用いるようにしてもよい。
(第2原料ガス供給系(第2の金属原料供給系)) 第2原料ガス供給系230Dからは、第2の金属原料が供給される。第2の金属原料は、上記した第1のハロゲン元素とは異なる第2のハロゲン元素と、上記した所定の金属元素とを含有する。第2のハロゲン元素は、第1のハロゲン元素よりも原子番号が小さいハロゲン元素である。好ましくは、第2のハロゲン元素は塩素(Cl)であるか、あるいは、それよりも原子番号が小さいハロゲン元素であるフッ素(F)である。本実施形態においては、第2のハロゲン元素として、第1のハロゲン元素として選択したヨウ素(I)よりも原子番号の小さい塩素(Cl)を選択する。また、第2の金属原料が含有する所定の金属元素は、第1の金属原料が含有する金属元素であるチタン(Ti)とする。すなわち、本実施形態においては、第2の金属原料として塩化チタン(四塩化チタン(TiCl))を用いる。
第2原料ガス供給系230Dは、主に、ガス供給管232d、キャリアガス供給源233d、MFC234d、第2原料供給源235d、バルブ236dにより構成される。ガス供給管232dは、その下流側がガス導入口110に接続されると共に、上流側から順に、キャリアガス供給源233d、MFC234d、第2原料供給源235dおよびバルブ236dが設けられる。キャリアガスとしては、例えばNガスを用いる。また、第2原料供給源235dは例えばバブラとして構成される。
キャリアガス供給源233dからガス供給管232cに流入したキャリアガスは、MFC234dで所定の流量に調整された後、第2原料供給源235cに流入する。TiClは、常温常圧で液体であり、第2原料供給源235cには液体として収容される。第2原料供給源235dに収容されたTiClは、第2原料供給源235dに供給されたキャリアガスによって気化され、キャリアガスと共にバルブ236dを介してガス導入口110へ供給される。なお、第2原料供給源235dを加熱することによって第2原料供給源235d内のTiClの蒸気圧を十分に高め、TiClをガスとして供給するようにしてもよい。この場合、第2原料供給源235dをバブラとして構成する必要は必ずしもない。
(コントローラ) 図1に示すように、基板処理装置10は、基板処理装置10の各部の動作を制御するコントローラ(制御部)280を有している。コントローラ280は、演算部281および記憶部282を少なくとも有する。コントローラ280は、上記した各構成に接続され、上位コントローラや使用者の指示に応じて記憶部282からプログラムやレシピを呼び出し、その内容に応じて各構成の動作を制御する。具体的には、コントローラ280は、ヒータ106、昇降機構107b、ゲートバルブ151、APC162、真空ポンプ164、搬送ロボット173、ガス供給系のバルブやMFC等の動作を制御する。
 なお、コントローラ280は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)283を用意し、外部記憶装置283を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ280を構成することができる。
 また、コンピュータにプログラムを供給するための手段は、外部記憶装置283を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置283を介さずにプログラムを供給するようにしてもよい。なお、記憶部282や外部記憶装置283は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部282単体のみを含む場合、外部記憶装置283単体のみを含む場合、または、その両方を含む場合がある。
<半導体装置の構成> 次に、基板処理装置10を用いて形成されるトランジスタ(半導体装置)のゲートの構成例について説明する。ここでは、NMOSタイプのトランジスタを例に挙げる。
 図3は、基板処理装置10を用いて形成されるトランジスタのゲートの構成例を示す図であり、具体的には、NMOSタイプのトランジスタのゲートの構成例を示す図である。図3に示すように、ゲートは、シリコン基板(Si-sub)の上に形成された酸化シリコン(SiO)からなるシリコン系絶縁膜と、このSiOの上に形成された酸化ハフニウム(HfO)からなる高誘電率膜(High-k膜)と、このHfOの上に形成された金属窒化膜(TiN)からなるゲート電極とを積層したスタック構造とされる。ここで特徴的なことは、ゲート電極としてのTiNが、TiIを原料として用いて形成された第1のTiN(第1の金属窒化膜。図で「TiI-TiN」と示す)と、TiClを原料として用いて形成された第2のTiN(第2の金属窒化膜。図で「TiCl-TiN」と示す)との積層体として構成されることにある。
<半導体装置のゲート製造工程> 次いで、図3に示すトランジスタのゲートの製造工程例について説明する。図4は、図3に示すトランジスタのゲートの製造工程例を示す処理フロー図である。
 図4に示すように、まず、シリコン基板を、例えば1%HF水溶液で処理して、シリコン基板に形成された犠牲酸化膜を除去する(「HF treatment」工程)。次いで、シリコン基板上に、酸化シリコン(SiO)を熱酸化処理により成膜する(「SiO formation」工程)。SiOは、シリコン基板と、この後に形成するHfOとの界面における界面層として形成される。
 次に、SiO上に、高誘電率膜としての酸化ハフニウム(HfO)を成膜する(「High-k formation」工程)。SiOとHfOにより、ゲート絶縁膜が構成される。HfOの成膜後、アニール処理を行う(「Post Deposition Annealing」工程)。このアニール処理は、HfO中の不純物除去、HfOの緻密化もしくは結晶化を目的に行う。次に、HfO上に、ゲート電極としての金属窒化膜(TiN)を形成する(「TiN deposition」工程)。図示のように、この工程では、上述したTiIを原料として用いるTiN成膜処理と、TiClを原料として用いるTiN成膜処理とを行う。具体的には、TiIとNHとをウェハ100に供給するTiN成膜処理をX回(第1の所定回数)行う工程と、TiClとNHとをウェハ100に供給するTiN成膜処理をY回(第2の所定回数)行う工程とが実行される。そして、それらの各工程が、Z回(第3の所定回数)交互に行われることで、積層金属窒化膜(積層TiN)が形成される。この処理の詳細については後述する。なお、積層金属窒化膜とは、異なる原料を用いて成膜された、同一の金属元素を含有する複数の金属窒化膜が所定数積層されることによって形成された金属窒化膜を意味する。すなわち、積層TiNとは、異なる原料を用いて成膜された複数のTiNが所定数積層されることによって形成された膜を意味する。
 次いで、レジストをマスクにしてフォトリソグラフィ技術を用いたパターニング(「Gate patterning」工程)を行うと共に、ドライエッチング技術を用いたパターンエッチング(「Gate etching」工程)を行う。その後、当該レジストを除去する(「Resist removal」工程))。そして、水素ガスアニーリング等のFGA(Forminggas annealing)処理を行う(「FGA」工程)。
 なお、ゲート電極としてのTiNの仕事関数を測定する場合には、当該TiNの上に、さらに例えばPVD(Physical Vapor Deposition:物理気相成長)によりTiNを成膜することで、仕事関数の測定に必要な膜厚を確保することができる。この場合、PVDによるTiNの成膜は、「Gate etching」工程の前に行う。また、「FGA」工程の後に、シリコン基板の裏面にバックコンタクトとしてのアルミニウム層を形成してもよい。
(2)基板処理工程
 次に、上記した積層TiNの成膜工程(図4の「TiN deposition」工程)について詳説する。なお、以下の説明において、基板処理装置10を構成する各部の動作はコントローラ280により制御される。
 図5は、図4に示す処理フローにおける積層TiNの成膜工程の例を示す処理フロー図である。図6は、図5に示す成膜工程におけるガス供給のタイミングを示す図である。
 なお、本明細書において「ウェハ」という言葉を用いた場合は、「ウェハそのもの」を意味する場合や、「ウェハとその表面に形成された所定の層や膜等との積層体(集合体)」を意味する場合(すなわち、表面に形成された所定の層や膜等を含めてウェハと称する場合)がある。また、本明細書において「ウェハの表面」という言葉を用いた場合は、「ウェハそのものの表面(露出面)」を意味する場合や、「ウェハ上に形成された所定の層や膜等の表面、すなわち、積層体としてのウェハの最表面」を意味する場合がある。
 従って、本明細書において「ウェハに対して所定のガスを供給する」と記載した場合は、「ウェハそのものの表面(露出面)に対して所定のガスを直接供給する」ことを意味する場合や、「ウェハ上に形成されている層や膜等に対して、すなわち、積層体としてのウェハの最表面に対して所定のガスを供給する」ことを意味する場合がある。また、本明細書において「ウェハ上に所定の膜(または層)を形成する」と記載した場合は、「ウェハそのものの表面(露出面)上に所定の膜(または層)を直接形成する」ことを意味する場合や、「ウェハ上に形成されている膜や層等の上、すなわち、積層体としてのウェハの最表面の上に所定の膜(または層)を形成する」ことを意味する場合がある。
 なお、本明細書において「基板」という言葉を用いた場合も「ウェハ」という言葉を用いた場合と同様であり、その場合、上記説明において、「ウェハ」を「基板」に置き換えて考えればよい。
(ウェハ搬入工程S10) まず、ウェハ搬送口150に設けられたゲートバルブ151が開放され、搬送ロボット173によって搬送室171から処理容器102内にウェハ100が搬送される。処理容器102内に搬送されるウェハ100には、上記した高誘電率膜(HfO)が形成されている。なお、高誘電率膜として、HfOの他、酸化アルミニウム(AlO)、酸化ジルコニウム(ZrO)、酸化ランタン(LaO)、酸化イットリウム(YO)、酸化タンタル(TaO)、酸化セリウム(CeO)、酸化チタン(TiO)、チタン酸ストロンチウム(STO)、チタン酸バリウム(BTO)のいずれかまたはそれらを2つ以上組み合わせた膜を用いてもよい。また、これらの膜に、酸化シリコン(SiO)や窒化シリコン(SiN)を含む膜であってもよい。
(ウェハ載置工程S11) 処理容器102内に搬送されたウェハ100は、リフトピン108bに載置される。そして、支持台103をウェハ処理位置まで上昇させることにより、ウェハ100はサセプタ117に載置される。
(圧力・温度調整工程S12) ウェハ100がサセプタ117に載置されると、ゲートバルブ151が閉じられ、処理室101内が所望の圧力(真空度)となるように真空ポンプ164によって真空排気される。この際、処理室101内の圧力は、圧力センサ(不図示)により測定され、APC162でフィードバック制御される。
 また、サセプタ117に載置されたウェハ100は、支持台103に内蔵されたヒータ106によって所定の温度に加熱される。なお、処理容器102には温度センサ(図示せず)が設けられ、当該温度センサが検出した温度情報に基づいてウェハ100が所定の温度となるようにヒータ106への通電量がフィードバック制御される。
 なお、上記した圧力調整および温度調整は、後述する積層TiNの成膜工程が終了するまでの間、常に実行される。
 次に、上述した積層TiNの成膜工程を行う。積層TiNの成膜工程は、TiIを原料として用いて第1のTiNを形成する工程(第1TiN成膜工程)と、TiClを原料として用いて第2のTiNを形成する工程(第2TiN成膜工程)とを有する。
<第1TiN成膜工程> 第1TiN成膜工程では、次の4つの工程を順次実行する。
(TiI供給工程S13) TiI供給工程S13では、処理室101に第1の金属原料としてのTiIを供給する。具体的には、ガス供給管232cのバルブ236dを開き、キャリアガスとしてのNガスを第1原料供給源235cに供給する。このとき、第1原料供給源235cされるキャリアガスはMFC234cによって所定の流量に調整される。第1原料供給源235cの内部で気化されたTiIは、キャリアガスと共に所定の流量のTiIガスとして処理室101に供給される。このとき、不活性ガス供給系230Aのバルブ232aを開き、TiIガスと共に不活性ガス供給源233aからNガスを処理室101に供給するようにしてもよい。
 この工程では、APC162により、処理室101内の圧力を、例えば20~1330Paの範囲内の圧力とする。また、MFC234c(および第1原料供給源235cを加熱するヒータ)によって制御されるTiIガスの流量は、例えば1~200sccmの範囲内の流量とする。TiIガスと共に不活性ガス供給系230AからNガスを供給する場合、MFC234aによって制御されるNガスの流量は、例えば0.1~2000sccmの範囲内の流量とする。
また、ウェハ100をTiIガスに曝す時間、すなわちガス供給時間(照射時間)は、例えば0.01秒~300秒間の範囲内の時間とする。このとき、ヒータ106を制御することにより、ウェハ100の温度(処理温度)は、例えば350~400℃の範囲内の温度、好ましくは400℃に調整される。TiIガスの供給により、ウェハ100上には、例えば1原子層未満から数原子層程度の厚さのTi含有層が形成される。
(残留ガス除去工程S14) 残留ガス除去工程S14では、バルブ236cを閉じ、処理室101内へのTiIガスの供給を停止する。このとき、APC162は開いたままとして、真空ポンプ164により処理室101内を真空排気し、処理室101内に残留する未反応もしくはTi含有層形成に寄与した後のTiIガスを処理室101内から除去する。なお、このとき、バルブ235aを開き(あるいは開いたままとして)、Nガスを処理室101内へ供給する。Nガスはパージガスとして作用し、処理室101内に残留するTiIガスを処理室101内から除去する効果を更に高めることができる。パージは、Nガスが、例えば2000sccmの流量で、例えば、1秒~60秒間供給されることによって行われる。
(NH供給工程S15) NH供給工程S15では、処理室101に反応ガスとしてのNHガスを供給する。具体的には、ガス供給管232bのバルブ235bを開き、反応ガス供給源233bに貯留されたNHガスをガス供給管232bに流す。ガス供給管232bを流れるNHガスは、MFC235bにより所定の流量に調整される。流量調整されたNHガスは、ガス導入口110を介して処理室101に供給される。このとき、不活性ガス供給系230Aのバルブ232aを開き、NHガスと共に不活性ガス供給源233aからNガスを処理室101に供給するようにしてもよい。
この工程では、APC162により、処理室101内の圧力を、例えば20~1330Paの範囲内の圧力とする。また、MFC234bによって制御されるNHガスの流量は、例えば10~3000sccmの範囲内の流量とする。NHガスと共に不活性ガス供給系230AからNガスを供給する場合、MFC234aによって制御されるNガスの流量は、例えば0.1~2000sccmの範囲内の流量とする。
また、ウェハ100をNHガスに曝す時間、すなわちガス供給時間(照射時間)は、例えば0.01秒~300秒間の範囲内の時間とする。このとき、ヒータ106を制御することにより、ウェハ100の温度(処理温度)は、例えば350~400℃の範囲内の温度、好ましくは400℃とされる。
処理室101に供給されたNHガスは、ステップS13でウェハ100上に形成されたTi含有層の少なくとも一部と反応する。これによりTi含有層が窒化され、第1のTiNが形成される。
(残留ガス除去工程S16) 残留ガス除去工程S16では、バルブ235bを閉じ、処理室101内へのNHガスの供給を停止する。このとき、APC162は開いたままとして、真空ポンプ164により処理室101内を真空排気し、処理室101内に残留する未反応もしくはTi含有層の窒化に寄与した後のNHガスを処理室101内から除去する。なお、このとき、バルブ235aを開き(あるいは開いたままとして)、Nガスを処理室101内へ供給する。Nガスはパージガスとして作用し、処理室101内に残留するNHガスを処理室101内から除去する効果を更に高めることができる。パージは、Nガスが、例えば2000sccmの流量で、例えば、1秒~60秒間供給されることによって行われる。
(処理回数判定工程S17)処理回数判定工程S17では、上述したステップS13~S16を1サイクルとした一連の工程を第1の所定回数Xだけ実施したか(一連の工程をXセット実施したか)否か判断し、第1の所定回数Xだけ実施されたと判断されるまでステップS13~S16の処理を繰り返し実施する。ここで、Xは1以上の整数である。ステップS13~S16のサイクルをX回実施することで、第1TiN成膜工程が完了する。このように、ステップS13~S16の処理を少なくとも1サイクル以上行うことにより、所定の膜厚(例えば0.01~20nm)のTiN(第1のTiN)が形成される。なお、上記ではTiIガスをNHガスよりも先に供給するようにしたが、NHガスをTiIガスよりも先に供給するようにしてもよい。
<第2TiN成膜工程> 第1TiN成膜工程が完了すると、続いて、第2TiN成膜工程を行う。第2TiN成膜工程では、次の4つの工程を順次実行する。
(TiCl供給工程S18)TiCl供給工程S18では、処理室101に第2の金属原料としてのTiClを供給する。具体的には、ガス供給管232dのバルブ236dを開き、キャリアガスとしてのNガスを第2原料供給源235dに供給する。このとき、第2原料供給源235dされるキャリアガスはMFC234dによって所定の流量に調整される。第2原料供給源235dに収容されたTiClは、第2原料供給源235dに供給されたキャリアガスによって気化され、キャリアガスと共にバルブ236dを介して処理室101に供給される。このとき、不活性ガス供給系230Aのバルブ232aを開き、TiClガスと共に不活性ガス供給源233aからNガスを処理室101に供給するようにしてもよい。
 この工程では、APC162により、処理室101内の圧力を、例えば20~1330Paの範囲内の圧力とする。また、MFC234dによって制御されるTiClガスの流量は、例えば1~200sccmの範囲内の流量とする。TiClガスと共に不活性ガス供給系230AからNガスを供給する場合、MFC234aによって制御されるNガスの流量は、例えば0.1~2000sccmの範囲内の流量とする。
また、ウェハ100をTiClガスに曝す時間、すなわちガス供給時間(照射時間)は、例えば0.01秒~300秒間の範囲内の時間とする。このとき、ヒータ106を制御することにより、ウェハ100の温度(処理温度)は、例えば350~400℃の範囲内の温度、好ましくは400℃とされる。TiClガスの供給により、ウェハ100上には、例えば1原子層未満から数原子層程度の厚さのTi含有層が形成される。
(残留ガス除去工程S19) 残留ガス除去工程S19では、バルブ236dを閉じ、処理室101内へのTiClガスの供給を停止する。このとき、APC162は開いたままとして、真空ポンプ164により処理室101内を真空排気し、処理室101内に残留する未反応もしくはTi含有層形成に寄与した後のTiClガスを処理室101内から除去する。なお、このとき、バルブ235aを開き(あるいは開いたままとして)、Nガスを処理室101内へ供給する。Nガスはパージガスとして作用し、処理室101内に残留するTiClガスを処理室101内から除去する効果を更に高めることができる。パージは、Nガスが、例えば2000sccmの流量で、例えば、1秒~60秒間供給されることによって行われる。
(NH供給工程S20) NH供給工程S20では、上述したステップS15と同様に、処理室101に反応ガスとしてのNHガスを供給する。具体的な装置動作や供給条件はステップS15と同様なため、説明は省略する。NH供給工程S20で処理室101に供給されたNHガスは、ステップS18でウェハ100上に形成されたTi含有層の少なくとも一部と反応する。これによりTi含有層は窒化されて、第2のTiN膜が形成される。
(残留ガス除去工程S21) 残留ガス除去工程S21では、上述したステップS16と同様に、処理室101内に残留するNHガスを処理室101内から除去する。具体的な装置動作や供給条件はステップS15と同様なため、説明は省略する。
(処理回数判定工程S22)処理回数判定工程S22では、上述したステップS18~S21を1サイクルとした一連の工程を第2の所定回数Yだけ実施したか(一連の工程をYセット実施したか)否か判断し、第2の所定回数Yだけ実施されたと判断されるまでステップS13~S16の処理を繰り返し実施する。ここで、Yは1以上の整数である。ステップS18~S21の処理をY回実施することで、第2TiN成膜工程が完了する。このように、ステップS18~S21の処理を少なくとも1サイクル以上行うことにより、所定の膜厚(例えば0.01~20nm)のTiN(第2のTiN)が形成される。なお、上記ではTiClガスをNHガスよりも先に供給するようにしたが、NHガスをTiClガスよりも先に供給するようにしてもよい。
(処理回数判定工程S23)第2TiN成膜工程が完了すると、処理回数判定工程S23において、上述した第1TiN成膜工程から第2TiN成膜工程までの一連の工程を第3の所定回数Zだけ実施したか(一連の工程をZサイクル実施したか)判断し、第3の所定回数Zだけ実施されたと判断されるまで第1TiN成膜工程および第2TiN成膜工程(ステップS13~S22の処理)を繰り返し実施する。ここで、Zは1以上の整数である。ステップS13~S22の処理をZ回実施することで、積層TiN成膜工程が完了する。このように、TiIを原料として用いた第1TiN成膜工程とTiClを原料として用いた第2TiN成膜工程とを交互に少なくとも1サイクル以上実施することにより、所定の膜厚(例えば0.02~40nm)の積層TiNを形成する。なお、上記では、TiIを原料として用いる成膜工程を行った後、TiClを原料として用いる成膜工程を行うようにしたが、TiClを原料として用いる成膜工程を行った後、TiIを原料として用いる成膜工程を行っても良い。ただし、TiIを原料として用いる成膜工程を行った後、TiClを原料として用いる成膜工程を行うことが好適である。この理由は後述する。
(ウェハ搬出工程S24) 積層TiN成膜工程が完了すると、ウェハ搬出工程S24に移行する。ウェハ搬出工程S24では、支持台103を下降させると共に、ゲートバルブ151を開き、処理済(成膜済)のウェハ100を搬送ロボット173によって処理容器102の外部に搬出する。
 ここで、第1のTINを形成する工程(ステップS13からステップS17までの処理)を行う回数(上述のX、あるいはXとZの乗算値)と、第2のTiNを形成する工程(ステップS18からステップS22までの処理)を行う回数(上述のY、あるいはYとZの乗算値)とにより、ゲート電極としての積層TiNの仕事関数を任意の値に調整することができる。すなわち、積層TiNに含まれるTiIを原料として用いて形成された第1のTiNとTiClを原料として用いて形成された第2のTiNの比(積層比)により、積層TiNの仕事関数を任意の値に調整することができる。以下、この理由について説明する。
 第1のTiNと第2のTiNとでは、仕事関数が異なることを本願発明者は知見した。具体的には、第1のTiNの仕事関数は、第2のTiNのそれよりも低い値を示すことを本願発明者は知見した。これは、第1のTiNと第2のTiNとには、それぞれヨウ素(I)と塩素(Cl)が残留するが、このヨウ素(I)と塩素(Cl)の仕事関数が相違する(ヨウ素(I)の仕事関数は塩素(Cl)のそれよりも低い)ことに起因すると考えられる。また、第1のTiNにおけるヨウ素(I)の含有割合は、第2のTiNにおける塩素(Cl)の含有割合よりも小さい(この理由は後述する)ため、第1のTiNの仕事関数がチタン(Ti)固有のより低い仕事関数に近づくことも、第1のTiNの仕事関数が第2のTiNのそれよりも低い値となる理由の一つと考えら得る。さらに、TiIを用いた場合のハロゲン元素の拡散が、仕事関数を引き下げる方向にSiOとHfOの界面の固定電荷が変動させていることも第1のTiNの仕事関数が第2のTiNのそれよりも低い理由の一つと考えられる。以上のような理由から、積層TiNに含まれる第1のTiNと第2のTiNの比を調整することにより、積層TiNの仕事関数を第1のTiN固有の仕事関数と第2のTiN固有の仕事関数との間の任意の値に調整することができる。なお、各元素の仕事関数の大きさは、仕事関数の実測値、あるいはその理論値の他、仕事関数との相関が知られている電気陰性度を指標に考えることもできる。
 図7は、積層TiNに含まれる第1のTiNの割合と積層TiNの仕事関数の関係を示す図であり、具体的には、積層TiNの厚さを6nmとしたときの、当該積層TiNに含まれる第1のTiNの割合を0%から100%まで変化させたときの仕事関数を示している。なお、図7に示す仕事関数は、高誘電率膜としてHfOを用いたときの実行仕事関数(eWF)であり、HfO/SiO界面のダイポール込みの値である。図7に示すeWFを得たときの上記したX,Y,Zの値を図8に示す。図8において、図7に示すeWFを得たときのZの値は「例1」に示す値である。また、第1のTiNおよび第2のTiNの処理条件は以下の通りである。
[第1のTiN] 処理温度(成膜温度)・・・400[℃]、処理圧力・・・60[Pa]、TiIの供給時間・・・15[sec]、TiIの流量・・・約1[sccm]、NHの供給時間・・・20[sec]、NHの流量・・・300[sccm]
[第2のTiN] 処理温度(成膜温度)・・・400[℃]、処理圧力・・・[60Pa]、TiClの供給時間・・・2[sec]、TiClの流量・・・約5[sccm]、NHの供給時間・・・20[sec]、NHの流量・・・300[sccm]
図7のように、積層TiNに含まれる第1のTiNの割合が多いほど、仕事関数が低下することがわかる。具体的には、積層TiNの仕事関数は、第1のTiNの割合が高いほど(第2のTiNの割合が低いほど)第1のTiN固有の仕事関数(図示の例では4.45[eV])に近づき、第1のTiNの割合が低いほど(第2のTiNの割合が高いほど)第2のTiN固有の仕事関数(図示の例では4.58[eV])に近づく。
 図9は、積層TiNに含まれる第1のTiNと第2のTiNの比を変化させたときの積層TiNの電気抵抗率と膜厚を示す図である。図9に示す値を得たときの上記したX,Y,Zの値は図8に示した通りである。ただし、図8において、図9に示す値を得たときのZの値は「例2」に示す値である。なお、第1のTiNおよび第2のTiNの処理条件は上記と同様である。図9に示すように、積層TiNに所定の割合以上第1のTiNが含まれると、電気抵抗率が大きく低下する。具体的には、積層TiNに第1のTiNが25%以上含まれると、電気抵抗率が300[μΩcm]を下回る。これは、第1のTiNの電気抵抗率と第2のTiNの電気抵抗率とが異なる(第1のTiNの電気抵抗率が第2のTiNのそれよりも低い)ことに起因する。ゲート電極の電気抵抗率は低いことが望ましいが、積層TiNに第1のTiNが25%以上含まれる場合(eWFを4.54[eV]以下の領域で調整する場合)には、仕事関数を調整できることに加え、低抵抗な電気特性をも得ることができる。
 また、図9に示すように、積層TiNに含まれる第1のTiNの割合が多いほど、膜厚も増加することがわかる。これは、TiIにおけるハロゲン元素の結合エネルギーが、TiClのそれよりも小さく、同一の処理条件下ではTi含有層の形成がTiIを用いた場合の方が促進されるためと考えられるためである。なお、チタン(Ti)とハロゲン元素との結合エネルギーは、ハロゲン元素の原子番号が大きいほど小さくなる。一例として、TiClにおけるチタン(Ti)と塩素(Cl)の結合エネルギーは494[kJ/mol]であるのに対し、TiIにおけるチタン(Ti)とヨウ素(I)の結合エネルギーは310[kJ/mol]である。図9に示すように、積層TiNに第1のTiNが25%以上含まれると膜厚、すなわち成膜レートも大きく向上する。したがって、積層TiNに第1のTiNが25%以上含まれる場合(eWFを4.54[eV]以下の領域で調整する場合)には、仕事関数を調整できることに加え、成膜レートも大きく向上させることもできる。なお、上記したように、第1のTiNにおけるヨウ素(I)の含有割合が第2のTiNにおける塩素(Cl)の含有割合よりも低い理由も、TiIにおけるハロゲン元素の結合エネルギーが小さく、ヨウ素(I)がチタン(Ti)から効率良く切り離されていることに起因すると考えられる。
 次いで、図5に示した積層TiN成膜工程において、TiIを原料として用いた成膜処理から開始した理由について説明する。上述したように、TiIを用いた場合のハロゲン元素の拡散が、仕事関数を引き下げる方向にSiOとHfOの界面の固定電荷が変動させていることが、第1のTiNの仕事関数が第2のTiNのそれよりも低い理由の一つと考えられる。そのため、仕事関数をより低下させてその調整幅を大きくするために、TiIを原料として用いた成膜処理から開始し、TiIのハロゲン元素を、HfOを介してSiOとHfOの界面まで拡散させるようにした。
 さらに、第1のTiNのラフネス(表面粗さ)は、第2のTiNのそれに比して非常に良好であることを発明者は知見した。図10は、第1のTiNと第2のTiNのラフネスを示す図であり、具体的には、AFM(Atomic Force Microscopy)による測定結果である。図10に示す値を得たときの上記したX,Y,Zの値は図8に示した通りである。ただし、図8において、図10に示す値を得たときのZの値は「例1」に示す値である。なお、第1のTiNおよび第2のTiNの処理条件は、上記したのと同様である。
 図10に示すように、平均面粗さ(Ra)、自乗平均面粗さ(RMS)および面内最大高低差(Rmax)とも、第1のTiNの方が第2のTiNよりも良好である。これは、TiIにおけるハロゲン元素の結合エネルギーがTiClのそれよりも小さいことが、ゲート絶縁膜上における初期核形成密度を大きくしていることに起因すると考えられる。そのため、TiIを原料として用いた成膜処理から開始する(換言すれば、TiIを原料として用いて初期成膜を行う)ことで、それに続いて形成される膜のラフネスを向上させ、結果として、積層TiN全体のラフネスを向上させることができる。また、初期成膜のラフネスが向上することで、積層TiNとその下層のゲート絶縁膜との密着性が高まり、積層TiNの膜剥がれも生じ難くなる。なお、例えば3D-NANDのようなデバイス構造で、TiNの上にタングステン(W)等の薄膜を形成する場合には、積層TiN全体のラフネスが向上することで、当該タングステン(W)等の薄膜の膜剥がれも抑制することができる。
このように、本実施形態にあっては、第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して第1の金属窒化膜を形成すると共に、第1のハロゲン元素とは異なる第2のハロゲン元素と上記所定の金属元素とを含有する第2の金属原料と窒素含有元素とを供給して第2の金属窒化膜を形成することで、積層金属窒化膜を形成するようにしたので、金属膜の仕事関数を調整することができる。
 さらに、原子番号の大きいハロゲン元素を含有している原料を用いた成膜処理から開始することで、仕事関数の調整幅の拡大や積層TiNのラフネスの向上を図ることができ、さらには、積層TiNの膜剥がれの抑制等も期待することができる。
 また、新規な材料を既存の生産ラインで採用するには、インテグレーションの問題(加工、熱安定性、拡散安定性)が生じるが、本実施形態の成膜プロセスは既存の金属窒化膜(TiClを原料として用いて形成した金属窒化膜)であるTiN膜の成膜プロセスをベースとしているため、インテグレーションの問題も回避できる。
 なお、本発明は、例えば、半導体装置の製造工場に存在する既存の基板処理装置のガス供給系を改造し、プロセスレシピを変更することでも実現できる。プロセスレシピを変更する場合は、本発明に係るプロセスレシピを電気通信回線や当該プロセスレシピを記録した記録媒体を介して既存の基板処理装置にインストールしたり、また、既存の基板処理装置の入出力装置を操作し、そのプロセスレシピ自体を本発明に係るプロセスレシピに変更したりすることも可能である。
 以上、本発明の種々の典型的な実施の形態として成膜技術について説明してきたが、本発明はそれらの実施の形態に限定されない。例えば、本実施形態では、基板処理装置の例として枚葉装置を記載したが、一度に複数枚の基板を処理する縦型処理装置等についても同様に適用することができる。
(本発明の好ましい態様) 以下に、本発明の好ましい態様について付記する。
[付記1] 基板を処理する処理室と、第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料を前記処理室に供給する第1金属原料供給系と、前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料を前記処理室に供給する第2金属原料供給系と、窒素を含有する窒素含有原料を前記処理室に供給する窒素含有原料供給系と、前記第1の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第1の金属窒化膜を形成する処理と、前記第2の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第2の金属窒化膜を形成する処理とを行って前記基板上に積層金属窒化膜を形成する成膜処理を前記第1金属原料供給系、前記第2金属原料供給系および前記窒素含有原料供給系を制御して実行させるように構成された制御部と、を有する基板処理装置。
 [付記2] 前記制御部は、前記第1の金属原料と前記窒素含有原料とを前記処理室に交互に第1の所定回数供給して前記基板上に前記第1の金属窒化膜を形成する処理と、前記第2の金属原料と前記窒素含有原料とを前記処理室に交互に第2の所定回数供給して前記基板上に前記第2の金属窒化膜を形成する処理とを、交互に第3の所定回数行って前記基板上に前記積層金属窒化膜を形成する成膜処理を前記第1金属原料供給系、前記第2金属原料供給系および前記窒素含有原料供給系を制御して実行させるように構成される付記1に記載の基板処理装置。
 [付記3] 前記第1の所定回数と前記第2の所定回数は異なる値である付記2に記載の基板処理装置。
 [付記4] 前記第1の金属窒化膜と前記第2の金属窒化膜は仕事関数が異なる付記1から3のいずれかに記載の基板処理装置。
 [付記5] 前記第1の金属窒化膜と前記第2の金属窒化膜は抵抗率が異なる付記1から4のいずれかに記載の基板処理装置。
 [付記6] 前記第1の金属原料は前記第1のハロゲン元素として前記第2のハロゲン元素よりも原子番号が大きいハロゲン元素を含有する付記1から5のいずれかに記載の基板処理装置。
 [付記7] 前記第1の金属原料は前記第1のハロゲン元素として塩素(Cl)よりも原子番号が大きいハロゲン元素を含有し、前記第2の金属原料は前記第2のハロゲン元素として塩素(Cl)を含有する付記1から6のいずれかに記載の基板処理装置。
 [付記8] 前記第1の金属原料はヨウ化チタン(TiI)であり、前記第2の金属原料は塩化チタン(TiCl)である付記1から7のいずれかに記載の基板処理装置。
 [付記9] 前記成膜処理は、前記第1の金属窒化膜を形成する処理から開始される付記6から8のいずれかに記載の基板処理装置。
[付記10] 前記成膜処理は、前記基板の温度が350℃から400℃の範囲で実行される付記1から9のいずれかに記載の基板処理装置。
 [付記11]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する工程と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する工程と、を含む成膜工程を行って前記基板上に積層金属窒化膜を形成する半導体装置の製造方法。
[付記12]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを交互に第1の所定回数供給して前記基板上に第1の金属窒化膜を成膜する工程と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と窒素含有原料とを交互に第2の所定回数供給して前記基板上に第2の金属窒化膜を成膜する工程と、を交互に第3の所定回数行う成膜工程を行って前記基板上に積層金属窒化膜を成膜する半導体装置の製造方法。
 [付記13] 前記第1の金属原料は前記第1のハロゲン元素として前記第2のハロゲン元素よりも原子番号が大きいハロゲン元素を含有する付記11または12に記載の半導体装置の製造方法。
 [付記14] 前記第1の金属原料は前記第1のハロゲン元素として塩素(Cl)よりも原子番号が大きいハロゲン元素を含有し、前記第2の金属原料は前記第2のハロゲン元素として塩素(Cl)を含有する付記11から13のいずれかに記載の半導体装置の製造方法。
 [付記15] 前記第1の金属原料はヨウ化チタン(TiI)であり、前記第2の金属原料は塩化チタン(TiCl)である付記11から14のいずれかに記載の半導体装置の製造方法。
 [付記16] 前記成膜処理は、前記第1の金属窒化膜を成膜する工程から開始される付記13から15に記載の半導体装置の製造方法。
[付記17] 前記成膜処理は、前記処理室内の前記基板の温度が350℃から400℃の範囲で実行される付記11から16のいずれかに記載の半導体の製造方法。
[付記18]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する手順と、をコンピュータに実行させるプログラム。
[付記19]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを交互に第1の所定回数供給して前記基板上に第1の金属窒化膜を成膜する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と窒素含有原料とを交互に第2の所定回数供給して前記基板上に第2の金属窒化膜を成膜する手順と、を交互に第3の所定回数行う手順をコンピュータに実行させるプログラム。
[付記20]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する手順と、をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。
[付記21]処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを交互に第1の所定回数供給して前記基板上に第1の金属窒化膜を成膜する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と窒素含有原料とを交互に第2の所定回数供給して前記基板上に第2の金属窒化膜を成膜する手順と、を交互に第3の所定回数行う手順をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。
 本発明は、例えば、シリコンウェハ等の基板を処理する基板処理装置や、半導体装置の製造方法等に利用することができる。
 基板処理装置・・・10、ウェハ(基板)・・・100、処理室・・・101、ヒータ(加熱部)・・・106、不活性ガス供給系・・・230A、反応ガス供給系(窒素含有原料供給系)・・・230B、第1原料ガス供給系(第1金属原料供給系)・・・230C、第2原料ガス供給系(第2金属原料供給系)・・・230D、コントローラ(制御部)・・・280、演算部・・・281、記憶部・・・282 

Claims (19)

  1. 基板を処理する処理室と、第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料を前記処理室に供給する第1金属原料供給系と、前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料を前記処理室に供給する第2金属原料供給系と、窒素を含有する窒素含有原料を前記処理室に供給する窒素含有原料供給系と、前記第1の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第1の金属窒化膜を形成する処理と、前記第2の金属原料と前記窒素含有原料とを前記処理室に供給して前記基板上に第2の金属窒化膜を形成する処理とを行って前記基板上に積層金属窒化膜を形成する成膜処理を前記第1金属原料供給系、前記第2金属原料供給系および前記窒素含有原料供給系を制御して実行させるように構成された制御部と、を有する基板処理装置。
  2. 前記制御部は、前記第1の金属原料と前記窒素含有原料とを前記処理室に交互に第1の所定回数供給して前記基板上に前記第1の金属窒化膜を形成する処理と、前記第2の金属原料と前記窒素含有原料とを前記処理室に交互に第2の所定回数供給して前記基板上に前記第2の金属窒化膜を形成する処理とを、交互に第3の所定回数行って前記基板上に前記積層金属窒化膜を形成する成膜処理を前記第1金属原料供給系、前記第2金属原料供給系および前記窒素含有原料供給系を制御して実行させるように構成される請求項1に記載の基板処理装置。
  3. 前記第1の所定回数と前記第2の所定回数は異なる値である請求項2に記載の基板処理装置。
  4. 前記第1の金属窒化膜と前記第2の金属窒化膜は仕事関数が異なる請求項1に記載の基板処理装置。
  5. 前記第1の金属窒化膜と前記第2の金属窒化膜は抵抗率が異なる請求項1に記載の基板処理装置。
  6. 前記第1の金属原料は前記第1のハロゲン元素として前記第2のハロゲン元素よりも原子番号が大きいハロゲン元素を含有する請求項1に記載の基板処理装置。
  7. 前記第1の金属原料は前記第1のハロゲン元素として塩素よりも原子番号が大きいハロゲン元素を含有し、前記第2の金属原料は前記第2のハロゲン元素として塩素を含有する請求項1に記載の基板処理装置。
  8. 前記第1の金属原料はヨウ化チタンであり、前記第2の金属原料は塩化チタンである請求項1に記載の基板処理装置。
  9. 前記成膜処理は、前記第1の金属窒化膜を形成する処理から開始される請求項6に記載の基板処理装置。
  10. 前記成膜処理は、前記基板の温度が350℃から400℃の範囲で実行される請求項8に記載の基板処理装置。
  11. 処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する工程と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する工程と、を含む成膜工程を行って前記基板上に積層金属窒化膜を形成する半導体装置の製造方法。
  12. 処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを交互に第1の所定回数供給して前記基板上に第1の金属窒化膜を成膜する工程と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と窒素含有原料とを交互に第2の所定回数供給して前記基板上に第2の金属窒化膜を成膜する工程と、を交互に第3の所定回数行う成膜工程を行って前記基板上に積層金属窒化膜を成膜する半導体装置の製造方法。
  13. 前記第1の金属原料は前記第1のハロゲン元素として前記第2のハロゲン元素よりも原子番号が大きいハロゲン元素を含有する請求項11に記載の半導体装置の製造方法。
  14. 前記第1の金属原料は前記第1のハロゲン元素として塩素よりも原子番号が大きいハロゲン元素を含有し、前記第2の金属原料は前記第2のハロゲン元素として塩素を含有する請求項11に記載の半導体装置の製造方法。
  15. 前記第1の金属原料はヨウ化チタンであり、前記第2の金属原料は塩化チタンである請求項11に記載の半導体装置の製造方法。
  16. 前記成膜処理は、前記第1の金属窒化膜を成膜する工程から開始される請求項13に記載の半導体装置の製造方法。
  17. 前記成膜処理は、前記処理室内の前記基板の温度が350℃から400℃の範囲で実行される請求項15に記載の半導体の製造方法。
  18. 処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを供給して前記基板上に第1の金属窒化膜を形成する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と前記窒素含有元素とを供給して前記基板上に第2の金属窒化膜を形成する手順と、をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。
  19. 処理室内の基板に対して第1のハロゲン元素と所定の金属元素とを含有する第1の金属原料と窒素含有原料とを交互に第1の所定回数供給して前記基板上に第1の金属窒化膜を成膜する手順と、前記処理室内の前記基板に対して前記第1のハロゲン元素とは異なる第2のハロゲン元素と前記所定の金属元素とを含有する第2の金属原料と窒素含有原料とを交互に第2の所定回数供給して前記基板上に第2の金属窒化膜を成膜する手順と、を交互に第3の所定回数行う手順をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体。  
PCT/JP2014/059246 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体 WO2015145751A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
PCT/JP2014/059246 WO2015145751A1 (ja) 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体
JP2016509843A JP6204570B2 (ja) 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体
TW104100916A TWI593822B (zh) 2014-03-28 2015-01-12 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US15/275,576 US9728409B2 (en) 2014-03-28 2016-09-26 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2014/059246 WO2015145751A1 (ja) 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/275,576 Continuation US9728409B2 (en) 2014-03-28 2016-09-26 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
WO2015145751A1 true WO2015145751A1 (ja) 2015-10-01

Family

ID=54194337

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2014/059246 WO2015145751A1 (ja) 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体

Country Status (4)

Country Link
US (1) US9728409B2 (ja)
JP (1) JP6204570B2 (ja)
TW (1) TWI593822B (ja)
WO (1) WO2015145751A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190123804A (ko) * 2017-06-05 2019-11-01 어플라이드 머티어리얼스, 인코포레이티드 워드라인 저항을 낮추는 방법들

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) * 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7229266B2 (ja) * 2018-09-20 2023-02-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、及びプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11908893B2 (en) * 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000068232A (ja) * 1998-07-22 2000-03-03 Samsung Electronics Co Ltd 多層TiN膜の形成方法
JP2002299283A (ja) * 2001-03-30 2002-10-11 Toshiba Corp 半導体装置の製造方法
JP2004124193A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 処理装置
WO2005085495A1 (ja) * 2004-03-03 2005-09-15 Tokyo Electron Limited 成膜方法
JP2010034511A (ja) * 2008-06-25 2010-02-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2010141248A (ja) * 2008-12-15 2010-06-24 Toshiba Corp 成膜装置及び成膜方法
JP2012119432A (ja) * 2010-11-30 2012-06-21 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、基板処理装置および半導体デバイス
JP2012124215A (ja) * 2010-12-06 2012-06-28 Panasonic Corp 半導体装置及びその製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5774822B2 (ja) 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP2012231123A (ja) 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
JP6022228B2 (ja) * 2011-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9059089B2 (en) * 2013-02-28 2015-06-16 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000068232A (ja) * 1998-07-22 2000-03-03 Samsung Electronics Co Ltd 多層TiN膜の形成方法
JP2002299283A (ja) * 2001-03-30 2002-10-11 Toshiba Corp 半導体装置の製造方法
JP2004124193A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 処理装置
WO2005085495A1 (ja) * 2004-03-03 2005-09-15 Tokyo Electron Limited 成膜方法
JP2010034511A (ja) * 2008-06-25 2010-02-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2010141248A (ja) * 2008-12-15 2010-06-24 Toshiba Corp 成膜装置及び成膜方法
JP2012119432A (ja) * 2010-11-30 2012-06-21 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、基板処理装置および半導体デバイス
JP2012124215A (ja) * 2010-12-06 2012-06-28 Panasonic Corp 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190123804A (ko) * 2017-06-05 2019-11-01 어플라이드 머티어리얼스, 인코포레이티드 워드라인 저항을 낮추는 방법들
JP2020522877A (ja) * 2017-06-05 2020-07-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ワードライン抵抗を低下させる方法
KR102270458B1 (ko) 2017-06-05 2021-06-29 어플라이드 머티어리얼스, 인코포레이티드 워드라인 저항을 낮추는 방법들

Also Published As

Publication number Publication date
US9728409B2 (en) 2017-08-08
TWI593822B (zh) 2017-08-01
US20170011926A1 (en) 2017-01-12
JPWO2015145751A1 (ja) 2017-04-13
JP6204570B2 (ja) 2017-09-27
TW201538779A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
JP6204570B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6147693B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6202681B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9190281B2 (en) Method of manufacturing semiconductor device
JPWO2007058120A1 (ja) 半導体装置の製造方法および基板処理装置
CN107863289B (zh) 半导体装置的制造方法、基板处理装置和存储介质
JP5872028B2 (ja) 基板処理装置および半導体装置の製造方法
WO2012090831A1 (ja) 半導体デバイスの製造方法および基板処理装置
CN110277329B (zh) 衬底处理装置
US10014226B2 (en) Method of manufacturing semiconductor device
JP6108530B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14887547

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016509843

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase
122 Ep: pct application non-entry in european phase

Ref document number: 14887547

Country of ref document: EP

Kind code of ref document: A1