TWI593822B - Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium - Google Patents

Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium Download PDF

Info

Publication number
TWI593822B
TWI593822B TW104100916A TW104100916A TWI593822B TW I593822 B TWI593822 B TW I593822B TW 104100916 A TW104100916 A TW 104100916A TW 104100916 A TW104100916 A TW 104100916A TW I593822 B TWI593822 B TW I593822B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
raw material
halogen element
nitride film
Prior art date
Application number
TW104100916A
Other languages
English (en)
Other versions
TW201538779A (zh
Inventor
Kazuhiro Harada
Kimihiko Nakatani
Hiroshi Ashihara
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201538779A publication Critical patent/TW201538779A/zh
Application granted granted Critical
Publication of TWI593822B publication Critical patent/TWI593822B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/076Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with titanium or zirconium or hafnium
    • C01B21/0763Preparation from titanium, zirconium or hafnium halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

基板處理裝置、半導體裝置之製造方法及記錄媒體
本發明係關於基板處理裝置、半導體裝置之製造方法及記錄媒體。
例如MOSFET(Metal-Oxide-Semiconductor Field Effect Transistor,金屬氧化物半導體場效應電晶體)等電晶體的閘極電極、DRAM(Dynamic Random Access Memory,動態隨機存取記憶體)的電容器電極(capacitor electrode),有採用各種種類的金屬膜。
就電晶體的構造已知有在矽上形成高介電常數膜,再於該高介電常數膜上更形成閘極電極的閘極堆疊構造。就閘極電極已知有含金屬元素的金屬膜(例如參照專利文獻1)。
[先前技術文獻] [專利文獻]
專利文獻1:日本專利特開2011-6783號公報
表示電晶體特性的重要參數係有如臨界值電壓,該臨界值電壓係依照電極的功函數而決定。該功函數係依照所要求的裝置性能,會有不同的要求值。例如為使NMOS式電晶體能省功率 化,要求具有更低功函數的金屬膜。依此,所要求的功函數係依照所要求的裝置性能而有所不同,最好能調整金屬膜的功函數。
本發明主要目的在於提供:能調整金屬膜之功函數的技術。
根據本發明一態樣所提供的基板處理裝置,係具備有:處理室、第1金屬原料供應系統、第2金屬原料供應系統、含氮原料供應系統及控制部;而,該處理室係對基板施行處理;該第1金屬原料供應系統係將含有第1鹵元素與既定金屬元素的第1金屬原料,供應給上述處理室;該第2金屬原料供應系統係將含有不同於上述第1鹵元素之第2鹵元素與上述既定金屬元素的第2金屬原料,供應給上述處理室;該含氮原料供應系統係將含有氮的含氮原料,供應給上述處理室;該控制部係構成為控制著上述第1金屬原料供應系統、上述第2金屬原料供應系統及上述含氮原料供應系統,使執行將上述第1金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第1金屬氮化膜的處理,以及將上述第2金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第2金屬氮化膜的處理,藉此在上述基板上形成積層金屬氮化膜的成膜處理。
再者,根據本發明一態樣所提供的半導體裝置之製造方法,係施行成膜步驟而在基板上形成積層金屬氮化膜,該成膜步驟係包括有:對處理室內的基板,供應含有第1鹵元素與既定金屬元素的第1金屬原料、以及含氮原料,而在上述基板上形成第1金屬氮化膜的步驟;以及對上述處理室內的上述基板,供應含有不同 於上述第1鹵元素的第2鹵元素、與上述既定金屬元素的第2金屬原料、以及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的步驟。
再者,根據本發明一態樣所提供的記錄著使電腦執行程式且可電腦讀取的記錄媒體,係使電腦執行下述順序:對處理室內的基板,供應含有第1鹵元素與既定金屬元素的第1金屬原料及含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,供應含有不同於上述第1鹵元素之第2鹵元素、與上述既定金屬元素的第2金屬原料、及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的順序。
根據本發明可調整金屬膜的功函數。
10‧‧‧基板處理裝置
100‧‧‧晶圓(基板)
101‧‧‧處理室
101a‧‧‧階差部
102‧‧‧處理容器
103‧‧‧支撐台
103a‧‧‧蛇腹管
104‧‧‧導電板
104a‧‧‧排出口
105‧‧‧下板
105a‧‧‧凸緣部
105b‧‧‧凹部
105c‧‧‧板式排氣口
106‧‧‧加熱器(加熱部)
107b‧‧‧升降機構
108a‧‧‧貫通孔
108b‧‧‧升降銷
110‧‧‧氣體導入口
117‧‧‧承載器
140‧‧‧噴淋頭
140a‧‧‧分散板
140b‧‧‧噴淋板
140c‧‧‧第1緩衝空間
140d‧‧‧第2緩衝空間
150‧‧‧晶圓搬送口
151‧‧‧閘閥
159‧‧‧排氣風管
160‧‧‧排氣口
160a‧‧‧排氣室
161‧‧‧排氣管
162‧‧‧壓力調整器
163‧‧‧原料回收收集器
164‧‧‧真空泵
171‧‧‧搬送室
172‧‧‧搬送容器
173‧‧‧搬送機器人
173a‧‧‧搬送臂
230A‧‧‧惰性氣體供應系統
230B‧‧‧反應氣體供應系統(含氮原料供應系統)
230C‧‧‧第1原料氣體供應系統(第1金屬原料供應系統)
230D‧‧‧第2原料氣體供應系統(第2金屬原料供應系統)
232a、232b、232c、232d‧‧‧氣體供應管
233a‧‧‧惰性氣體供應源
233b‧‧‧反應氣體供應源
233c、233d‧‧‧載氣供應源
234a、234b、234c、234d‧‧‧MFC(質量流量控制器)
235a、235b、236c、236d‧‧‧閥
235c‧‧‧第1原料供應源
235d‧‧‧第2原料供應源
280‧‧‧控制器(控制部)
281‧‧‧運算部
282‧‧‧記憶部
283‧‧‧外部記憶裝置
圖1係本發明第1實施形態較佳使用的基板處理裝置之概略構造圖。
圖2係圖1所示基板處理裝置的氣體供應系統之概略構造圖。
圖3係使用圖1所示基板處理裝置形成的電晶體之閘極構成例說明圖。
圖4係圖3所示電晶體的閘極製造步驟例之處理流程圖。
圖5係圖4所示處理流程中,金屬氮化膜的成膜步驟例之處理流程圖。
圖6係圖5所示成膜步驟中,氣體供應的時序圖。
圖7係本發明第1實施形態的金屬氮化膜之功函數圖。
圖8係獲得圖7所示功函數時的成膜處理次數圖。
圖9係本發明第1實施形態的金屬氮化膜之電阻率與膜厚圖。
圖10係本發明第1實施形態中,構成金屬氮化膜的第1金屬氮化膜與第2金屬氮化膜之粗糙度圖。
(第1實施形態)以下,針對本發明第1實施形態,參照圖式進行說明。
首先,針對本實施形態所使用的基板處理裝置進行說明。該基板處理裝置具體而言係半導體裝置之製造裝置,在半導體裝置之製造步驟的一步驟中使用。以下,就基板處理裝置一例係針對使用一次僅對1片基板施行成膜處理等的單片式基板處理裝置情況進行敘述。
(1)基板處理裝置之構成
圖1所示係本實施形態較佳使用的基板處理裝置之概略構造圖。
<處理室>如圖1所示,基板處理裝置10係具備有處理容器102。處理容器102係構成例如俯視呈圓形的扁平密閉容器。又,處理容器102係由例如鋁(Al)、不銹鋼(SUS)等金屬材料、或石英(SiO2)等構成。在處理容器102內形成處理室101。處理室101係對當作基板的矽晶圓等晶圓100施行處理。
<支撐台>在處理容器102內設有支撐著晶圓100的支撐台103。支撐台103係由例如石英(SiO2)、碳、陶瓷、碳化矽(SiC)、氧化鋁(Al2O3)、或氮化鋁(AlN)構成。在支撐台103的上面設有由例如石英(SiO2)、碳、陶瓷、碳化矽(SiC)、氧化鋁(Al2O3)、 或氮化鋁(AlN)構成,當作支撐板的承載器117,在該承載器117載置著晶圓100。在支撐台103中內建有當作加熱晶圓100之加熱部的加熱器106。又,支撐台103的下端部(支柱)係貫通處理容器102的底部。
<升降機構>支撐台103的下端部連接著升降機構107b。藉由使該升降機構107b產生動作,使支撐台103進行升降,而使在承載器117上支撐的晶圓100進行升降。支撐台103(承載器117)係在晶圓100搬送時下降至後述晶圓搬送口150的高度,當晶圓100處理時則上升至晶圓處理位置(圖示位置)。另外,支撐台103的下端部周圍係利用蛇腹管103a包覆,俾使處理容器102內保持呈氣密狀態。
<升降銷>再者,在處理容器102的內部底面設有複數支(例如3支)升降銷108b。又,在支撐台103(亦包含承載器117)中,在分別對應於升降銷108b的位置處設有複數貫通孔108a。當使支撐台103下降至晶圓搬送位置時,升降銷108b的上端會通過貫通孔108a並突出於承載器117的上面,使升降銷108b由下方支撐著晶圓100。又,當使支撐台103上升至晶圓處理位置時,升降銷108b會從承載器117的上面埋入,使承載器117從下方支撐著晶圓100。另外,升降銷108b係因為會直接接觸到晶圓100,因而最好利用例如石英、氧化鋁等材質形成。
<晶圓搬送口>在處理容器102的內壁側面,設有為能在處理容器102的內外進行晶圓100搬送用的晶圓搬送口150。在晶圓搬送口150中設有閘閥151,藉由開啟該閘閥151,使處理容器102內與搬送室(預備室)171內相連通。搬送室171係形 成於搬送容器(密閉容器)172內,在搬送室171內設有搬送晶圓100的搬送機器人173。搬送機器人173中設有當搬送晶圓100時際支撐著晶圓100的搬送臂173a。
在使支撐台103下降至晶圓搬送位置的狀態下,藉由開啟閘閥151,而可利用搬送機器人173在處理容器102內與搬送室171內之間搬送晶圓100。被搬送至處理容器102內的晶圓100,如上述,暫時載置於升降銷108b上。另外,在搬送容器172中於設有晶圓搬送口150之一側的對向側,設有未圖示裝載鎖室,俾能利用搬送機器人173在裝載鎖室內與搬送室171內之間搬送晶圓100。另外,裝載鎖室係具有暫時收容處理前或處理完畢的晶圓100的預備室機能。
<排氣系統>在處理容器102的內壁側面、且晶圓搬送口150的對向側,設有將處理容器102內的環境氣體予以排氣的排氣口160。排氣口160經由排氣室160a連接著排氣管161,在排氣管161中依序串聯連接著:當作將處理室101內控制為既定壓力之壓力調整器的APC(Auto Pressure Controller,自動壓力控制器)162、原料回收收集器163及真空泵164。主要由排氣口160、排氣管161、壓力調整器162構成排氣系統(排氣管線)。另外,原料回收收集器163、真空泵164係裝設於設置基板處理裝置10的半導體製造工廠側,但亦可設置於基板處理裝置10中。
<氣體導入口>在處理容器102的上部(後述噴淋頭140的上面(頂板壁)),設有對處理容器102內供應各種氣體的氣體導入口110。氣體導入口110連接著氣體供應系統(容後述)。
<噴淋頭>在處理容器202中,於氣體導入口110 與處理室101之間設有當作氣體分散機構的噴淋頭140。噴淋頭140係具備有分散板140a及噴淋板140b。該分散板140a係使從氣體導入口110導入的氣體分散。該噴淋板140b係使通過分散板140a的氣體更均勻分散,並供應給支撐台103上的晶圓100表面。在分散板140a及噴淋板140b中設有複數孔。分散板140a係配置成與噴淋頭140上面及噴淋板140b呈相對向狀態,而噴淋板140b係配置成與支撐台103上的晶圓100呈相對向狀態。另外,在噴淋頭140上面與分散板140a之間、及分散板140a與噴淋板140b之間,分別設有空間,該空間分別具有使從氣體導入口110供應的氣體擴散之第1緩衝空間140c、及使通過分散板140a的氣體擴散之第2緩衝空間140d的機能。
<排氣風管>在處理室101的內壁側面設有階差部101a。該階差部101a係保持著導電板104。導電板104係構成內周部設有用以收容晶圓100之孔的環狀板材。在導電板104的外周部設有依既定間隔朝圓周方向排列的複數排出口104a。
處理容器102中,在支撐台103的外周部卡止著下板105。下板105係具備有:環狀凹部105b、以及在凹部105b的內周側上部呈一體設置的凸緣部105a。凹部105b係設計成阻塞著支撐台103外周部、與處理室101內壁側面間之間隙的狀態。凹部105b的底部中,在排氣口160附近其中一部分設有使氣體從凹部105b內排出(流通)於排氣口160側的板式排氣口105c。凸緣部105a係具有卡止於支撐台103上部外周緣上的卡止部機能。藉由凸緣部105a卡止於支撐台103的上部外周緣上,而可隨支撐台103的升降,使下板105能與支撐台103一起升降。
若支撐台103上升至晶圓處理位置,則導電板104會塞住下板105的凹部105b上部開口面,形成以凹部105b內部作為氣體流路區域的排氣風管159。另外,導電板104及下板105係考慮當對排氣風管159內壁沉積的反應生成物進行蝕刻時(自我清潔的情況),最好由能高溫保持的材料(例如耐高溫高負荷用石英)構成。
此處,針對對晶圓100施行處理時的處理室101內之氣體流動進行說明。從氣體導入口110供應給噴淋頭140的氣體,通過第1緩衝空間140c再從分散板140a的孔流入第2緩衝空間140d,更通過噴淋板140b的孔再供應給處理室101內的晶圓100。供應給晶圓100的氣體通過位於晶圓100外周部的排氣風管159,再從排氣口160被排氣於處理室101的外部。
<氣體供應系統>接著,針對上述氣體導入口110所連接的氣體供應系統之構成進行說明。圖2所示係基板處理裝置10的氣體供應系統構造圖。基板處理裝置10的氣體供應系統係具備有:氣體導入口110所連接的惰性氣體供應系統230A、反應氣體供應系統230B、第1原料氣體供應系統230C及第2原料氣體供應系統230D。
(惰性氣體供應系統)惰性氣體供應系統230A主要係由:氣體供應管232a、惰性氣體供應源233a、MFC 234a及閥235a構成。氣體供應管232a係下游側連接於氣體導入口110,且從上游側起依序設有惰性氣體供應源233a、MFC(質量流量控制器)234a及閥235a。本實施形態中,惰性氣體係使用氮(N2)氣體。
從惰性氣體供應源233a流入氣體供應管232a的N2 氣體,經利用MFC 234a調整為既定流量後,經由閥235a供應給氣體導入口110。另外,惰性氣體係除N2氣體之外,尚可為氦(He)氣體、氖(Ne)氣體、氬(Ar)氣體、氪(Kr)氣體、氙(Xe)氣體等稀有氣體。
(反應氣體供應系統(含氮原料供應系統))反應氣體供應系統230B主要係由氣體供應管232b、反應氣體供應源233b、MFC 234b及閥235b構成。氣體供應管232b係下游側連接於氣體導入口110,且從上游側起依序設有:反應氣體供應源233b、MFC 234b及閥235b。反應氣體係含有氮的含氮原料,使用為氮化源。本實施形態中,含有氮的含氮原料係使用氨(NH3)。
從反應氣體供應源233b流入氣體供應管232b中的NH3氣體,經利用MFC 234b調整為既定流量後,再經由閥235b供應給氣體導入口110。另外,反應氣體並不僅侷限於NH3氣體,亦可使用N2、一氧化氮(NO)、一氧化二氮(N2O)等。
(第1原料氣體供應系統(第1金屬原料供應系統))從第1原料氣體供應系統230C供應第1金屬原料。首先,針對該第1金屬原料進行說明。第1金屬原料係含有第1鹵元素與既定金屬元素。此處所謂「第1鹵元素」係指原子序較大於後述第2鹵元素的鹵元素。較佳第1鹵元素係原子序較大於氯(Cl)的鹵元素,例如溴(Br)、碘(I)或砈(At)中之任一者。又,所謂「既定金屬元素」係指例如過渡金屬元素。本實施形態中,第1鹵元素係選擇碘(I),既定金屬元素係選擇過渡金屬元素的鈦(Ti)。即,本實施形態中,第1金屬原料係使用碘化鈦(四碘化鈦(TiI4))。
第1原料氣體供應系統230C主要係由氣體供應管 232c、載氣供應源233c、MFC 234c、第1原料供應源235c及閥236c構成。氣體供應管232c係下游側連接著氣體導入口110,且從上游側起依序設有載氣供應源233c、MFC 234c、第1原料供應源235c及閥236c。載氣係使用例如N2氣體。第1原料供應源235c係構成例如氣化器。
從載氣供應源233c流入氣體供應管232c中的載氣,經利用MFC 234c調整為既定流量後,再流入第1原料供應源235c中。在第1原料供應源235c中收容著固態TiI4,該氣化氣體係與載氣一起經由閥236c供應給氣體導入口110。另外,TiI4係常溫常壓下呈固態,藉由將第1原料供應源235c加熱至既定溫度(例如120℃)而使氣化。
另外,上述中,第1鹵元素係例示碘(I),但亦可使用溴(Br)、砈(At)等。又,既定金屬元素係例示屬於過渡金屬元素的鈦(Ti),惟並不僅侷限於此,亦可從鎢(W)、鉭(Ta)、鋯(Zr)、鉿(Hf)、釕(Ru)、鈷(Co)、鎳(Ni)所構成群組中選擇。又,亦可使用過渡金屬以外的金屬元素。
(第2原料氣體供應系統(第2金屬原料供應系統))從第2原料氣體供應系統230D供應第2金屬原料。第2金屬原料係含有:不同於上述第1鹵元素的第2鹵元素及上述既定金屬元素。第2鹵元素係原子序小於第1鹵元素的鹵元素。較佳第2鹵元素係氯(Cl),或原子序較小於其的鹵元素之氟(F)。本實施形態中,第2鹵元素係選擇原子序較選用為第1鹵元素之碘(I)原子序更小的氯(Cl)。又,第2金屬原料所含有的既定金屬元素係設為第1金屬原料所含有金屬元素的鈦(Ti)。即,本實施形態中,第2金屬原料 係使用氯化鈦(四氯化鈦(TiCl4))。
第2原料氣體供應系統230D主要係由氣體供應管232d、載氣供應源233d、MFC 234d、第2原料供應源235d及閥236d構成。氣體供應管232d係下游側連接於氣體導入口110,且從上游側起依序設有:載氣供應源233d、MFC 234d、第2原料供應源235d及閥236d。載氣係使用例如N2氣體。又,第2原料供應源235d係構成例如起泡器。
從載氣供應源233d流入氣體供應管232c中的載氣,經利用MFC 234d調整為既定流量後,再流入第2原料供應源235c中。TiCl4係常溫常壓下呈液態,在第2原料供應源235c中依液態形式收容。第2原料供應源235d中收容的TiCl4,會利用對第2原料供應源235d供應的載氣而氣化,並與載氣一起經由閥236d供應給氣體導入口110。另外,亦可藉由加熱第2原料供應源235d,充分提高第2原料供應源235d內的TiCl4蒸氣壓,再將TiCl4依氣態形式供應。此情況,第2原料供應源235d未必需要由起泡器構成。
(控制器)如圖1所示,基板處理裝置10係設有對基板處理裝置10的各元件動作進行控制之控制器(控制部)280。控制器280係至少設有運算部281及記憶部282。控制器280係連接於上述各構造,配合上位控制器或使用者的指示,從記憶部282中呼叫出程式、處方,並配合其內容控制各構造的動作。具體而言,控制器280係針對加熱器106、升降機構107b、閘閥151、APC 162、真空泵164、搬送機器人173、以及氣體供應系統的閥、MFC等之動作進行控制。
另外,控制器280亦可構成專用電腦,亦可構成通用 電腦。例如準備儲存有上述程式的外部記憶裝置(例如磁帶;軟碟、硬碟等磁碟;CD、DVD等光碟;MO等光磁碟、USB記憶體、記憶卡等半導體記憶體)283,藉由使用外部記憶裝置283將程式安裝於通用電腦中,而可構成本實施形態的控制器280。
再者,為將程式提供給電腦的手段並不僅侷限於經由外部記憶裝置283提供的情況。例如亦可使用網際網路、專用線路等通訊手段,在未經由外部記憶裝置283情況下提供程式。另外,記憶部282、外部記憶裝置283係構成電腦可讀取的記錄媒體。以下,亦將該等統稱為「記錄媒體」。另外,本說明書中使用「記錄媒體」用詞的情況,係有:僅包含記憶部282單體的情況、僅包含外部記憶裝置283單體的情況、或包含該等二者的情況。
<半導體裝置之構成>接著,針對使用基板處理裝置10所形成電晶體(半導體裝置)的閘極構成例進行說明。此處舉NMOS式電晶體為例。
圖3所示係使用基板處理裝置10所形成電晶體的閘極構成例圖,具體係NMOS式電晶體的閘極構成例圖。如圖3所示,閘極係設為由:形成於矽基板(Si-sub)上且由氧化矽(SiO2)構成的矽系絕緣膜、形成於該SiO2上且由氧化鉿(HfO2)構成的高介電常數膜(High-k膜)、以及形成於HfO2上且由金屬氮化膜(TiN)構成的閘極電極進行積層的堆疊構造。其特徵在於:閘極電極的TiN係構成將TiI4使用為原料形成的第1TiN(第1金屬氮化膜。圖中標示為「TiI4-TiN」)、與將TiCl4使用為原料形成的第2TiN(第2金屬氮化膜。圖中標示為「TiCl4-TiN」)的積層體構成。
<半導體裝置之閘極製造步驟>接著,針對圖3所 示電晶體的閘極之製造步驟例進行說明。圖4所示係圖3所示電晶體的閘極之製造步驟例處理流程圖。
如圖4所示,首先,將矽基板利用例如1%HF水溶液施行處理,而除去在矽基板所形成的犧牲氧化膜(「HF處理」步驟)。接著,在矽基板上,藉由將氧化矽(SiO2)施行熱氧化處理而成膜(「SiO2成膜」步驟)。SiO2係形成矽基板與後續所形成HfO2間之界面處的界面層。
其次,在SiO2上形成高介電常數膜的氧化鉿(HfO2)(「High-k成膜」步驟)。藉由SiO2與HfO2構成閘極絕緣膜。在HfO2成膜後施行退火處理(「後續沉積退火」步驟)。該退火處理係在除去HfO2中之雜質、使HfO2緻密化或結晶化之目的下實施。接著,在HfO2上形成閘極電極的金屬氮化膜(TiN)(「TiN沉積」步驟)。如圖示,在該步驟中,施行上述將TiI4使用為原料的TiN成膜處理、與將TiCl4使用為原料的TiN成膜處理。具體而言,執行:將TiI4與NH3供應給晶圓100的TiN成膜處理施行X次(第1既定次數)的步驟、與將TiCl4與NH3供應給晶圓100的TiN成膜處理施行Y次(第2既定次數)的步驟。然後,藉由該等各項步驟交錯施行Z次(第3既定次數),而形成積層金屬氮化膜(積層TiN)。相關此項處理的詳細內容,容後述。另外,所謂「積層金屬氮化膜」係指使用不同的原料成膜,由含有同一金屬元素的複數金屬氮化膜呈既定數積層形成的金屬氮化膜。即,所謂「積層TiN」係指藉由使用不同原料成膜的複數TiN,進行既定數積層而形成的膜。
其次,施行以光阻為遮罩並使用光學微影技術的圖案化(「閘極圖案化」步驟),且施行使用乾式蝕刻技術的圖案蝕刻(「閘 極蝕刻」步驟)。然後,除去該光阻(「光阻去除」步驟))。然後,施行氫氣退火等FGA(Forming gas annealing,混合氣體退火)處理(「FGA」步驟)。
另外,當測定閘極電極的TiN之功函數時,藉由在該TiN上更進一步利用例如PVD(Physical Vapor Deposition:物理氣相沉積),將TiN施行成膜,而可確保功函數測定時所必要的膜厚。此情況,利用PVD施行的TiN成膜,係在「閘極蝕刻」步驟前實施。又,亦可在「FGA」步驟後,於矽基板的背面形成當作背電極用的鋁層。
(2)基板處理步驟
其次,針對上述積層TiN的成膜步驟(圖4的「TiN沉積」步驟)進行詳述。另外,以下說明中,構成基板處理裝置10的各元件動作係利用控制器280進行控制。
圖5所示係圖4所示處理流程中,積層TiN的成膜步驟例之處理流程圖。圖6所示係圖5所示成膜步驟中,氣體供應的時序圖。
另外,本說明書中使用「晶圓」用語的情況,係包含「晶圓本身」的情況、「晶圓與在其表面所形成的既定層或膜等之積層體(集合體)」的情況(即包含表面所形成既定的層或膜等在內均稱為「晶圓」的情況)。又,本說明書中,使用「晶圓表面」用語的情況,係包含「晶圓本身的表面(露出面)」之情況、「在晶圓上所形成既定層或膜等的表面,即形成積層體的晶圓最表面」之情況。
所以,本說明書中,記載為「對晶圓供應既定氣體」的情況,包含有:「對晶圓本身的表面(露出面)直接供應既定氣體」 的情況、「對在晶圓上所形成層或膜等(即對形成積層體的晶圓最表面)供應既定氣體」的情況。又,本說明書中,記載為「在晶圓上形成既定膜(或層)」的情況,係包含有:「直接在晶圓本身的表面(露出面)上形成既定膜(或層)」的情況、「在晶圓上所形成層或膜等上(即形成積層體的晶圓最表面上),形成既定膜(或層)」的情況。
另外,本說明書中使用「基板」用語的情況,亦係與使用「晶圓」用語的情況相同,此情況時只要將上述說明中的「晶圓」改變為「基板」即可。
(晶圓搬入步驟S10)首先,開放在晶圓搬送口150設置的閘閥151,利用搬送機器人173從搬送室171將晶圓100搬送至處理容器102內。在被搬送至處理容器102內的晶圓100上,形成上述高介電常數膜(HfO2)。另外,高介電常數膜係除HfO2之外,尚亦可使用氧化鋁(AlO)、氧化鋯(ZrO)、氧化鑭(LaO)、氧化釔(YO)、氧化鉭(TaO)、氧化鈰(CeO)、氧化鈦(TiO)、鈦酸鍶(STO)、鈦酸鋇(BTO)中之任一者、或組合該等2個以上的膜。又,該等膜亦可為含有氧化矽(SiO)、氮化矽(SiN)的膜。
(晶圓載置步驟S11)被搬送至處理容器102內的晶圓100,載置於升降銷108b。然後,藉由使支撐台103上升至晶圓處理位置,晶圓100被載置於承載器117。
(壓力‧溫度調整步驟S12)若晶圓100載置於承載器117,關閉閘閥151,依處理室101內成為所需壓力(真空度)的方式,利用真空泵164施行真空排氣。此時,處理室101內的壓力係利用壓力感測器(未圖示)測定,並利用APC 162進行回饋控制。
再者,在承載器117所載置的晶圓100,利用支撐台 103所內建的加熱器106加熱至既定溫度。另外,在處理容器102設有溫度感測器(未圖示),根據該溫度感測器檢測到的溫度資訊,依晶圓100成為既定溫度的方式,對加熱器106的通電量進行回饋控制。
另外,上述壓力調整及溫度調整係截至後述積層TiN的成膜步驟結束為止前均經常執行。
其次,施行上述積層TiN的成膜步驟。積層TiN的成膜步驟係包括有:將TiI4使用為原料而形成第1TiN的步驟(第1TiN成膜步驟)及將TiCl4使用為原料而形成第2TiN的步驟(第2TiN成膜步驟)。
<第1TiN成膜步驟>第1TiN成膜步驟中依序執行下述4項步驟。
(TiI4供應步驟S13)在TiI4供應步驟S13中,對處理室101供應第1金屬原料的TiI4。具體而言,開啟氣體供應管232c的閥236d,將當作載氣的N2氣體供應給第1原料供應源235c。此時,供應給第1原料供應源235c的載氣係利用MFC 234c調整為既定流量。在第1原料供應源235c內部被氣化的TiI4,係與載氣一起依既定流量TiI4氣體的形式供應給處理室101。此時,亦可開啟惰性氣體供應系統230A的閥232a,惰性氣體供應源233a將TiI4氣體與N2氣體一起供應給處理室101。
此項步驟中,利用APC 162,將處理室101內的壓力設為例如20~1330Pa範圍內的壓力。又,利用MFC 234c(及加熱第1原料供應源235c的加熱器)進行控制的TiI4氣體流量,係設為例如1~200sccm範圍內的流量。當從惰性氣體供應系統230A一起供 應TiI4氣體與N2氣體的情況,利用MFC 234a控制的N2氣體流量係設為例如0.1~2000sccm範圍內的流量。
再者,將晶圓100暴露於TiI4氣體中的時間,即,氣體供應時間(照射時間),係設為例如0.01秒~300秒鐘範圍內的時間。此時,藉由控制著加熱器106,晶圓100的溫度(處理溫度)被調整為例如350~400℃範圍內的溫度、較佳係400℃。藉由TiI4氣體的供應,而在晶圓100上形成例如未滿1原子層起至數原子層程度厚度的含Ti層。
(殘留氣體除去步驟S14)在殘留氣體除去步驟S14中,關閉閥236c,停止朝處理室101內的TiI4氣體供應。此時,在APC 162保持開啟狀態下,利用真空泵164將處理室101內施行真空排氣,將處理室101內殘留的未反應或經參與含Ti層形成後的TiI4氣體,從處理室101內除去。另外,此時,開啟閥235a(或保持開啟狀態),將N2氣體供應給處理室101內。N2氣體係具有迫淨氣體的作用,能更加提高將處理室101內殘留的TiI4氣體從處理室101內除去的效果。迫淨係利用N2氣體依例如2000sccm流量,供應例如1秒~60秒鐘而實施。
(NH3供應步驟S15)在NH3供應步驟S15中,對處理室101供應當作反應氣體用的NH3氣體。具體而言,開啟氣體供應管232b的閥235b,將反應氣體供應源233b中儲存的NH3氣體流入氣體供應管232b。在氣體供應管232b中流動的NH3氣體,係利用MFC 235b調整為既定流量。經流量調整過的NH3氣體,經由氣體導入口110供應給處理室101。此時,亦可開啟惰性氣體供應系統230A的閥232a,從惰性氣體供應源233a將NH3氣體與N2氣 體一起供應給處理室101。
在此項步驟中,利用APC 162將處理室101內的壓力設為例如20~1330Pa範圍內的壓力。又,利用MFC 234b控制的NH3氣體流量,係設為例如10~3000sccm範圍內的流量。當從惰性氣體供應系統230A一起供應NH3氣體與N2氣體的情況,利用MFC 234a控制的N2氣體流量係設為例如0.1~2000sccm範圍內的流量。
再者,將晶圓100暴露於NH3氣體的時間,即,氣體供應時間(照射時間)係設定為例如0.01秒~300秒鐘範圍內的時間。此時,藉由控制加熱器106,將晶圓100的溫度(處理溫度)設定為例如350~400℃範圍內的溫度、較佳係400℃。
供應給處理室101的NH3氣體,會與步驟S13中在晶圓100上所形成含Ti層的至少其中一部分產生反應。藉此,含Ti層被氮化,而形成第1TiN。
(殘留氣體除去步驟S16)在殘留氣體除去步驟S16中,關閉閥235b,停止朝處理室101內的NH3氣體供應。此時,在APC 162保持開啟狀態下,利用真空泵164將處理室101內施行真空排氣,而將處理室101內殘留的未反應、或經參與含Ti層氮化後的NH3氣體從處理室101內除去。另外,此時,開啟閥235a(或保持開啟狀態),將N2氣體供應給處理室101內。N2氣體係具有迫淨氣體的作用,能更加提高將處理室101內殘留的NH3氣體從處理室101內除去的效果。迫淨係利用N2氣體依例如2000sccm流量,供應例如1秒~60秒鐘而實施。
(處理次數判定步驟S17)在處理次數判定步驟S17中,判斷以上述步驟S13~S16設為1循環的一連串步驟,是否剛好 實施第1既定次數(X次)(一連串步驟是否已實施X套組),直到判斷剛好實施第1既定次數(X次)為止前均重複實施步驟S13~S16的處理。此處,X係1以上的整數。藉由X次實施步驟S13~S16的循環,而完成第1TiN成膜步驟。依此,藉由施行步驟S13~S16的處理至少1循環以上,而形成既定膜厚(例如0.01~20nm)的TiN(第1TiN)。另外,上述中,雖TiI4氣體較NH3氣體先供應,但亦可NH3氣體較TiI4氣體先供應。
<第2TiN成膜步驟>若第1TiN成膜步驟完成,接著施行第2TiN成膜步驟。在第2TiN成膜步驟中依序執行下述4項步驟。
(TiCl4供應步驟S18)在TiCl4供應步驟S18中,對處理室101供應當作第2金屬原料的TiCl4。具體而言,開啟氣體供應管232d的閥236d,將當作載氣的N2氣體供應給第2原料供應源235d。此時,供應給第2原料供應源235d的載氣利用MFC 234d調整為既定流量。在第2原料供應源235d中收容的TiCl4,係利用供應給第2原料供應源235d的載氣而被氣化,並與載氣一起經由閥236d供應給處理室101。此時,亦可開啟惰性氣體供應系統230A的閥232a,再從惰性氣體供應源233a將TiCl4氣體與N2氣體一起供應給處理室101。
在該步驟中,利用APC 162將處理室101內的壓力設為例如20~1330Pa範圍內的壓力。又,利用MFC 234d控制的TiCl4氣體流量係設定為例如1~200sccm範圍內的流量。當從惰性氣體供應系統230A一起供應TiCl4氣體與N2氣體的情況,利用MFC 234a控制的N2氣體流量係設定為例如0.1~2000sccm範圍內的流量。
再者,晶圓100暴露於TiCl4氣體中的時間,即,氣體供應時間(照射時間)係設定為例如0.01秒~300秒鐘範圍內的時間。此時藉由控制著加熱器106,將晶圓100的溫度(處理溫度)設定為例如350~400℃範圍內的溫度、較佳係400℃。藉由TiCl4氣體的供應,而在晶圓100上形成例如未滿1原子層起至數原子層程度厚度的含Ti層。
(殘留氣體除去步驟S19)在殘留氣體除去步驟S19中,關閉閥236d,停止朝處理室101內的TiCl4氣體供應。此時,在APC 162保持開啟狀態下,利用真空泵164將處理室101內施行真空排氣,將處理室101內殘留的未反應或經參與含Ti層形成後的TiCl4氣體,從處理室101內除去。另外,此時,開啟閥235a(或保持開啟狀態),將N2氣體供應給處理室101內。N2氣體係具有迫淨氣體的作用,能更加提高將處理室101內殘留的TiCl4氣體從處理室101內除去的效果。迫淨係利用N2氣體依例如2000sccm流量,供應例如1秒~60秒鐘而實施。
(NH3供應步驟S20)在NH3供應步驟S20中係與上述步驟S15同樣地,朝處理室101供應當作反應氣體的NH3氣體。因為具體的裝置動作與供應條件係與步驟S15同樣,因而省略說明。在NH3供應步驟S20中供應給處理室101的NH3氣體,會與步驟S18中在晶圓100上所形成含Ti層至少其中一部分產生反應。藉此,含Ti層會被氮化而形成第2TiN膜。
(殘留氣體除去步驟S21)在殘留氣體除去步驟S21中係與上述步驟S16同樣地,將處理室101內殘留的NH3氣體從處理室101內除去。因為具體的裝置動作與供應條件係與步驟S15同 樣,因而省略說明。
(處理次數判定步驟S22)在處理次數判定步驟S22中,判斷以上述步驟S18~S21設為1循環的一連串步驟,是否剛好實施第2既定次數(Y次)(一連串步驟是否已實施Y套組),直到判斷剛好實施第2既定次數(Y次)為止前均重複實施步驟S18~S21的處理。此處,Y係1以上的整數。藉由Y次實施步驟S18~S21的循環,而完成第2TiN成膜步驟。依此,藉由施行步驟S18~S21的處理至少1循環以上,形成既定膜厚(例如0.01~20nm)的TiN(第2TiN)。另外,上述中,雖TiCl4氣體較NH3氣體先供應,但亦可NH3氣體較TiCl4氣體先供應。
(處理次數判定步驟S23)若第2TiN成膜步驟結束,則在處理次數判定步驟S23中,判斷從上述第1TiN成膜步驟起至第2TiN成膜步驟為止的一連串步驟是否剛好實施第3既定次數(Z次(僅實施一連串步驟Z次循環),直到判斷為僅實施第3既定次數Z次)為止前,均重複實施第1TiN成膜步驟及第2TiN成膜步驟(步驟S13~S22的處理)。此處,Z係1以上的整數。藉由步驟S13~S22的處理實施Z次,而完成積層TiN成膜步驟。依此,藉由將TiI4使用為原料的第1TiN成膜步驟、與將TiCl4使用為原料的第2TiN成膜步驟,交錯實施至少1循環以上,而形成既定膜厚(例如0.02~40nm)的積層TiN。另外,上述經施行將TiI4使用為原料的成膜步驟後,施行將TiCl4使用為原料的成膜步驟,但亦可在施行將TiCl4使用為原料的成膜步驟後,施行將TiI4使用為原料的成膜步驟。但,較佳係在施行將TiI4使用為原料的成膜步驟後,施行將TiCl4使用為原料的成膜步驟。理由容後述。
(晶圓搬出步驟S24)若完成積層TiN成膜步驟,便移往晶圓搬出步驟S24。在晶圓搬出步驟S24中,使支撐台103下降,且開啟閘閥151,將處理完畢(成膜完畢)晶圓100利用搬送機器人173搬出於處理容器102的外部。
此處,利用執行形成第1TlN的步驟(從步驟S13起至步驟S17的處理)次數(上述X、或X與Z的乘積值)、及執行形成第2TiN的步驟(從步驟S18起至步驟S22的處理)次數(上述Y、或Y與Z的乘積值),而可將當作閘極電極的積層TiN之功函數調整為任意值。即,利用積層TiN中所含將TiI4使用為原料形成的第1TiN、與將TiCl4使用為原料形成的第2TiN之比(積層比),而可將積層TiN的功函數調整為任意值。以下,針對此項理由進行說明。
本案發明者發現第1TiN與第2TiN的功函數不同。具體而言,本案發明者發現第1TiN的功函數呈現較低於第2TiN的值。此現象可認為在第1TiN與第2TiN中分別殘留有碘(I)與氯(Cl),而因該碘(I)與氯(Cl)的功函數不同(碘(I)的功函數較低於氯(Cl))所造成。又,因為第1TiN中的碘(I)含有比例,較小於第2TiN中的氯(Cl)含有比例(理由容後述),因而第1TiN的功函數接近較鈦(Ti)固有更低的功函數之情形,亦可認為係第1TiN的功函數成為較低於第2TiN之值的理由之一。又,使用TiI4時的鹵元素擴散會在降低功函數的方向,使SiO2與HfO2界面的固定電荷產生變動之情形,亦可認為係第1TiN的功函數成為較低於第2TiN之值的理由之一。由如上述理由,藉由調整積層TiN中所含第1TiN與第2TiN的比,而可將積層TiN的功函數調整為第1TiN固有的功函數與第2TiN固有的功函數間之任意值。另外,各元素的功函數大小係功 函數實測值、或其他理論值,此外尚可考慮將已知相關功函數的電負度視為指標。
圖7所示係積層TiN中所含第1TiN的比例、與積層TiN的功函數之關係圖,具體係圖示當積層TiN厚度設為6nm時,使該積層TiN中所含第1TiN比例從0%起變化至100%時的功函數。另外,圖7所示功函數係當高介電常數膜使用HfO2時的執行功函數(eWF),屬於HfO2/SiO2界面的偶極饋入值。獲得圖7所示eWF時的上述X、Y、Z值,係如圖8所示。圖8中,獲得圖7所示eWF時的Z值係「例1」所示值。又,第1TiN及第2TiN的處理條件係如下。
[第1TiN]處理溫度(成膜溫度)…400[℃]、處理壓力…60[Pa]、TiI4供應時間…15[sec]、TiI4流量…約1[sccm]、NH3供應時間…20[sec]、NH3流量…300[sccm]
[第2TiN]處理溫度(成膜溫度)…400[℃]、處理壓力…60[Pa]、TiCl4供應時間…2[sec]、TiCl4流量…約5[sccm]、NH3供應時間…20[sec]、NH3流量…300[sccm]
如圖7所示,得知積層TiN中所含的第1TiN比例越多,則功函數越降低。具體而言,積層TiN的功函數係第1TiN比例越高(第2TiN比例越低),越接近第1TiN固有的功函數(圖示例中為4.45[eV]),而第1TiN比例越低(第2TiN比例越高),則接近第2TiN固有的功函數(圖示例中為4.58[eV])。
圖9所示係使積層TiN中所含第1TiN與第2TiN的比變化時,顯示積層TiN的電阻率與膜厚圖。獲得圖9所示值時的上述X、Y、Z值係如圖8所示。但,圖8中,獲得圖9所示值時 的Z值係「例2」所示值。另外,第1TiN及第2TiN的處理條件係同上述。如圖9所示,若積層TiN中含有既定比例以上的第1TiN,則電阻率會大幅降低。具體而言,若積層TiN中含有第1TiN達25%以上,則電阻率會低於300[μΩcm]。此現象係因第1TiN的電阻率與第2TiN的電阻率不同(第1TiN的電阻率較低於第2TiN)所致。閘極電極的電阻率越低越佳,但當積層TiN中含有第1TiN達25%以上時(eWF調整於4.54[eV]以下區域時),除可調整功函數之外,尚亦可獲得低電阻的電氣特性。
再者,如圖9所示,得知積層TiN中所含第1TiN的比例越多,則膜厚亦越增加。理由係可認為TiI4中的鹵元素鍵能較小於TiCl4,在同一處理條件下,當含Ti層之形成係使用TiI4時比較獲促進的緣故所致。另外,鈦(Ti)與鹵元素間之鍵能係鹵元素的原子序越大則越小。就一例而言,TiCl4中的鈦(Ti)與氯(Cl)之鍵能係494[kJ/mol],相對的TiI4中的鈦(Ti)與碘(I)之鍵能係310[kJ/mol]。如圖9所示,若積層TiN含有第1TiN達25%以上,則膜厚(即成膜速率)亦獲大幅提升。所以,當積層TiN中所含第1TiN達25%以上時(eWF調整在4.54[eV]以下的區域時),除可調整功函數之外,成膜速率亦能獲大幅提升。另外,如上述,第1TiN中的碘(I)含有比例較低於第2TiN中的氯(Cl)含有比例之理由,亦可認為TiI4中的鹵元素鍵能較小,使碘(I)能效率佳地從鈦(Ti)分離出的緣故所致。
其次,針對在圖5所示積層TiN成膜步驟中,從將TiI4使用為原料的成膜處理開始之理由進行說明。如上述,使用TiI4時的鹵元素擴散會在降低功函數的方向,使SiO2與HfO2之界面的 固定電荷產生變動,可認為第1TiN的功函數較低於第2TiN的理由之一。所以,越使功函數降低,則越增加調整幅度,所以從將TiI4使用為原料的成膜處理開始,使TiI4的鹵元素經由HfO2擴散至SiO2與HfO2的界面。
再者,發明者發現第1TiN的粗糙度(表面粗糙度)相較於第2TiN之下呈非常良好。圖10所示係第1TiN與第2TiN的粗糙度圖,具體而言,利用AFM(Atomic Force Microscopy,原子力顯微鏡)進行的測定結果。獲得圖10所示值時的上述X、Y、Z值係如圖8所示。但,圖8中,獲得圖10所示值時的Z值係「例1」所示值。另外,第1TiN及第2TiN的處理條件係同上述。
如圖10所示,平均表面粗糙度(Ra)、均方根表面粗糙度(RMS)及面內最大高低差(Rmax)均係第1TiN較優於第2TiN。理由可認為係雖TiI4中的鹵元素鍵能較小於TiCl4,但閘極絕緣膜上的初期核形成密度增加的緣故所致。所以,藉由從將TiI4使用為原料的成膜處理開始(換言之,將TiI4使用為原料執行初期成膜),而可提升接續所形成之膜粗糙度,結果可提升積層TiN全體的粗糙度。又,藉由提升初期成膜的粗糙度,而可提高積層TiN與其下層的閘極絕緣膜間之密接性,俾使積層TiN不易發生膜剝落。另外,例如當利用3D-NAND之類的裝置構造,在TiN上形成鎢(W)等的薄膜時,藉由提升積層TiN全體的粗糙度,亦可抑制該鎢(W)等的薄膜發生膜剝落。
依此,本實施形態係藉由供應:含有第1鹵元素與既定金屬元素的第1金屬原料及含氮原料,而形成第1金屬氮化膜,且供應:含有不同於第1鹵元素的第2鹵元素、與上述既定金屬元 素的第2金屬原料、及含氮之元素,而形成第2金屬氮化膜,而可形成積層金屬氮化膜,因而可調整金屬膜的功函數。
再者,藉由從使用含有原子序較大之鹵元素的原料施行成膜處理開始,而可達功函數的調整幅度擴大及提升積層TiN的粗糙度,更亦可期待抑制積層TiN發生膜剝落等。
再者,雖現有生產線採用新穎材料時會產生整合問題(加工、熱安定性、擴散安定性),但因為本實施形態的成膜製程係以屬於現有金屬氮化膜(將TiCl4使用為原料形成的金屬氮化膜)的TiN膜之成膜製程為基礎,因而亦可迴避整合問題。
另外,本發明係即便例如改造半導體裝置之製造工廠中所存在現有基板處理裝置的氣體供應系統,且變更製程處方,仍可實現。當變更製程處方的情況,藉由將本發明的製程處方,經由電氣通訊線路、或記錄有該製程處方的記錄媒體,安裝於現有的基板處理裝置中,或有操作現有基板處理裝置的輸出入裝置,而可將該製程處方自身變更為本發明的製程處方。
以上,就本發明各種典型的實施形態,針對成膜技術進行說明,惟本發明並不僅侷限於該等實施形態。例如本實施形態中,就基板處理裝置例係記載單片裝置,但即便一次處理複數片基板的直立式處理裝置等,亦同樣可適用。
(本發明較佳態樣)以下針對本發明的較佳態樣進行附註。
[附註1]一種基板處理裝置,係具備有:處理室、第1金屬原料供應系統、第2金屬原料供應系統、含氮原料供應系統及控制部;而,該處理室係對基板施行處理;該第1金屬原料供應 系統係將含有第1鹵元素與既定金屬元素的第1金屬原料,供應給上述處理室;該第2金屬原料供應系統係將含有不同於上述第1鹵元素之第2鹵元素、與上述既定金屬元素的第2金屬原料,供應給上述處理室;該含氮原料供應系統係將含有氮的含氮原料,供應給上述處理室;該控制部係控制上述第1金屬原料供應系統、上述第2金屬原料供應系統及上述含氮原料供應系統,執行將上述第1金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第1金屬氮化膜的處理,以及將上述第2金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第2金屬氮化膜的處理,藉此在上述基板上形成積層金屬氮化膜的成膜處理。
[附註2]如附註1所記載的基板處理裝置,其中,上述控制部係控制上述第1金屬原料供應系統、上述第2金屬原料供應系統及上述含氮原料供應系統,交錯施行第3既定次數下述處理,而實行在上述基板上形成上述積層金屬氮化膜的成膜處理:將上述第1金屬原料與上述含氮原料交錯地依第1既定次數供應給上述處理室,而在上述基板上形成上述第1金屬氮化膜的處理,以及將上述第2金屬原料與上述含氮原料交錯地依第2既定次數供應給上述處理室,而在上述基板上形成上述第2金屬氮化膜的處理。
[附註3]如附註2所記載的基板處理裝置,其中,上述第1既定次數與上述第2既定次數係不同值。
[附註4]如附註1至3中任一項所記載的基板處理裝置,其中,上述第1金屬氮化膜與上述第2金屬氮化膜係功函數不同。
[附註5]如附註1至4中任一項所記載的基板處理裝 置,其中,上述第1金屬氮化膜與上述第2金屬氮化膜係電阻率不同。
[附註6]如附註1至5中任一項所記載的基板處理裝置,其中,上述第1金屬原料所含有的上述第1鹵元素,係原子序較大於上述第2鹵元素的鹵元素。
[附註7]如附註1至6中任一項所記載的基板處理裝置,其中,上述第1金屬原料所含有的上述第1鹵元素係原子序較大於氯(Cl)的鹵元素;而上述第2金屬原料所含有的上述第2鹵元素係氯(Cl)。
[附註8]如附註1至7中任一項所記載的基板處理裝置,其中,上述第1金屬原料係碘化鈦(TiI4),而上述第2金屬原料係氯化鈦(TiCl4)。
[附註9]如附註6至8中任一項所記載的基板處理裝置,其中,上述成膜處理係從形成上述第1金屬氮化膜的處理開始。
[附註10]如附註1至9中任一項所記載的基板處理裝置,其中,上述成膜處理係依上述基板溫度350℃至400℃範圍執行。
[附註11]一種半導體裝置之製造方法,係施行成膜步驟而在基板上形成積層金屬氮化膜,該成膜步驟係包括有:對處理室內的基板,供應含有第1鹵元素與既定金屬元素的第1金屬原料、以及含氮原料,而在上述基板上形成第1金屬氮化膜的步驟;以及對上述處理室內的上述基板,供應含有不同於上述第1鹵元素的第2鹵元素、與上述既定金屬元素的第2金屬原料、以及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的步驟。
[附註12]一種半導體裝置之製造方法,係交錯施行第3既定次數的成膜步驟,而在基板上形成積層金屬氮化膜;該成膜步驟係包括有:對處理室內的基板,交錯地依第1既定次數供應含有第1鹵元素與既定金屬元素的第1金屬原料、與含氮原料,而在上述基板上形成第1金屬氮化膜的步驟;以及對上述處理室內的上述基板,交錯地依第2既定次數供應含有不同於上述第1鹵元素的第2鹵元素與上述既定金屬元素的第2金屬原料及含氮原料,而在上述基板上形成第2金屬氮化膜的步驟。
[附註13]如附註11或12所記載的半導體裝置之製造方法,其中,上述第1金屬原料所含有的上述第1鹵元素,係原子序較大於上述第2鹵元素的鹵元素。
[附註14]如附註11至13中任一項所記載的半導體裝置之製造方法,其中,上述第1金屬原料所含有的上述第1鹵元素係原子序較大於氯(Cl)的鹵元素;而上述第2金屬原料所含有的上述第2鹵元素係氯(Cl)。
[附註15]如附註11至14中任一項所記載的半導體裝置之製造方法,其中,上述第1金屬原料係碘化鈦(TiI4),而上述第2金屬原料係氯化鈦(TiCl4)。
[附註16]如附註13至15中任一項所記載的半導體裝置之製造方法,上述成膜處理係從形成上述第1金屬氮化膜的步驟開始。
[附註17]如附註11至16中任一項所記載的半導體之製造方法,其中,上述成膜處理係依上述處理室內的上述基板溫度350℃至400℃範圍執行。
[附註18]一種程式,係使電腦執行:對處理室內的基板供應:含有第1鹵元素與既定金屬元素的第1金屬原料及含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,供應:含有不同於上述第1鹵元素的第2鹵元素與上述既定金屬元素的第2金屬原料及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的順序。
[附註19]一種程式,係使電腦交錯地依第3既定次數執行:對處理室內的基板,交錯地依第1既定次數供應含有第1鹵元素與既定金屬元素的第1金屬原料、與含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,交錯地依第2既定次數供應含有不同於上述第1鹵元素的第2鹵元素、與上述既定金屬元素的第2金屬原料及含氮原料,而在上述基板上形成第2金屬氮化膜的順序。
[附註20]一種電腦可讀取的記錄媒體,係記錄著使電腦執行下述順序的程式:對處理室內的基板供應含有第1鹵元素與既定金屬元素的第1金屬原料及含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,供應:含有不同於上述第1鹵元素的第2鹵元素與上述既定金屬元素的第2金屬原料及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的順序。
[附註21]一種電腦可讀取的記錄媒體,係記錄著使電腦執行交錯地依第3既定次數執行下述順序的程式:對處理室內的基板,交錯地依第1既定次數供應含有第1鹵元素與既定金屬元素的第1金屬原料、與含氮原料,而在上述基板上形成第1金屬氮化 膜的順序;以及對上述處理室內的上述基板,交錯地依第2既定次數供應含有不同於上述第1鹵元素的第2鹵元素、與上述既定金屬元素的第2金屬原料及含氮原料,而在上述基板上形成第2金屬氮化膜的順序。
(產業上之可利用性)
本發明係可利用於對例如矽晶圓等基板施行處理的基板處理裝置及半導體裝置之製造方法等。

Claims (19)

  1. 一種基板處理裝置,係具備有:處理室,其對基板施行處理;第1金屬原料供應系統,其將含有第1鹵元素與既定金屬元素的第1金屬原料,供應給上述處理室;第2金屬原料供應系統,其將含有不同於上述第1鹵元素之第2鹵元素與上述既定金屬元素的第2金屬原料,供應給上述處理室;含氮原料供應系統,其將含有氮的含氮原料,供應給上述處理室;以及控制部,其控制上述第1金屬原料供應系統、上述第2金屬原料供應系統及上述含氮原料供應系統,執行將上述第1金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第1金屬氮化膜的處理,以及將上述第2金屬原料與上述含氮原料供應給上述處理室,而在上述基板上形成第2金屬氮化膜的處理,藉此在上述基板上形成積層金屬氮化膜的成膜處理。
  2. 如申請專利範圍第1項之基板處理裝置,其中,上述控制部係構成為控制上述第1金屬原料供應系統、上述第2金屬原料供應系統及上述含氮原料供應系統,交錯施行第3既定次數下述處理,而實行在上述基板上形成上述積層金屬氮化膜的成膜處理:將上述第1金屬原料與上述含氮原料交錯地依第1既定次數供應給上述處理室,而在上述基板上形成上述第1金屬氮化膜的處理,以及將上述第2金屬原料與上述含氮原料交錯地依第2既定次數供應給上述處理室,而在上述基板上形成上述第2金屬氮化膜的處理。
  3. 如申請專利範圍第2項之基板處理裝置,其中,上述第1既定次數與上述第2既定次數係不同值。
  4. 如申請專利範圍第1項之基板處理裝置,其中,上述第1金屬氮化膜與上述第2金屬氮化膜係功函數不同。
  5. 如申請專利範圍第1項之基板處理裝置,其中,上述第1金屬氮化膜與上述第2金屬氮化膜係電阻率不同。
  6. 如申請專利範圍第1項之基板處理裝置,其中,上述第1金屬原料所含有的上述第1鹵元素,係原子序較大於上述第2鹵元素的鹵元素。
  7. 如申請專利範圍第1項之基板處理裝置,其中,上述第1金屬原料所含有的上述第1鹵元素係原子序較大於氯的鹵元素;而上述第2金屬原料所含有的上述第2鹵元素係氯。
  8. 如申請專利範圍第1項之基板處理裝置,其中,上述第1金屬原料係碘化鈦,而上述第2金屬原料係氯化鈦。
  9. 如申請專利範圍第6項之基板處理裝置,其中,上述成膜處理係從形成上述第1金屬氮化膜的處理開始。
  10. 如申請專利範圍第8項之基板處理裝置,其中,上述成膜處理係依上述基板溫度350℃至400℃範圍執行。
  11. 一種半導體裝置之製造方法,係施行成膜步驟而在基板上形成積層金屬氮化膜,該成膜步驟係包括有:對處理室內的基板,供應含有第1鹵元素與既定金屬元素的第1金屬原料、以及含氮原料,而在上述基板上形成第1金屬氮化膜的步驟;以及對上述處理室內的上述基板,供應含有不同於上述第1鹵元素的 第2鹵元素、與上述既定金屬元素的第2金屬原料、以及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的步驟。
  12. 一種半導體裝置之製造方法,係交錯施行第3既定次數的成膜步驟,而在基板上形成積層金屬氮化膜;該成膜步驟係包括有:對處理室內的基板,交錯地依第1既定次數供應含有第1鹵元素與既定金屬元素的第1金屬原料、與含氮原料,而在上述基板上形成第1金屬氮化膜的步驟;以及對上述處理室內的上述基板,交錯地依第2既定次數供應含有不同於上述第1鹵元素的第2鹵元素與上述既定金屬元素的第2金屬原料、及含氮原料,而在上述基板上形成第2金屬氮化膜的步驟。
  13. 如申請專利範圍第11項之半導體裝置之製造方法,其中,上述第1金屬原料所含有的上述第1鹵元素,係原子序較大於上述第2鹵元素的鹵元素。
  14. 如申請專利範圍第11項之半導體裝置之製造方法,其中,上述第1金屬原料所含有的上述第1鹵元素係原子序較大於氯的鹵元素;而上述第2金屬原料所含有的上述第2鹵元素係氯。
  15. 如申請專利範圍第11項之半導體裝置之製造方法,其中,上述第1金屬原料係碘化鈦,而上述第2金屬原料係氯化鈦。
  16. 如申請專利範圍第13項之半導體裝置之製造方法,其中,上述成膜處理係從形成上述第1金屬氮化膜的步驟開始。
  17. 如申請專利範圍第15項之半導體裝置之製造方法,其中,上述成膜處理係依上述處理室內的上述基板溫度350℃至400℃範圍執行。
  18. 一種電腦可讀取的記錄媒體,係記錄著使電腦執行下述順序的 程式:對處理室內的基板供應含有第1鹵元素與既定金屬元素的第1金屬原料、及含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,供應:含有不同於上述第1鹵元素的第2鹵元素與上述既定金屬元素的第2金屬原料、及上述含氮之元素,而在上述基板上形成第2金屬氮化膜的順序。
  19. 一種電腦可讀取的記錄媒體,係記錄著使電腦執行交錯地依第3既定次數執行下述順序的程式:對處理室內的基板,交錯地依第1既定次數供應含有第1鹵元素與既定金屬元素的第1金屬原料、與含氮原料,而在上述基板上形成第1金屬氮化膜的順序;以及對上述處理室內的上述基板,交錯地依第2既定次數供應含有不同於上述第1鹵元素的第2鹵元素、與上述既定金屬元素的第2金屬原料、及含氮原料,而在上述基板上形成第2金屬氮化膜的順序。
TW104100916A 2014-03-28 2015-01-12 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium TWI593822B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2014/059246 WO2015145751A1 (ja) 2014-03-28 2014-03-28 基板処理装置、半導体装置の製造方法および記録媒体

Publications (2)

Publication Number Publication Date
TW201538779A TW201538779A (zh) 2015-10-16
TWI593822B true TWI593822B (zh) 2017-08-01

Family

ID=54194337

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104100916A TWI593822B (zh) 2014-03-28 2015-01-12 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Country Status (4)

Country Link
US (1) US9728409B2 (zh)
JP (1) JP6204570B2 (zh)
TW (1) TWI593822B (zh)
WO (1) WO2015145751A1 (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN110678972B (zh) * 2017-06-05 2023-06-20 应用材料公司 降低字线电阻的方法
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) * 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
SG11202102655YA (en) * 2018-09-20 2021-04-29 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device and program
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11908893B2 (en) * 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201318043A (zh) * 2011-09-14 2013-05-01 Hitachi Int Electric Inc 半導體裝置之製造方法,基板處理方法,基板處理裝置及記錄媒體

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331545B1 (ko) * 1998-07-22 2002-04-06 윤종용 다단계 화학 기상 증착 방법에 의한 다층 질화티타늄막 형성방법및 이를 이용한 반도체 소자의 제조방법
JP2002299283A (ja) * 2001-03-30 2002-10-11 Toshiba Corp 半導体装置の製造方法
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP5513767B2 (ja) * 2008-06-25 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
JP2010141248A (ja) * 2008-12-15 2010-06-24 Toshiba Corp 成膜装置及び成膜方法
JP5774822B2 (ja) 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP5702584B2 (ja) * 2010-11-30 2015-04-15 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
JP2012124215A (ja) * 2010-12-06 2012-06-28 Panasonic Corp 半導体装置及びその製造方法
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
US9059089B2 (en) * 2013-02-28 2015-06-16 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201318043A (zh) * 2011-09-14 2013-05-01 Hitachi Int Electric Inc 半導體裝置之製造方法,基板處理方法,基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
JP6204570B2 (ja) 2017-09-27
WO2015145751A1 (ja) 2015-10-01
US20170011926A1 (en) 2017-01-12
TW201538779A (zh) 2015-10-16
JPWO2015145751A1 (ja) 2017-04-13
US9728409B2 (en) 2017-08-08

Similar Documents

Publication Publication Date Title
TWI593822B (zh) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI534288B (zh) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
JP5513767B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
JP6202681B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US9190281B2 (en) Method of manufacturing semiconductor device
US20140256152A1 (en) Substrate processing apparatus, substrate processing method, method of manufacturing semiconductor device and recording medium
WO2012073938A1 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
CN107863289B (zh) 半导体装置的制造方法、基板处理装置和存储介质
JP2011066263A (ja) 半導体装置の製造方法および基板処理装置
WO2012090831A1 (ja) 半導体デバイスの製造方法および基板処理装置
JP6576235B2 (ja) Dramキャパシタの下部電極およびその製造方法
JP2018080349A (ja) TiN系膜およびその形成方法
JP5801916B2 (ja) 半導体装置の製造方法、基板処理方法、および基板処理装置
US9916976B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI722356B (zh) 基板處理裝置
JP6084070B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置
JP6108530B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置