JP6222880B2 - 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム - Google Patents

半導体装置の製造方法、基板処理装置、半導体装置およびプログラム Download PDF

Info

Publication number
JP6222880B2
JP6222880B2 JP2016549698A JP2016549698A JP6222880B2 JP 6222880 B2 JP6222880 B2 JP 6222880B2 JP 2016549698 A JP2016549698 A JP 2016549698A JP 2016549698 A JP2016549698 A JP 2016549698A JP 6222880 B2 JP6222880 B2 JP 6222880B2
Authority
JP
Japan
Prior art keywords
substrate
gas
amorphous metal
metal layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016549698A
Other languages
English (en)
Other versions
JPWO2016046909A1 (ja
Inventor
小川 有人
有人 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2016046909A1 publication Critical patent/JPWO2016046909A1/ja
Application granted granted Critical
Publication of JP6222880B2 publication Critical patent/JP6222880B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/435Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、基板上に薄膜を形成する半導体装置の製造方法、基板処理装置、半導体装置およびプログラムに関する。
近年、回路の高集積化および高性能化に伴い、従来よりも開口部が狭い極細溝への金属膜の成膜が求められている。また、金属膜としては低い抵抗率が求められている。その金属膜の用途としては、例えばフラッシュメモリのコントロールゲート、DRAM(DynamicRandom Access Memory)のゲート電極、電極間の配線等が考えられる。
金属膜の開口部への埋め込みの際、結晶化された膜を用いると表面ラフネス(単にラフネスともいう)が大きくなり空孔が生じてしまう場合がある。しかし、表面ラフネスを小さくするために非晶質(アモルファス)の膜を用いる場合、その成膜時の温度を低くする必要があり、得られた金属膜の抵抗率が高くなってしまう。
本発明の主な目的は、上記の問題を解決し、ラフネスが小さく、かつ抵抗率が低い高品質な膜を形成することが可能な技術を提供することにある。
本発明の好ましい一態様によれば、 基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する工程と、 前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する工程と、 を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する工程と、 前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する工程と、 を有する半導体装置の製造方法が提供される。
本発明によれば、ラフネスが小さく、かつ抵抗率が低い高品質な膜を形成することが可能な技術が提供される。
本発明の第1の実施形態で好適に用いられる基板処理装置の処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 図1のA−A線断面図である。 図1に示す基板処理装置が有するコントローラの構成を示すブロック図である。 本発明の第1の実施形態で形成される膜を適用可能なスタック構造の例を示すブロック図である。 本発明の第1の実施形態におけるバルク層形成時のシーケンスを示す図である。 本発明の第1の実施形態におけるシード層形成時のシーケンスを示す図である。 本発明の他の実施形態で好適に用いられる基板処理装置の処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 本発明の他の実施形態で好適に用いられる基板処理装置の処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。
フラッシュメモリやDRAM(DynamicRandom Access Memory)等のメモリに用いられる電極や、電極間の配線等に使用される金属膜としては、例えばタングステン(W)膜が用いられる。その成膜方法としては、基板に対して複数の処理ガスを同時に供給(連続供給)して気相中もしくは基板表面における複数の処理ガスの反応を利用することにより基板上に膜を形成する方法や、基板に対して複数の処理ガスを時分割して(非同期、間欠的、パルス的に)供給することにより基板上に膜を形成する方法等がある。開口部が狭いプラグ等の極細溝へ金属膜を埋め込む場合は、より良好な膜厚均一性を得ることができる後者の複数の処理ガスを時分割して供給する方法が有効である。しかし、その場合、得られた金属膜の抵抗率が高くなってしまうため、通常、W膜の形成には前者の複数の処理ガスを同時に供給する方法を用いることが多い。
また、開口部が狭いプラグ等の極細溝へ金属膜を埋め込む場合は、ラフネスが大きいと好適に埋め込みができず空孔が生じてしまうことがあるため、埋め込み時点の金属膜は非晶質状態であることが望ましい。しかし、W膜の結晶化温度は低く、複数の処理ガスを同時に供給する方法を用いる場合には200〜250℃程度の温度で結晶化してしまう。W膜を形成する際に膜中に不純物を添加することにより非晶質化してラフネスが小さい膜を形成することは可能だが、不純物を添加して形成した非晶質のW膜を結晶化させるために必要な温度は500℃以上であるため、使用できる工程が制限されるとともに、得られた金属膜の抵抗率が高くなってしまう。したがって、開口部が狭い極細溝へ形成するW膜としては、低温処理により形成されるW膜であって、ラフネスが小さく、かつ抵抗率が低く、結晶化したW膜が求められる。
発明者らは、鋭意研究を行い、非晶質のW膜の上に結晶化したW層を形成すると、非晶質のW膜へ結晶化したW層の影響が及び、非晶質のW膜が結晶化するため、結果として、基板上に結晶化したW膜を形成することができることを見出した(逆固相反応)。結晶化したW層を形成する際に必要な温度は250℃以下であって好ましくは200℃以下と低温である。また、200℃程度の温度で基板に対して複数の処理ガスを同時に供給して膜を形成した場合であっても一定の膜厚(a)までは非晶質のW層(A)を形成できることを見出した。さらに、基板に対してW含有ガスおよび不純物を含む還元ガスを時分割して供給して膜を形成することにより、250℃以下であって好ましくは200℃以下の低温でラフネスが小さい非晶質のW層(B)を形成できることを見出した。そして、非晶質のW層(A)の上に、非晶質のW層(B)を形成し、さらに非晶質のW層(A)を重ねて形成することにより、一定の膜厚(a)より厚い膜厚を有する非晶質のW膜を形成することができることを見出した。すなわち、非晶質のW層(A)の間に非晶質のW層(B)を挟む(非晶質のW層(A)と非晶質のW層(B)をラミネート(積層)する)ことにより、所望の膜厚を有する非晶質のW膜を形成することができることを見出した。
したがって、非晶質のW層(A)と非晶質のW層(B)とを組み合わせて所望の膜厚を有する非晶質のW膜を形成し、その上に結晶化したW層を形成することにより、200℃以下の低温処理により、ラフネスが小さく、かつ抵抗率が低く結晶化したW膜を、開口部が狭い極細溝へ形成することができる。詳細は以下に説明する。
<本発明の第1の実施形態> 以下、本発明の第1の実施形態について図1および図2を用いて説明する。基板処理装置10は、半導体装置(デバイス)の製造工程の一工程である基板処理工程において使用される装置の一例として構成されている。
(1)処理炉の構成 処理炉202には加熱手段(加熱機構、加熱系)としてのヒータ207が設けられている。ヒータ207は上方が閉塞された円筒形状に形成されている。
ヒータ207の内側には、ヒータ207と同心円状に反応容器(処理容器)を構成する反応管203が配設されている。反応管203は耐熱性材料等(例えば石英(SiO)または炭化シリコン(SiC))からなり、上端が閉塞し下端が開口した円筒形状に形成されている。
反応管203の下端には、ステンレス等の金属材料からなるマニホールド209が取り付けられている。マニホールド209は筒状に形成され、その下端開口は、ステンレス等の金属材料からなる蓋体としてのシールキャップ219により気密に閉塞される。反応管203とマニホールド209との間、および、マニホールド209とシールキャップ219との間には、それぞれシール部材としてのOリング220が設けられている。主に、反応管203、マニホールド209およびシールキャップ219により処理容器が構成され、この処理容器の内部に処理室201が形成される。処理室201は、基板としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段に整列した状態で収容可能なように構成されている。
シールキャップ219の処理室201と反対側には、ボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は、反応管203の外部に垂直に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ボート217を処理室201内外に搬入および搬出することが可能なように構成されている。すなわち、ボートエレベータ115は、ボート217すなわちウエハ200を、処理室201内外に搬送する搬送装置(搬送機構)として構成されている。
基板保持具としてのボート217は、複数、例えば25〜200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で垂直方向に整列させて多段に支持するように、すなわち、間隔を空けて配列させるように構成されている。ボート217は、耐熱性材料等(例えば石英やSiC)からなる。ボート217の下部には、耐熱性材料等(例えば石英やSiC)からなる断熱板218が水平姿勢で多段に支持されている。この構成により、ヒータ207からの熱がシールキャップ219側に伝わりにくくなっている。ただし、本実施形態は上述の形態に限定されない。例えば、ボート217の下部に断熱板218を設けずに、石英やSiC等の耐熱性材料からなる筒状の部材として構成された断熱筒を設けてもよい。ヒータ207は処理室201内に収容されたウエハ200を所定の温度に加熱することができる。
処理室201内には、ノズル410,420,430がマニホールド209の側壁を貫通するように設けられている。ノズル410,420,430には、ガス供給ラインとしてのガス供給管310,320,330が、それぞれ接続されている。このように、処理炉202には3本のノズル410,420,430と、3本のガス供給管310,320,330とが設けられており、処理室201内へ複数種類、ここでは3種類のガス(処理ガス)をそれぞれ専用ラインで供給することができるように構成されている。
ガス供給管310,320,330には上流側から順に流量制御器(流量制御部)であるマスフローコントローラ(MFC)312,322,332,および開閉弁であるバルブ314,324,334がそれぞれ設けられている。ガス供給管310,320,330の先端部にはノズル410,420,430がそれぞれ連結(接続)されている。ノズル410,420,430は、L字型のロングノズルとして構成されており、その水平部はマニホールド209の側壁を貫通するように設けられている。ノズル410,420,430の垂直部は、反応管203の内壁とウエハ200との間に形成される円環状の空間に、反応管203の内壁に沿って上方(ウエハ200の積載方向上方)に向かって立ち上がるように(つまりウエハ配列領域の一端側から他端側に向かって立ち上がるように)設けられている。すなわち、ノズル410,420,430は、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うように設けられている。
ノズル410,420,430の側面にはガスを供給する(噴出させる)ガス供給孔410a,420a,430aがそれぞれ設けられている。ガス供給孔410a,420a,430aは反応管203の中心を向くようにそれぞれ開口している。このガス供給孔410a,420a,430aは、反応管203の下部から上部にわたって複数設けられ、それぞれ同一の開口面積を有し、さらに同じ開口ピッチで設けられている。
このように、本実施形態におけるガス供給の方法は、反応管203の内壁と、積載された複数枚のウエハ200の端部とで定義される円環状の縦長の空間内、すなわち、円筒状の空間内に配置したノズル410,420,430を経由してガスを搬送し、ノズル410,420,430にそれぞれ開口されたガス供給孔410a,420a,430aからウエハ200の近傍で初めて反応管203内にガスを噴出させており、反応管203内におけるガスの主たる流れをウエハ200の表面と平行な方向、すなわち水平方向としている。このような構成とすることで、各ウエハ200に均一にガスを供給でき、各ウエハ200に形成される薄膜の膜厚を均一にできる効果がある。なお、各ウエハ200の表面上を流れたガス、すなわち、反応後に残留するガス(残ガス)は、排気口、すなわち、後述する排気管231の方向に向かって流れるが、この残ガスの流れの方向は、排気口の位置によって適宜特定され、垂直方向に限ったものではない。
また、ガス供給管310,320,330にはキャリアガスを供給するためのキャリアガス供給管510,520,530がそれぞれ接続されている。キャリアガス供給管510,520,530にはMFC512,522,532およびバルブ514,524,534がそれぞれ設けられている。
上記構成における一例として、ガス供給管310からは、処理ガスとして、金属元素を含む原料ガス(金属含有原料、金属含有ガス、金属原料)が、MFC312,バルブ314,ノズル410を介して処理室201内に供給される。原料ガスとしては、例えば金属元素としてのタングステン(W)を含むW含有原料ガスである六フッ化タングステン(WF)ガスが用いられる。WFガスは、後述する基板処理ステップにおいて、Wソースとして作用する。
ガス供給管320からは、処理ガスとして、原料ガスを還元する作用を有する第2の還元ガスが、MFC322,バルブ324,ノズル420を介して処理室201内に供給される。第2の還元ガスとしては、水素(H)を含むH含有ガスであって、例えば水素(H)が用いられる。Hガスは、後述する基板処理ステップにおいて、Hソースとして作用する。
ガス供給管330からは、処理ガスとして、原料ガスを還元する作用を有する第1の還元ガスが、MFC332,バルブ334,ノズル430を介して処理室201内に供給される。第1の還元ガスとしては、ホウ素(B)を含むB含有ガスであって、例えばジボラン(B)が用いられる。Bガスは、後述する基板処理ステップにおいて、Bソースとして作用する。
キャリアガス供給管510,520,530からは、不活性ガスとして、例えば窒素(N)ガスが、それぞれMFC512,522,532,バルブ514,524,534,ノズル410,420,430を介して処理室201内に供給される。
ここで、本明細書において、処理ガス、原料ガス、還元ガスとは、気体状態の原料や還元剤、例えば、常温常圧下で液体状態もしくは固体状態である原料や還元剤を気化もしくは昇華することで得られるガスや、常温常圧下で気体状態である原料や還元剤等のことである。本明細書において「原料」という言葉を用いた場合は、「液体状態である液体原料」、「固体状態である固体原料」、「気体状態である原料ガス」、または、その複合を意味する場合がある。本明細書において「還元剤」という言葉を用いた場合は、「液体状態である液体還元剤」、「固体状態である固体還元剤」、「気体状態である還元ガス」、または、その複合を意味する場合がある。常温常圧下で液体状態である液体原料等や常温常圧下で固体状態である固体原料等を用いる場合は、液体原料等や固体原料等を気化器、バブラもしくは昇華器等のシステムにより気化もしくは昇華して、原料ガスや還元ガスとして供給することとなる。
ガス供給管310,320,330から上述のような処理ガスを流す場合、主に、ガス供給管310,320,330,MFC312,322,332,バルブ314,324,334により処理ガス供給系が構成される。ノズル410,420,430を処理ガス供給系に含めて考えてもよい。処理ガス供給系を、単にガス供給系と称することもできる。
ガス供給管310から上述のような原料ガスを流す場合、主に、ガス供給管310,MFC312,バルブ314により原料ガス供給系が構成される。ノズル410を原料ガス供給系に含めて考えてもよい。原料ガス供給系を原料供給系と称することもできる。
ガス供給管310から原料ガスとしてW含有ガスを流す場合、主に、ガス供給管310,MFC312,バルブ314によりW含有ガス供給系が構成される。ノズル410をW含有ガス供給系に含めて考えてもよい。W含有ガス供給系をW含有原料供給系と称することもでき、単にW原料供給系と称することもできる。ガス供給管310からWFガスを流す場合、W含有ガス供給系をWFガス供給系と称することもできる。WFガス供給系をWF供給系と称することもできる。
ガス供給管320,330から上述のような還元ガスを流す場合、主に、ガス供給管320,330,MFC322,332,バルブ324,334により還元ガス供給系が構成される。ノズル420,430を還元ガス供給系に含めて考えてもよい。還元ガス供給系を還元剤供給系と称することもできる。
ガス供給管320から還元ガスとしてH含有ガスを流す場合、主に、ガス供給管320,MFC322,バルブ324によりH含有ガス供給系が構成される。ノズル420をH含有ガス供給系に含めて考えてもよい。ガス供給管320からHガスを流す場合、H含有ガス供給系をHガス供給系と称することもできる。Hガス供給系をH供給系と称することもできる。
ガス供給管330から還元ガスとしてB含有ガスを流す場合、主に、ガス供給管330、MFC332、バルブ334によりB含有ガス供給系が構成される。ノズル430をB含有ガス供給系に含めて考えてもよい。B含有ガス供給系をB含有還元ガス供給系と称することもでき、B含有還元剤供給系と称することもできる。ガス供給管330からBガスを流す場合、B含有ガス供給系をBガス供給系と称することもできる。Bガス供給系をB供給系と称することもできる。
また、主に、キャリアガス供給管510,520,530,MFC512,522,532,バルブ514,524,534によりキャリアガス供給系が構成される。キャリアガスとして不活性ガスを流す場合、キャリアガス供給系を不活性ガス供給系と称することもできる。この不活性ガスは、パージガスとしても作用することから不活性ガス供給系をパージガス供給系と称することもできる。
マニホールド209には、処理室201内の雰囲気を排気する排気管231が設けられている。排気管231は、ノズル410,420,430と同様に、マニホールド209の側壁を貫通するように設けられている。排気管231は、図2に示すように、平面視において、ウエハ200を挟んでノズル410,420,430と対向する位置に設けられている。この構成により、ガス供給孔410a,420a,430aから処理室201内のウエハ200の近傍に供給されたガスは、水平方向、すなわちウエハ200の表面と平行な方向に向かって流れた後、下方に向かって流れ、排気管231より排気されることとなる。処理室201内におけるガスの主たる流れが水平方向へ向かう流れとなるのは上述の通りである。
排気管231には、上流側から順に、処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245,処理室201内の圧力を制御する圧力制御器(圧力制御部)としてのAPC(Auto Pressure Controller)バルブ243,真空排気装置としての真空ポンプ246が接続されている。APCバルブ243は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて弁開度を調節することで、処理室201内の圧力を調整することができるように構成されている。APCバルブ243は、排気系の排気流路の一部を構成しており、圧力調整部として機能するだけではなく、排気系の排気流路を閉塞したり、さらには、密閉したりすることが可能な排気流路開閉部、すなわち、排気バルブとしても機能する。また、排気管231には、排気ガス中の反応副生成物や未反応の原料ガス等を捕捉するトラップ装置や排気ガス中に含まれる腐食性成分や有毒成分等を除害する除害装置が接続されている場合がある。主に、排気管231,APCバルブ243,圧力センサ245により、排気系すなわち排気ラインが構成される。なお、真空ポンプ246を排気系に含めて考えてもよい。さらには、トラップ装置や除害装置を排気系に含めて考えてもよい。
反応管203内には温度検出器としての温度センサ263が設置されており、温度センサ263により検出された温度情報に基づきヒータ207への通電量を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。温度センサ263は、ノズル410,420,430と同様にL字型に構成されており、反応管203の内壁に沿って設けられている。
図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(RandomAccess Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、タッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、フラッシュメモリ、HDD(HardDisk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ121に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単にプログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC312,322,332,512,522,532,バルブ314,324,334,514,524,534,APCバルブ243,圧力センサ245,真空ポンプ246,ヒータ207,温度センサ263,回転機構267,ボートエレベータ115等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。CPU121aは、読み出したプロセスレシピに従って、MFC312,322,332,512,522,532による各種ガスの流量調整動作、バルブ314,324,334,514,524,534の開閉動作、APCバルブ243の開閉動作およびAPCバルブ243による圧力センサ245に基づく圧力調整動作、温度センサ263に基づくヒータ207の温度調整動作、真空ポンプ246の起動および停止、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
コントローラ121は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123を用意し、この外部記憶装置123を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態のコントローラ121を構成することができる。ただし、コンピュータにプログラムを供給するための手段は、外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにしてもよい。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。
(2)基板処理工程 半導体装置(デバイス)の製造工程の一工程として、基板上に、例えばゲート電極を構成する金属膜を形成する工程の一例について図4、図5、および図6を用いて説明する。金属膜を形成する工程は、上述した基板処理装置10の処理炉202を用いて実行される。以下の説明において、基板処理装置10を構成する各部の動作はコントローラ121により制御される。
図4には本実施形態を適用するスタック構造の例を示している。図4では、シリコン酸化膜(SiO膜)501の上に、バリアメタル膜として例えばチタン窒化膜(TiN膜)502を形成し、TiN膜502の上に、シード層として例えばタングステン膜(W膜)503を形成し、W膜503の上にバルク層として例えば、非晶質のタングステン層(アモルファスW層、α−W層)504、505を交互に積層して非晶質のタングステン膜(アモルファスW膜、α−W膜)を形成し、さらに結晶化したタングステン層(W層)506を形成することにより、結晶化したタングステン膜(W膜)を形成する例について示している。以下では、主に、バルク層としてタングステン膜(W膜)を形成する際に用いる成膜シーケンス(単にシーケンスとも称する)について説明する。
本実施形態の好適なシーケンスでは、 ウエハ200に対して、金属含有ガス(例えばWFガス)と第1の還元ガス(例えばHガス)とを同時に供給して、ウエハ200上に第1の非晶質金属層(例えばW層)を形成する工程と、 第1の非晶質金属層が形成された基板に対して、金属含有ガスと第2の還元ガス(例えばBガス)とを時分割して(非同期、間欠的、パルス的に)所定回数供給して、第1の非晶質金属層の上に第2の非晶質金属層(例えばW層)を形成する工程と、 を時分割して所定回数行うことによりウエハ200上に非晶質金属膜(例えばW膜)を形成する工程と、 非晶質金属膜が形成されたウエハ200に対して、金属含有ガスと第1の還元ガスとを同時に供給して、ウエハ200上に形成された非晶質金属膜の上に結晶化した金属層(例えばW層)を形成する工程と、を行う。
具体的には図5に示すシーケンスのように、 WFガスとHガスとを同時に供給して、第1の非晶質W層(アモルファスW層、α−W層とも称する)を形成するステップと、 WFガスとBガスとを時分割して所定回数(n回)供給して、第1のα−W層の上に第2のα−W層を形成するステップと、 を時分割して所定回数(n回)行うことによりウエハ200上に非晶質W膜(アモルファスW膜、α−W膜とも称する)を形成するステップと、 WFガスとHガスとを同時に供給して、α−W膜の上に結晶化したW層を形成する。
本明細書において、「処理(もしくは工程、サイクル、ステップ等と称する)を所定回数行う」とは、この処理等を1回もしくは複数回行うことを意味する。すなわち、処理を1回以上行うことを意味する。図5は、各処理(サイクル)をnサイクル、nサイクルずつ交互に繰り返す例を示している。nの値は、次に形成される第1のα−W層が結晶化しないために必要とされる第2のα−W層の膜厚に応じて適宜選択される。nの値は、最終的に形成されるα−W膜において必要とされる膜厚に応じて適宜選択される。
なお、本明細書において「時分割」とは時間的に分割(セパレート)されていることを意味している。例えば、本明細書において、各処理を時分割して行うとは、各処理を非同期、すなわち同期させることなく行うことを意味している。言い換えると、各処理を間欠的(パルス的)かつ交互に行うことを意味している。つまり、各処理で供給される処理ガスは、互いに混合しないように供給されることを意味している。各処理を複数回行う場合は、各処理で供給される処理ガスは、互いに混合しないよう交互に供給される。
また、本明細書において「ウエハ」という言葉を用いた場合は、「ウエハそのもの」を意味する場合や、「ウエハとその表面に形成された所定の層や膜等との積層体(集合体)」を意味する場合、すなわち、表面に形成された所定の層や膜等を含めてウエハと称する場合がある。また、本明細書において「ウエハの表面」という言葉を用いた場合は、「ウエハそのものの表面(露出面)」を意味する場合や、「ウエハ上に形成された所定の層や膜等の表面、すなわち、積層体としてのウエハの最表面」を意味する場合がある。
従って、本明細書において「ウエハに対して所定のガスを供給する」と記載した場合は、「ウエハそのものの表面(露出面)に対して所定のガスを直接供給する」ことを意味する場合や、「ウエハ上に形成されている層や膜等に対して、すなわち、積層体としてのウエハの最表面に対して所定のガスを供給する」ことを意味する場合がある。また、本明細書において「ウエハ上に所定の層(又は膜)を形成する」と記載した場合は、「ウエハそのものの表面(露出面)上に所定の層(又は膜)を直接形成する」ことを意味する場合や、「ウエハ上に形成されている層や膜等の上、すなわち、積層体としてのウエハの最表面の上に所定の層(又は膜)を形成する」ことを意味する場合がある。
なお、本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同様であり、その場合、上記説明において、「ウエハ」を「基板」に置き換えて考えればよい。
また、本明細書において「金属膜(メタル膜)」という用語は、金属原子を含む導電性の物質で構成される膜(単に導体膜とも称する)を意味し、これには、主に金属原子のみで構成される金属単体膜、導電性の金属窒化膜(メタルナイトライド膜)、導電性の金属酸化膜(メタルオキサイド膜)、導電性の金属酸窒化膜(メタルオキシナイトライド膜)、導電性の金属酸炭化膜(メタルオキシカーバイド膜)、導電性の金属複合膜、導電性の金属合金膜、導電性の金属シリサイド膜(メタルシリサイド膜)、導電性の金属炭化膜(メタルカーバイド膜)、導電性の金属炭窒化膜(メタルカーボナイトライド膜)等が含まれる。なお、W膜は導電性の金属膜であって金属単体膜である。
また、本明細書において「非晶質(アモルファス)の膜(もしくは層)」という用語は、対応する膜(層)を構成する主たる成分が結晶化していないことを意味しており、「結晶化した膜(もしくは層)」という用語は、対応する膜(層)を構成する主たる成分が結晶化している(結晶質である)ことを意味する。したがって、「非晶質の膜(もしくは層)」という場合には、主たる成分とならない程度に結晶化した成分が含まれている場合があるし、「結晶化した膜(もしくは層)」という場合には、主たる成分とならない程度に非晶質の成分が含まれている場合がある。また、膜種名等にαもしくはaが付けられている場合、非晶質であることを示している。
(ウエハチャージおよびボートロード) 複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示されているように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)される。この状態で、シールキャップ219はOリング220を介してマニホールド209の下端開口を閉塞した状態となる。
(圧力調整および温度調整) 処理室201内が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力情報に基づき、APCバルブ243がフィードバック制御される(圧力調整)。真空ポンプ246は、少なくともウエハ200に対する処理が完了するまでの間は常時作動させた状態を維持する。また、処理室201内のウエハ200が所望の温度となるようにヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電量がフィードバック制御される(温度調整)。なお、ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が完了するまでの間は継続して行われる。続いて、回転機構267によりボート217およびウエハ200の回転を開始する。なお、回転機構267によるボート217およびウエハ200の回転は、少なくとも、ウエハ200に対する処理が完了するまでの間は継続して行われる。
(α−W膜形成ステップ) 続いて、バルク層を形成するα−W膜を形成するステップを実行する。α−W膜形成ステップは、以下に説明するα−W層504としての第1のα−W層を形成する第1のα−W層形成ステップ、およびα−W層505としての第2のα−W層を形成する第2のα−W層形成ステップを含む。
(第1のα−W層形成ステップ) 第1のα−W層(非晶質のW層)を形成するステップを実行する。第1のα−W層形成ステップは、以下に説明するWFガスおよびHガス供給ステップ、残留ガス除去ステップを含む。
(WFガスおよびHガス供給ステップ) バルブ314,324を開き、ガス供給管310,320内にそれぞれWFガス、Hガスを流す。ガス供給管310内を流れたWFガスおよびガス供給管320内を流れたHガスは、MFC312,322によりそれぞれ流量調整されてノズル410,420のガス供給孔410a,420aからそれぞれ処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してWFガスおよびHガスが供給されることとなる。すなわちウエハ200の表面はWFガスおよびHガスに暴露されることとなる。このとき同時にバルブ514,524を開き、キャリアガス供給管510,520内にそれぞれNガスを流す。キャリアガス供給管510,520内を流れたNガスは、MFC512,522によりそれぞれ流量調整されてWFガスもしくはHガスと一緒にそれぞれ処理室201内に供給され、排気管231から排気される。このとき、ノズル430内へのWFガスおよびHガスの侵入を防止するために、バルブ534を開き、キャリアガス供給管530内にNガスを流す。Nガスは、ガス供給管330,ノズル430を介して処理室201内に供給され、排気管231から排気される。
このときAPCバルブ243を適正に調整して、処理室201内の圧力を、例えば10〜1300Paの範囲内の圧力であって、例えば70Paとする。MFC312で制御するWFガスの供給流量は、例えば10〜1000sccmの範囲内の流量であって、例えば100sccmとし、MFC322で制御するHガスの供給流量は、例えば100〜20000sccmの範囲内の流量であって、例えば10000sccmとする。MFC512,522,532で制御するNガスの供給流量は、それぞれ例えば10〜10000sccmの範囲内の流量であって、例えば5000sccmとする。WFガスおよびHガスをウエハ200に対して供給する時間、すなわちガス供給時間(照射時間)は、例えば1〜1000秒の範囲内の時間とする。このときヒータ207の温度は、ウエハ200の温度が、例えば室温〜250℃の範囲内の温度となるような温度であって、好ましくは150〜230℃の範囲内の温度であって、例えば200℃に設定する。なお、ウエハ200の温度が室温未満である場合、膜を形成するための反応エネルギーが足りず、膜が形成されない可能性が高いという阻害要因がある。また、ウエハ200の温度が250℃より高い場合、第2のα−W層形成ステップのBガス供給ステップで供給するBガスが自己分解してBが堆積してしまい、成膜の阻害要因となる可能性が高い。
処理室201内に流しているWFガスおよびHガスは、気相中で反応(気相反応)もしくは基板表面で反応し、ウエハ200(表面の下地膜、例えばシード層503)上に、第1のα−W層が形成される。ここで、α−W層とは、非晶質のWにより構成される連続的な層の他、不連続な層や、これらが重なってできる非晶質のW層であり、α−W層にはWF分子に含まれるFが含まれる場合もある。WFガスおよびHガスの供給流量、供給時間等のプロセス条件を制御(調整、コントロール)することにより、所望の膜厚までα−W層を成長させることができる。
気相反応の場合、膜の結晶化は膜の厚みに依存する。そこで、第1のα−W層形成ステップでは、W膜の結晶化が起こる膜厚となる前に、WFガスおよびHガスの供給を停止する。例えばW膜の場合、結晶化が起こらない膜厚としては、0nmよりも大きく、3nm以下が望ましい。好ましくは、0.1nm以上3nm以下とする。
(残留ガス除去ステップ) 所定膜厚の第1のα−W層が形成された後、バルブ314,324を閉じ、WFガスおよびHガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内(すなわち、第1のα−W層が形成されたウエハ200が存在する空間)に残留する未反応もしくは第1のα−W層の形成に寄与した後のWFガスおよびHガスを処理室201内から排除する。このときバルブ514,524,534は開いたままとして、Nガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、処理室201内に残留する未反応もしくは第1のα−W層の形成に寄与した後のWFガスおよびHガスを処理室201内から排除する効果を高めることができる。このとき、第1のα−W層形成ステップにより処理室201内に副生成物が生じていた場合、この副生成物も処理室201内から排除される。
このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。その後に行われるステップにおいて悪影響が生じることはない程度であれば、微量のガスが処理室201内に残留していたとしてもよい。また、処理室201内へ供給するNガスの流量を大流量とする必要はなく、例えば、反応管203(処理室201)の容積と同程度の量のNガスを供給することで、その後のステップにおいて悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、Nガスの消費も必要最小限に抑えることが可能となる。
(第2のα−W層形成ステップ) 続いて、第2のα−W層を形成するステップを実行する。第2のα−W層形成ステップは、以下に説明するWFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス供給ステップを含む。
(WFガス供給ステップ) バルブ314を開き、ガス供給管310内にWFガスを流す。ガス供給管310内を流れたWFガスは、MFC312により流量調整されてノズル410のガス供給孔410aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してWFガスが供給されることとなる。すなわちウエハ200の表面はWFガスに暴露されることとなる。このとき同時にバルブ514を開き、キャリアガス供給管510内にNガスを流す。キャリアガス供給管510内を流れたNガスは、MFC512により流量調整されてWFガスと一緒に処理室201内に供給され、排気管231から排気される。このとき、ノズル420,430内へのWFガスの侵入を防止するために、バルブ524,534を開き、キャリアガス供給管520,530内にNガスを流す。Nガスは、ガス供給管320,330,ノズル420,430を介して処理室201内に供給され、排気管231から排気される。
このときAPCバルブ243を適正に調整して、処理室201内の圧力を、例えば10〜1300Paの範囲内の圧力であって、例えば70Paとする。MFC312で制御するWFガスの供給流量は、例えば10〜1000sccmの範囲内の流量であって、例えば100sccmとする。MFC512,522,532で制御するNガスの供給流量は、それぞれ例えば10〜10000sccmの範囲内の流量であって、例えば5000sccmとする。WFガスをウエハ200に対して供給する時間、すなわちガス供給時間(照射時間)は、例えば0.1〜50秒の範囲内の時間とする。このときヒータ207の温度は、第1のα−W層形成ステップと同様の温度に設定する。処理室201内に流しているガスはWFガスとNガスのみであり、WFガスの供給により、ウエハ200の上に形成された第1のα−W層上に、例えば、1原子層未満から数原子層程度の厚さのα−W含有層が形成される。
α−W含有層は理想的にはα−W層であることが望ましいが、α−W(F)層が主たる要素となる場合がある。なお、α−W層はα−Wにより構成される連続的な層の他、不連続な層も含む。すなわち、α−W層はα−Wにより構成される1原子層未満から数原子層程度の厚さのW堆積層を含む。α−W(F)層はFを含むW含有層であって、Fを含むα−W層であってもよいし、WFの吸着層であってもよい。なお、主たる要素がα−W(F)層となる場合に、特に、後述のBガス供給ステップによる還元反応が有効となる。
Fを含むW層とは、Wにより構成されFを含む連続的な層の他、不連続な層や、これらが重なってできるFを含むW薄膜をも含む総称である。Wにより構成されFを含む連続的な層を、Fを含むW薄膜という場合もある。Fを含むW層を構成するWは、Fとの結合が完全に切れていないものの他、Fとの結合が完全に切れているものも含む。
WFの吸着層は、WF分子で構成される連続的な吸着層の他、不連続な吸着層をも含む。すなわち、WFの吸着層は、WF分子で構成される1分子層もしくは1分子層未満の厚さの吸着層を含む。WFの吸着層を構成するWF分子は、WとFとの結合が一部切れたものも含む。すなわち、WFの吸着層は、WFの物理吸着層であってもよいし、WFの化学吸着層であってもよいし、その両方を含んでいてもよい。
ここで、1原子層未満の厚さの層とは不連続に形成される原子層のことを意味しており、1原子層の厚さの層とは連続的に形成される原子層のことを意味している。1分子層未満の厚さの層とは不連続に形成される分子層のことを意味しており、1分子層の厚さの層とは連続的に形成される分子層のことを意味している。α−W含有層は、Fを含むW層とWFの吸着層との両方を含み得る。但し、上述の通り、α−W含有層については「1原子層」、「数原子層」等の表現を用いて表すこととする。
WFガスが自己分解(熱分解)する条件下、すなわち、WFガスの熱分解反応が生じる条件下では、ウエハ200上にWが堆積することでFを含むW層が形成される。WFガスが自己分解(熱分解)しない条件下、すなわち、WFガスの熱分解反応が生じない条件下では、ウエハ200上にWFが吸着することでWFの吸着層が形成される。ウエハ200上にWFの吸着層を形成するよりも、ウエハ200上にFを含むW層を形成する方が、成膜レートを高くすることができる点では、好ましい。
W含有層の厚さが数原子層を超えると、後述するBガス供給ステップでの還元の作用がα−W含有層の全体に届かなくなる。また、α−W含有層の厚さの最小値は1原子層未満である。よって、第1の層の厚さは1原子層未満から数原子層とするのが好ましい。α−W含有層の厚さを1原子層以下、すなわち、1原子層または1原子層未満とすることで、後述するBガス供給ステップでの還元反応の作用を相対的に高めることができ、Bガス供給ステップでの還元反応に要する時間を短縮することができる。WF供給ステップでのα−W含有層の形成に要する時間を短縮することもできる。結果として、1サイクルあたりの処理時間を短縮することができ、トータルでの処理時間を短縮することも可能となる。すなわち、成膜レートを高くすることも可能となる。また、α−W含有層の厚さを1原子層以下とすることで、膜厚均一性の制御性を高めることも可能となる。
(残留ガス除去ステップ) 所定膜厚のα−W含有層が形成された後、バルブ314,324を閉じ、WFガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくはα−W含有層の形成に寄与した後のWFガスを処理室201内から排除する。すなわち、α−W含有層が形成されたウエハ200が存在する空間に残留する未反応もしくはα−W含有層の形成に寄与した後のWFガスを除去する。このときバルブ514,524,534は開いたままとして、Nガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、処理室201内に残留する未反応もしくはα−W含有層の形成に寄与した後のWFガスを処理室201内から排除する効果を高めることができる。
このとき、WFガス供給ステップ後の残留ガス除去ステップと同様に、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。
(Bガス供給ステップ) バルブ334を開き、ガス供給管330内にBガスを流す。ガス供給管330内を流れたBガスは、MFC332により流量調整されてノズル430のガス供給孔430aから処理室201内に供給され、排気管231から排気される。このとき、ウエハ200に対してBガスが供給されることとなる。すなわちウエハ200の表面はBガスに暴露されることとなる。このとき同時にバルブ534を開き、キャリアガス供給管530内にNガスを流す。キャリアガス供給管530内を流れたNガスは、MFC532により流量調整されてBガスと一緒に処理室201内に供給され、排気管231から排気される。このとき、ノズル410,420内へのBガスの侵入を防止するために、バルブ514,524を開き、キャリアガス供給管510,520内にNガスを流す。Nガスは、ガス供給管310,320,ノズル410,420を介して処理室201内に供給され、排気管231から排気される。
このときAPCバルブ243を適正に調整して、処理室201内の圧力を、例えば10〜1300Paの範囲内の圧力であって、例えば70Paとする。MFC332で制御するBガスの供給流量は、例えば10〜20000sccmの範囲内の流量であって、例えば10000sccmとする。MFC512,522,532で制御するNガスの供給流量は、それぞれ例えば10〜10000sccmの範囲内の流量であって、例えば5000sccmとする。Bガスをウエハ200に対して供給する時間、すなわちガス供給時間(照射時間)は、例えば0.1〜60秒の範囲内の時間とする。このときヒータ207の温度は、第1のα−W層形成ステップおよびWFガス供給ステップと同様の温度に設定する。処理室201内に流しているガスはBガスとNガスのみであり、Bガスの供給により、WFガス供給ステップでウエハ200の上に形成されたα−W含有層を還元して第2のα−W層が形成される。すなわち、BガスのHが、WFガス供給ステップで形成されたα−W含有層に含まれるFと反応しフッ化水素(HF)となって還元される。このとき、Bガスの残留成分であるホウ素(B)の少なくとも一部が、残留物として第2のα−W層の中に残留することがある。したがって、第2のα−W層の少なくとも一部が、α−W(B)層、すなわちBを含むα−W層となる場合がある。このように、α−W層にBが不純物として残留することにより、形成されたα−W(B)層が非晶質状態となると考えられる。したがって、その点ではα−W層にBが不純物として残留することは好ましい。
(残留ガス除去ステップ) 続いて、WFガス供給ステップ後の残留ガス除去ステップと同様の処理により、処理室201内に残留する未反応もしくは第2のα−W層の形成に寄与した後のBガスや副生成物を処理室201内から排除する。すなわち第2のα−W層が形成されたウエハ200が存在する空間に残留する未反応もしくは第2のα−W層の形成に寄与した後のBガスや副生成物を除去する。
(所定回数実施) 上述したWFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス除去ステップを順に時分割して(非同期、間欠的、パルス的に)行うサイクルを1回以上(所定回数)行うことにより、すなわち、WFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス除去ステップを1サイクルとして、これらの処理をnサイクル(nは1以上の整数)だけ実行することにより、ウエハ200上に、所定の厚さ(例えば0.1〜2.0nm)の第2のα−W層を形成する。所定の厚さは、次に第1のα−W層形成ステップを行う際に、第2のα−W層の上に形成するW層が結晶化せずに非晶質であるW層(第1のα−W層)として形成可能となるために必要な膜厚を考慮して決定する。上述のステップは、複数回繰り返すのが好ましい。なお、第2のα−W膜形成ステップでは、WFガス供給ステップおよびBガス供給ステップの順番を入れ替えてもよい。すなわち、Bガス供給ステップ、残留ガス除去ステップ、WFガス供給ステップ、残留ガス除去ステップの順に各ステップを実施してもよい。
(所定回数実施) 上述した第1のα−W層形成ステップ、第2のα−W層形成ステップを、時分割してn回(nは1以上の整数)だけ実行することにより、ウエハ200上に、第1のα−W層と第2のα−W層とがナノレベルで交互に積層されてなる積層膜(ナノラミネート膜)として構成される所定の厚さのα−W膜を形成する。上述のステップは複数回繰り返すのが好ましい。
(結晶化W層形成ステップ) 続いて、結晶化したW層(結晶化W層)を形成するステップを実行する。結晶化W層形成ステップは、α−W層形成ステップの第1のα−W層形成ステップにおけるWFガスおよびHガス供給ステップおよび残留ガス除去ステップと同様のステップを含む。以下では、第1のα−W層形成ステップと異なる部分についてのみ説明する。
(WFガスおよびHガス供給ステップ) 本ステップでは、第1のα−W層形成ステップにおけるWFガスおよびHガス供給ステップとは、WFガスおよびHガスの供給流量および供給時間のうち少なくともいずれかを変える。具体的には、MFC312で制御するWFガスの供給流量は、例えば10〜1000sccmの範囲内の流量であって、例えば100sccmとし、MFC322で制御するHガスの供給流量は、例えば10〜20000sccmの範囲内の流量であって、例えば10000sccmとする。MFC512,522,532で制御するNガスの供給流量は、それぞれ例えば10〜10000sccmの範囲内の流量であって、例えば5000sccmとする。WFガスおよびHガスをウエハ200に対して供給する時間、すなわちガス供給時間(照射時間)は、例えば0.1〜1000秒の範囲内の時間とする。このようにして、ウエハ200上に形成されたα−W膜の上に結晶化W層が形成される。
上述のように、気相反応の場合、膜の結晶化は膜の厚みに依存する。そこで、第1のα−W層形成ステップとは、WFガスおよびHガスの供給流量および供給時間のうち少なくともいずれかを変えることにより、結晶化W層を形成する。結晶化W層は、3nmより厚い膜であって、α−W膜が(逆)固相反応により結晶化するために必要とされる膜厚となるまで形成する。そのような膜厚とすることにより、結晶化したW層の影響が下のα−W層まで及び、徐々にα−W層が結晶化されていくと考えられる。このとき、α−W層のうち上層部のみが結晶化W層の影響を受けて結晶化することも考えられるし、実質的にα−W層の全てが結晶化することも考えられる。結晶化の影響を受ける領域の範囲は、形成される結晶化W層の膜厚に起因して決まることが考えられる。
(残留ガス除去ステップ) 所定膜厚の結晶化W層が形成された後、バルブ314,324を閉じ、WFガスおよびHガスの供給を停止する。このとき、APCバルブ243は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは結晶化W層の形成に寄与した後のWFガスおよびHガスを処理室201内から排除する。すなわち、結晶化W層が形成されたウエハ200が存在する空間に残留する未反応もしくは結晶化W層の形成に寄与した後のWFガスおよびHガスを除去する。このときバルブ514,524,534は開いたままとして、Nガスの処理室201内への供給を維持する。Nガスはパージガスとして作用し、処理室201内に残留する未反応もしくは結晶化W層の形成に寄与した後のWFガスおよびHガスを処理室201内から排除する効果を高めることができる。
(パージおよび大気圧復帰) 所定膜厚の結晶化W層がウエハ200上に形成された後、バルブ514,524,534を開いたままで、ガス供給管510,520,530のそれぞれからNガスを処理室201内へ供給し、排気管231から排気する。Nガスはパージガスとして作用し、これにより処理室201内が不活性ガスでパージされ、処理室201内に残留するガスや副生成物が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(ボートアンロードおよびウエハディスチャージ) ボートエレベータ115によりシールキャップ219が下降されて、マニホールド209の下端が開口される。そして、処理済ウエハ200がボート217に支持された状態でマニホールド209の下端から処理室201の外部に搬出(ボートアンロード)される。処理済のウエハ200は、ボート217より取り出される(ウエハディスチャージ)。
(3)本実施形態による効果 本実施形態によれば、以下に示す1つまたは複数の効果が得られる。
本実施形態においては、基板に対して複数の処理ガスを同時に供給して膜を形成した場合、ある一定の膜厚まではその膜は非晶質状態で成長し(非晶質のW膜(A)としての第1のα−W層)、ある一定の膜厚を超えると結晶化すること、および、基板に対して複数の処理ガスを時分割して供給して層を形成する際、不純物が残留することにより、形成された層が非晶質状態となる(非晶質のW膜(B)としての第2のα−W層)ことを踏まえて、第1のα−W層と第2のα−W層を組み合わせて所望の膜厚を有する非晶質のW膜としてのα−W膜を形成することにより、250℃以下であって好ましくは200℃以下の低温処理によりα−W膜を形成することができる。
さらに、α−W膜の上に、基板に対して複数の処理ガスを同時に供給して、ある一定以上の膜厚の膜を形成して結晶化したW層を形成することにより、α−W膜の少なくとも一部に結晶化の影響を与えることができ、250℃以下であって好ましくは200℃以下の低温処理により、ラフネスが小さく、かつ抵抗率が低く結晶化したW膜を、開口部が狭い極細溝へ良好な埋め込み性をもって形成することができる。
<本発明の第2の実施形態> 第1の実施形態では、バルク層として第1のα−W層と第2のα−W層を組み合わせてα−W膜を形成し、その上に結晶化したW層を形成することにより、250℃以下であって好ましくは200℃以下の低温処理で所望の膜厚の結晶化したW膜を形成する例について説明した。本実施形態では、上述のバルク層の下地としてバリアメタル膜として形成されたTiN膜502の上に形成されるシード層として、タングステン膜(W膜)503を形成する例について図6を用いて説明する。第1の実施形態と同様の部分については詳細な説明は省略し、第1の実施形態と異なる部分について以下に説明する。
(シードW膜形成ステップ) シード層としてのW膜(シードW膜)は、第1の実施形態で述べた第2のα−W層形成ステップと同様に、WFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス供給ステップを含むシードW膜形成ステップを実行することにより、形成される。各ステップにおけるプロセス条件は第2のα−W層形成ステップの場合と同様なので省略する。
WFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス除去ステップを順に時分割して(非同期、間欠的、パルス的に)行うサイクルを1回以上(所定回数)行うことにより、すなわち、WFガス供給ステップ、残留ガス除去ステップ、Bガス供給ステップ、残留ガス除去ステップを1サイクルとして、これらの処理をnサイクル(nは1以上の整数)だけ実行することにより、ウエハ200上に、所定の厚さ(例えば0.1〜3nm)のシードW膜を形成する。なお、第2のα−W膜形成ステップと同様に、WFガス供給ステップおよびBガス供給ステップの順番を入れ替えてもよい。すなわち、Bガス供給ステップ、残留ガス除去ステップ、WFガス供給ステップ、残留ガス除去ステップの順に各ステップを実施してもよい。この場合、バリアメタル膜として形成されたTiN膜502に最初に接するガスがBガスとなるため、WFガスが最初に接する場合と比較してTiN膜へのダメージを軽減できると考えられる。
(1)本実施形態による効果 本実施形態によれば、以下に示す1つまたは複数の効果が得られる。
本実施形態においては、シード層を形成することで、平坦なバルク膜をウエハ200の全面に形成できると共に、バルク層の抵抗を下げることができる。また、複数の処理ガスを時分割して供給して膜を形成することにより結晶化を抑制することができ、さらなる平坦なタングステン膜を形成することができる。
<他の実施形態>本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
上述の実施形態では、非晶質の膜および結晶化した膜としてW膜を形成する例について説明した。本発明は上述の態様に限定されず、室温以上250℃以下(好ましくは200℃以下)の低温領域において、複数の処理ガスを同時に供給して膜を形成した場合に、ある一定以上の膜厚では結晶化してしまい、複数のガスを時分割して供給して膜を形成した場合には結晶化しない(非晶質である)ような性質を有する膜を形成する際に有効である。例えば、W,チタン(Ti)、タンタル(Ta)、モリブデン(Mo)、亜鉛(Zn)等の金属元素を含む金属窒化膜(金属窒化物)や金属炭化膜(金属炭化物)、銅(Cu)、ルテニウム(Ru)、アルミニウム(Al)等の金属膜、およびこれらを組み合わせた膜を形成する場合にも好適に適用可能である。
例えば、適用可能な金属窒化膜や金属炭化膜としては、WN膜、TiN膜、TaN膜、MoN膜、ZnN膜、WC膜、TiC膜、TaC膜、MoC膜、ZnC膜、WCN膜、TiCN膜、TaCN膜、MoCN膜、ZnCN膜等のメタルナイトライド系の膜やメタルカーバイド系の膜、Cu膜、Ru膜、Al膜等のメタル膜、およびこれらを組み合わせた膜が挙げられる。
また、上述の金属窒化膜や金属炭化膜を形成する場合には、WFの他にも、六塩化タングステン(WCl)、四フッ化チタン(TiF)、四塩化チタン(TiCl)、五フッ化タンタル(TaF)、五塩化タンタル(TaCl)、五フッ化モリブデン(MoF)、五塩化モリブデン(MoCl)、二塩化亜鉛(ZnCl)、二フッ化亜鉛(ZnF)等を用いることも可能である。
上述の実施形態では、還元ガスとしてのB含有ガスとしてBガスを用いる例について説明したが、B含有ガスの代わりにシリコン含有ガス(シラン系ガス)としてモノシラン(SiH)ガスやジシラン(Si)ガス等を用いることも可能である。
還元ガスとしてのH含有ガスとして、Hガス以外にも、他元素非含有のH含有ガスである重水素(D)ガス等を用いることも可能である。
不活性ガスとしては、Nガスの他にも、アルゴン(Ar)ガス、ヘリウム(He)ガス、ネオン(Ne)ガス、キセノン(Xe)ガス等の希ガスを用いてもよい。
上述の実施形態や各変形例や各応用例等は、適宜組み合わせて用いることができる。また、このときの処理条件は、例えば上述の実施形態と同様の処理条件とすることができる。
これらの各種薄膜の形成に用いられるプロセスレシピ(処理手順や処理条件等が記載されたプログラム)は、基板処理の内容(形成する薄膜の膜種、組成比、膜質、膜厚、処理手順、処理条件等)に応じて、それぞれ個別に用意する(複数用意する)ことが好ましい。そして、基板処理を開始する際、基板処理の内容に応じて、複数のプロセスレシピの中から、適正なプロセスレシピを適宜選択することが好ましい。具体的には、基板処理の内容に応じて個別に用意された複数のプロセスレシピを、電気通信回線や当該プロセスレシピを記録した記録媒体(外部記憶装置123)を介して、基板処理装置が備える記憶装置121c内に予め格納(インストール)しておくことが好ましい。そして、基板処理を開始する際、基板処理装置が備えるCPU121aが、記憶装置121c内に格納された複数のプロセスレシピの中から、基板処理の内容に応じて、適正なプロセスレシピを適宜選択することが好ましい。このように構成することで、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の薄膜を汎用的に、かつ、再現性よく形成できるようになる。また、オペレータの操作負担(処理手順や処理条件等の入力負担等)を低減でき、操作ミスを回避しつつ、基板処理を迅速に開始できるようになる。
上述のプロセスレシピは、新たに作成する場合に限らず、例えば、既存の基板処理装置のプロセスレシピを変更することでも実現できる。プロセスレシピを変更する場合は、本発明に係るプロセスレシピを電気通信回線や当該プロセスレシピを記録した記録媒体を介して既存の基板処理装置にインストールしたり、また、既存の基板処理装置の入出力装置を操作し、そのプロセスレシピ自体を本発明に係るプロセスレシピに変更したりすることも可能である。
上述の実施の形態では、一度に複数枚の基板を処理するバッチ式の縦型装置である基板処理装置であって、1つの反応管内に処理ガスを供給するノズルが立設され、反応管の下部に排気口が設けられた構造を有する処理炉を用いて成膜する例について説明したが、他の構造を有する処理炉を用いて成膜する場合にも本発明を適用可能である。例えば、同心円状の断面を有する2つの反応管(外側の反応管をアウタチューブ、内側の反応管をインナチューブと称する)を有し、インナチューブ内に立設されたノズルから、アウタチューブの側壁であって基板を挟んでノズルと対向する位置(線対称の位置)に開口する排気口へ処理ガスが流れる構造を有する処理炉を用いて成膜する場合にも本発明を適用可能である。また、処理ガスはインナチューブ内に立設されたノズルから供給されるのではなく、インナチューブの側壁に開口するガス供給口から供給されるようにしてもよい。このとき、アウタチューブに開口する排気口は、処理室内に積層して収容された複数枚の基板が存在する高さに応じて開口していてもよい。また、排気口の形状は穴形状であってもよいし、スリット形状であってもよい。
上述の実施の形態では、一度に複数枚の基板を処理するバッチ式の縦型装置である基板処理装置を用いて成膜する例について説明したが、本発明はこれに限定されず、一度に1枚または数枚の基板を処理する枚葉式の基板処理装置を用いて成膜する場合にも、好適に適用できる。また、上述の実施形態では、ホットウォール型の処理炉を有する基板処理装置を用いて薄膜を成膜する例について説明したが、本発明はこれに限定されず、コールドウォール型の処理炉を有する基板処理装置を用いて薄膜を成膜する場合にも、好適に適用できる。これらの場合においても、処理条件は、例えば上述の実施形態と同様な処理条件とすることができる。
例えば、図11に示す処理炉302を備えた基板処理装置を用いて膜を形成する場合にも、本発明は好適に適用できる。処理炉302は、処理室301を形成する処理容器303と、処理室301内にガスをシャワー状に供給するシャワーヘッド303sと、1枚または数枚のウエハ200を水平姿勢で支持する支持台317と、支持台317を下方から支持する回転軸355と、支持台317に設けられたヒータ307と、を備えている。シャワーヘッド303sのインレット(ガス導入口)には、上述の原料ガスを供給するガス供給ポート332aと、上述の反応ガスを供給するガス供給ポート332bと、が接続されている。ガス供給ポート332aには、上述の実施形態の原料ガス供給系と同様の原料ガス供給系が接続されている。ガス供給ポート332bには、上述の実施形態の反応ガス供給系と同様の反応ガス供給系が接続されている。シャワーヘッド303sのアウトレット(ガス排出口)には、処理室301内にガスをシャワー状に供給するガス分散板が設けられている。処理容器303には、処理室301内を排気する排気ポート331が設けられている。排気ポート331には、上述の実施形態の排気系と同様の排気系が接続されている。
また例えば、図12に示す処理炉402を備えた基板処理装置を用いて膜を形成する場合にも、本発明は好適に適用できる。処理炉402は、処理室401を形成する処理容器403と、1枚または数枚のウエハ200を水平姿勢で支持する支持台417と、支持台417を下方から支持する回転軸455と、処理容器403のウエハ200に向けて光照射を行うランプヒータ407と、ランプヒータ407の光を透過させる石英窓403wと、を備えている。処理容器403には、上述の原料ガスを供給するガス供給ポート432aと、上述の反応ガスを供給するガス供給ポート432bと、が接続されている。ガス供給ポート432aには、上述の実施形態の原料ガス供給系と同様の原料ガス供給系が接続されている。ガス供給ポート432bには、上述の実施形態の反応ガス供給系と同様の反応ガス供給系が接続されている。処理容器403には、処理室401内を排気する排気ポート431が設けられている。排気ポート431には、上述の実施形態の排気系と同様の排気系が接続されている。
これらの基板処理装置を用いる場合においても、上述の実施形態や変形例と同様のシーケンス、処理条件にて成膜を行うことができる。
以下、本発明の望ましい形態について付記する。〔付記1〕 本発明の一態様によれば、 基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する工程と、 前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと第2の還元ガスとを時分割して(非同期、間欠的、パルス的に)所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する工程と、 を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する工程と、 前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記非晶質金属膜の上に結晶化した金属層を形成する工程と、 を有する半導体装置の製造方法、または基板処理方法が提供される。
〔付記2〕 付記1に記載の方法であって、好ましくは、 前記非晶質金属膜を形成する工程、および前記結晶化した金属層を形成する工程は、それぞれ前記基板を同じ所定温度で加熱した状態で行う。
〔付記3〕 付記2に記載の方法であって、好ましくは、 前記所定温度は、室温以上250℃以下の範囲内の温度であって、好ましくは150℃以上230℃以下の範囲内の温度であって、最適には200℃である。
〔付記4〕 付記1〜3のいずれかに記載の方法であって、好ましくは、 前記第1の非晶質金属層を形成する工程、前記第2の非晶質金属層を形成する工程、および結晶化した金属層を形成する工程は、それぞれ同じ処理室内で行う。
〔付記5〕 付記1〜4のいずれかに記載の方法であって、好ましくは、 前記第2の還元ガスはホウ素含有ガスもしくはシリコン含有ガスである。
〔付記6〕 付記5に記載の方法であって、好ましくは、 前記第1の還元ガスは水素(H)であって、前記第2の還元ガスはジボラン(B)、モノシラン(SiH)ガスやジシラン(Si)ガスのいずれかである。
〔付記7〕 付記1〜6のいずれかに記載の方法であって、好ましくは、 前記金属含有ガスはタングステン含有ガスであって、前記第1の非晶質金属層、前記第2の非晶質金属層は非晶質のタングステン層であり、前記非晶質金属膜は非晶質のタングステン膜であり、前記結晶化された金属層は結晶化されたタングステン層である。
〔付記8〕 付記7に記載の方法であって、好ましくは、 前記タングステン含有ガスは、六フッ化タングステン(WF)である。
〔付記9〕 付記1〜8のいずれかに記載の方法であって、好ましくは、 前記結晶化した金属層を形成する工程では、前記非晶質金属層の上に前記結晶化した金属層を形成することにより、前記非晶質金属層の少なくとも一部を結晶化させる((逆)固相反応により結晶化させる)。
〔付記10〕 付記1〜9のいずれかに記載の方法であって、好ましくは、 前記非晶質金属膜を形成する工程では、バリアメタル膜および前記第2の非晶質金属層と同じ工程で形成された第3の非晶質金属層が形成された基板を用いて、前記第3の非晶質金属層の上に前記非晶質金属膜を形成する。
〔付記11〕 付記10に記載の方法であって、好ましくは、 前記バリアメタル膜はチタン窒化膜(TiN膜)である。
〔付記12〕 本発明の他の態様によれば、 基板を収容する処理室と、 前記処理室に、金属含有ガス、第1の還元ガスおよび第2の還元ガスを供給するガス供給系と、 前記処理室を排気する排気系と、 前記ガス供給系、前記排気系を制御して、前記処理室に収容された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する処理と、前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと前記第2の還元ガスとを時分割して(非同期、間欠的、パルス的に)所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する処理と、を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する処理と、前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記非晶質金属膜の上に結晶化した金属層を形成する処理と、を行うよう構成される制御部と、 を有する基板処理装置が提供される。
〔付記13〕 本発明のさらに他の態様によれば、 基板上に形成されたバリアメタル層と、 金属含有ガスと第1の還元ガスとを同時に供給することにより前記シード膜の上に第1の非晶質金属層を形成する処理と、前記第1の非晶質金属層の上に前記金属含有ガスと第2の還元ガスとを時分割して(非同期、間欠的、パルス的に)所定回数供給することにより形成された第2の非晶質金属層を形成する処理とを時分割して所定回数行うことにより形成された非晶質金属膜と、前記非晶質金属膜の上に、前記金属含有ガスと前記第1の還元ガスとを同時に供給することにより形成された結晶化された金属層とを有するバルク層と、 前記バリアメタル層と前記バルク層の間に形成されたシード層であって、前記金属含有ガスと前記第2の還元ガスとを時分割して所定回数供給することにより形成された第3の非晶質金属層からなるシード層と、 を有するスタック構造、または該スタック構造を有する半導体装置が提供される。
〔付記14〕 本発明のさらに他の態様によれば、 基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する手順と、 前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと第2の還元ガスとを時分割して(非同期、間欠的、パルス的に)所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する手順と、 を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する手順と、 前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記非晶質金属膜の上に結晶化した金属層を形成する手順と、をコンピュータに実行させるプログラム、または該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
ラフネスが小さく、かつ抵抗率が低い高品質な膜を形成することが可能な技術が提供される。
10・・・基板処理装置 200・・・ウエハ 201・・・処理室 202・・・処理炉

Claims (9)

  1. 室温以上200℃以下の範囲内の所定温度で維持した基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する工程と、
    前記第1の非晶質金属層が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する工程と、
    を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する工程と、
    前記非晶質金属膜が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する工程と、
    を有する半導体装置の製造方法。
  2. 前記第2の還元ガスはホウ素含有ガスもしくはシリコン含有ガスである請求項1に記載の半導体装置の製造方法。
  3. 前記金属含有ガスはタングステン含有ガスであって、前記第1の非晶質金属層、前記第2の非晶質金属層は非晶質のタングステン層であり、前記非晶質金属膜は非晶質のタングステン膜であり、前記結晶化された金属層は結晶化されたタングステン膜である請求項1に記載の半導体装置の製造方法。
  4. 前記結晶化した金属層を形成する工程では、前記非晶質金属層の上に前記結晶化した金属層を形成することにより、前記非晶質金属層の少なくとも一部を結晶化させる請求項1に記載の半導体装置の製造方法。
  5. 基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する工程と、
    前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する工程と、
    を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する工程と、
    前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する工程と、
    を有し、前記非晶質金属膜を形成する工程では、バリアメタル膜および前記第2の非晶質金属層と同じ工程で形成された第3の非晶質金属層が形成された基板を用いて、前記第3の非晶質金属層の上に前記非晶質金属膜を形成する半導体装置の製造方法。
  6. 基板を収容する処理室と、
    前記基板を加熱する加熱系と、
    前記処理室に、金属含有ガス、第1の還元ガスおよび第2の還元ガスを供給するガス供給系と、
    前記処理室を排気する排気系と、
    前記加熱系、前記ガス供給系、前記排気系を制御して、前記処理室に収容された基板を室温以上200℃以下の範囲内の所定温度で維持した状態で前記基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する処理と、前記第1の非晶質金属層が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと前記第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する処理と、を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する処理と、前記非晶質金属膜が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する処理と、を行うよう構成される制御部と、
    を有する基板処理装置。
  7. 基板を収容する処理室と、
    前記処理室に、金属含有ガス、第1の還元ガスおよび第2の還元ガスを供給するガス供給系と、
    前記処理室を排気する排気系と、
    前記ガス供給系、前記排気系を制御して、前記処理室に収容された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する処理と、前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと前記第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する処理と、を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する処理と、前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する処理と、を行い、前記非晶質金属膜を形成する処理では、バリアメタル膜および前記第2の非晶質金属層と同じ処理で形成された第3の非晶質金属層が形成された基板を用いて、前記第3の非晶質金属層の上に前記非晶質金属膜を形成するよう構成される制御部と、
    を有する基板処理装置。
  8. 基板処理装置の処理室内の基板であって室温以上200℃以下の範囲内の所定温度で維持した基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する手順と、
    前記第1の非晶質金属層が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する手順と、
    を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する手順と、
    前記非晶質金属膜が形成された基板に対して、前記基板を前記所定温度で維持した状態で、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する手順と、をコンピュータにより前記基板処理装置に実行させるプログラム。
  9. 基板処理装置の処理室内の基板に対して、金属含有ガスと第1の還元ガスとを同時に供給して、前記基板上に第1の非晶質金属層を形成する手順と、
    前記第1の非晶質金属層が形成された基板に対して、前記金属含有ガスと第2の還元ガスとを時分割して所定回数供給して、前記第1の非晶質金属層の上に第2の非晶質金属層を形成する手順と、
    を時分割して所定回数行うことにより前記基板上に非晶質金属膜を形成する手順と、
    前記非晶質金属膜が形成された基板に対して、前記金属含有ガスと前記第1の還元ガスとを同時に供給して、前記基板上に結晶化した金属層を形成する手順と、をコンピュータにより前記基板処理装置に実行させるプログラムであって、前記非晶質金属膜を形成する処理では、バリアメタル膜および前記第2の非晶質金属層と同じ処理で形成された第3の非晶質金属層が形成された基板を用いて、前記第3の非晶質金属層の上に前記非晶質金属膜を形成するプログラム。
JP2016549698A 2014-09-24 2014-09-24 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム Active JP6222880B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2014/075232 WO2016046909A1 (ja) 2014-09-24 2014-09-24 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム

Publications (2)

Publication Number Publication Date
JPWO2016046909A1 JPWO2016046909A1 (ja) 2017-07-13
JP6222880B2 true JP6222880B2 (ja) 2017-11-01

Family

ID=55580471

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016549698A Active JP6222880B2 (ja) 2014-09-24 2014-09-24 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム

Country Status (3)

Country Link
US (1) US20170309490A1 (ja)
JP (1) JP6222880B2 (ja)
WO (1) WO2016046909A1 (ja)

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7009615B2 (ja) * 2018-03-26 2022-01-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7387685B2 (ja) * 2021-09-17 2023-11-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5268208A (en) * 1991-07-01 1993-12-07 Ford Motor Company Plasma enhanced chemical vapor deposition of oxide film stack
KR950012738B1 (ko) * 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
US5489552A (en) * 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
TW350933B (en) * 1996-11-23 1999-01-21 Lg Semicon Co Ltd X-ray absorbing layer in the X-ray mask and the manufacturing method
US5804249A (en) * 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5942799A (en) * 1997-11-20 1999-08-24 Novellus Systems, Inc. Multilayer diffusion barriers
US6452276B1 (en) * 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6410986B1 (en) * 1998-12-22 2002-06-25 Agere Systems Guardian Corp. Multi-layered titanium nitride barrier structure
TW451305B (en) * 1999-01-13 2001-08-21 Tokyo Electron Ltd Method of forming tungsten layers and laminate structure of tungsten layers
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6194295B1 (en) * 1999-05-17 2001-02-27 National Science Council Of Republic Of China Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6524956B1 (en) * 1999-09-24 2003-02-25 Novelius Systems, Inc. Method for controlling the grain size of tungsten films
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6376349B1 (en) * 2000-01-19 2002-04-23 Motorola, Inc. Process for forming a semiconductor device and a conductive structure
US6274472B1 (en) * 2000-01-21 2001-08-14 Advanced Micro Devices, Inc. Tungsten interconnect method
US6284636B1 (en) * 2000-01-21 2001-09-04 Advanced Micro Devices, Inc. Tungsten gate method and apparatus
US20030008070A1 (en) * 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) * 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7160817B2 (en) * 2001-08-30 2007-01-09 Micron Technology, Inc. Dielectric material forming methods
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6835659B2 (en) * 2002-06-04 2004-12-28 Micron Technology, Inc. Electrical coupling stack and processes for making same
JP3996809B2 (ja) * 2002-07-11 2007-10-24 住友電工ハードメタル株式会社 被覆切削工具
JP3696587B2 (ja) * 2002-10-11 2005-09-21 沖電気工業株式会社 半導体素子の製造方法
US6863021B2 (en) * 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
KR100555514B1 (ko) * 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
JP4085094B2 (ja) * 2004-02-19 2008-04-30 シャープ株式会社 導電素子基板の製造方法、液晶表示装置の製造方法
KR100578221B1 (ko) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 확산방지막을 구비하는 반도체소자의 제조 방법
KR100613450B1 (ko) * 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치의 비트라인 배리어 금속층 및 그 제조방법
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7229918B2 (en) * 2005-02-14 2007-06-12 Infineon Technologies Ag Nitrogen rich barrier layers and methods of fabrication thereof
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
DE102006000823A1 (de) * 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
JP5162909B2 (ja) * 2006-04-03 2013-03-13 豊田合成株式会社 半導体発光素子
KR100894769B1 (ko) * 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JPWO2008069325A1 (ja) * 2006-12-07 2010-03-25 日本電気株式会社 半導体記憶装置および半導体装置
KR100809719B1 (ko) * 2007-01-18 2008-03-06 삼성전자주식회사 폴리실리콘막과 배선금속막을 구비하는 게이트 전극의형성방법
US20080254617A1 (en) * 2007-04-10 2008-10-16 Adetutu Olubunmi O Void-free contact plug
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
JP2010093116A (ja) * 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8193089B2 (en) * 2009-07-13 2012-06-05 Seagate Technology Llc Conductive via plug formation
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
TWI562204B (en) * 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
JP6022228B2 (ja) * 2011-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
JP5959991B2 (ja) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
BRPI1105714B1 (pt) * 2011-12-07 2021-01-05 Mahle Metal Leve S/A componente deslizante para uso em motores de combustão interna
JP2013182961A (ja) * 2012-02-29 2013-09-12 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8835248B2 (en) * 2012-05-24 2014-09-16 Sandisk Technologies Inc. Method for forming metal wire
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
EP2852989B1 (en) * 2012-07-31 2016-11-30 Hewlett-Packard Development Company, L.P. Thin film stack
KR101990051B1 (ko) * 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) * 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US20140117815A1 (en) * 2012-10-26 2014-05-01 Avago Technologies General Ip (Singapore) Pte. Ltd Temperature compensated resonator device having low trim sensitivy and method of fabricating the same
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US8912057B1 (en) * 2013-06-05 2014-12-16 Globalfoundries Inc. Fabrication of nickel free silicide for semiconductor contact metallization
US9461209B2 (en) * 2013-11-27 2016-10-04 Epistar Corporation Semiconductor light-emitting device
JP5864503B2 (ja) * 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6336866B2 (ja) * 2013-10-23 2018-06-06 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US9130019B2 (en) * 2014-01-08 2015-09-08 Globalfoundries Inc. Formation of carbon-rich contact liner material
KR20150093384A (ko) * 2014-02-07 2015-08-18 에스케이하이닉스 주식회사 저저항 텅스텐계 매립게이트구조물을 갖는 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
JP6204570B2 (ja) * 2014-03-28 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
WO2015145750A1 (ja) * 2014-03-28 2015-10-01 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US20160027668A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods
US9496419B2 (en) * 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
WO2016120957A1 (ja) * 2015-01-26 2016-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10333148B2 (en) * 2015-01-29 2019-06-25 Board Of Trustees Of The University Of Arkansas Density modulated thin film electrodes, methods of making same, and applications of same
JP6416031B2 (ja) * 2015-03-30 2018-10-31 株式会社Kokusai Electric 半導体デバイスの製造方法、基板処理装置およびプログラム
CN106653678A (zh) * 2015-11-03 2017-05-10 中芯国际集成电路制造(上海)有限公司 导电插塞结构及其形成方法
US10566211B2 (en) * 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
US10229723B1 (en) * 2017-09-12 2019-03-12 Sandisk Technologies Llc Spin orbit torque magnetoresistive random access memory containing composite spin hall effect layer including beta phase tungsten
US10746614B2 (en) * 2017-09-18 2020-08-18 Korea University Research And Business Foundation, Sejong Campus Stretchable multimodal sensor and method of fabricating of the same
US20190245155A1 (en) * 2018-01-24 2019-08-08 Stephan HEATH Methods, products, and systems relating to making, providing, and using nanocrystalline cellulose superlattice solar cells to produce electricity
CN110137153B (zh) * 2018-02-09 2021-03-30 联华电子股份有限公司 半导体装置及其形成方法

Also Published As

Publication number Publication date
US20170309490A1 (en) 2017-10-26
JPWO2016046909A1 (ja) 2017-07-13
WO2016046909A1 (ja) 2016-03-31

Similar Documents

Publication Publication Date Title
JP6222880B2 (ja) 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
JP6336866B2 (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
JP5959991B2 (ja) タングステン膜の成膜方法
JP5864503B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP2019167634A (ja) タングステン膜の成膜方法および成膜装置
KR101737215B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20150111302A (ko) 텅스텐막의 성막 방법, 반도체 장치의 제조 방법 및 기억 매체
US9890458B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6391355B2 (ja) タングステン膜の成膜方法
JP6253214B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
WO2018179354A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6164775B2 (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
JPWO2019186636A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2017056187A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP2016065287A (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
JP6087023B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP6030746B2 (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP7159446B2 (ja) 基板処理方法、基板処理装置、プログラムおよび半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170912

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170927

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171002

R150 Certificate of patent or registration of utility model

Ref document number: 6222880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250