KR101990051B1 - 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 - Google Patents

무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 Download PDF

Info

Publication number
KR101990051B1
KR101990051B1 KR1020120096679A KR20120096679A KR101990051B1 KR 101990051 B1 KR101990051 B1 KR 101990051B1 KR 1020120096679 A KR1020120096679 A KR 1020120096679A KR 20120096679 A KR20120096679 A KR 20120096679A KR 101990051 B1 KR101990051 B1 KR 101990051B1
Authority
KR
South Korea
Prior art keywords
tungsten
layer
fluorine
free
carbon
Prior art date
Application number
KR1020120096679A
Other languages
English (en)
Other versions
KR20140029041A (ko
Inventor
강동균
Original Assignee
에스케이하이닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사 filed Critical 에스케이하이닉스 주식회사
Priority to KR1020120096679A priority Critical patent/KR101990051B1/ko
Priority to US13/713,647 priority patent/US9070749B2/en
Priority to TW101150483A priority patent/TWI587513B/zh
Priority to CN201310102336.4A priority patent/CN103681285B/zh
Publication of KR20140029041A publication Critical patent/KR20140029041A/ko
Application granted granted Critical
Publication of KR101990051B1 publication Critical patent/KR101990051B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 기술은 낮은 비저항을 갖는 텅스텐층의 형성 방법 및 그를 이용한 반도체장치 제조 방법을 제공하고, 본 기술에 따른 반도체장치 제조 방법은 기판 상에 탄소를 함유하는 무불소텅스텐소스를 이용하여 텅스텐 배리어층을 형성하는 단계; 상기 텅스텐 배리어층 상에 텅스텐 핵생성층을 형성하는 단계; 상기 텅스텐 핵생성층 상에 텅스텐 벌크층을 형성하는 단계; 및 열처리를 실시하는 단계를 포함할 수 있고, 본 기술은 무불소텅스텐층을 형성하므로써 배리어층 특성과 핵생성층 기능을 동시에 가지는 텅스텐층을 형성할 수 있고, 또한, 무불소텅스텐층 상에 얇은 핵생성층과 벌크 텅스텐층을 형성한 후 후속 열처리를 진행하므로써, 탄소 농도가 감소되고 낮은 비저항을 갖는 텅스텐층을 형성할 수 있다.

Description

무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법{SEMICONDUCTOR DEVICE WITH FLUORINE FREE TUNGSTEN BARRIER LAYER AND METHOD FOR FABRICATING THE SAME}
본 발명은 반도체장치에 관한 것으로, 상세하게는 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법에 관한 것이다.
반도체 장치의 선폭이 점차 좁아지는 상황에서 금속 게이트전극(Metal gate electrode)으로서 티타늄질화물(TiN)을 사용할 경우에는 비저항이 여전히 높다는 문제를 가지고 있다. 소스와 드레인 사이에 형성되는 채널을 통해 흐르는 전류의 양을 정확하게 제어하기 위해서는 게이트전극에 인가하는 동작 전압을 원활하게 조절할 수 있어야 한다. 또한, 비트라인을 낮은 비저항의 물질로 형성하여 빠른 전류 속도를 통해 고속 동작을 구현할 수 있어야 한다. 이와 같은 문제점이 해결되지 않으면, 불필요한 전력의 소모 및 열의 발생으로 이어져 반도체 장치의 신뢰성을 저하시키게 되므로 이를 해결하기 위해서는 낮은 비저항과 높은 열전도도를 가지도록 기존 물질의 물성 변화를 유도하거나 새로운 금속의 도입이 필수적이다.
본 발명의 실시예들은 낮은 비저항을 갖는 텅스텐층의 형성 방법 및 그를 이용한 반도체장치 제조 방법을 제공한다.
본 실시예에 따른 텅스텐층 형성 방법은 기판 상에 무불소텅스텐소스를 이용하여 텅스텐 배리어층을 형성하는 단계; 상기 텅스텐층 배리어층 상에 텅스텐 벌크층을 형성하는 단계; 및 열처리를 실시하는 단계를 포함할 수 있다. 상기 무불소텅스텐소스는 텅스텐과 탄소를 함유하는 화합물을 포함할 수 있다. 상기 무불소텅스텐소스는 텅스텐, 탄소 및 질소를 함유하는 화합물을 포함할 수 있다. 상기 무불소텅스텐소스는, C8H7NO3W 또는 C12H30N4W을 포함할 수 있다. 상기 텅스텐 배리어층을 형성하는 단계는, 상기 무불소텅스텐소스 주입 단계, 퍼지 단계, 수소플라즈마처리 단계 및 퍼지 단계를 단위사이클로 이용하고, 상기 단위사이클을 수회 반복하는 원자층증착법을 이용할 수 있다.
본 실시예에 따른 텅스텐층 형성 방법은 적어도 텅스텐성분과 탄소성분을 포함하는 무불소(Fluorine free) 계열의 텅스텐화합물을 기판 상에 흡착시키는 단계; 미흡착된 상기 텅스텐화합물을 제거하는 단계; 상기 텅스텐화합물과 반응하여 텅스텐을 주성분으로 하는 박막을 형성하도록 수소함유물질의 플라즈마처리 단계; 및 미반응된 상기 수소함유물질을 제거하는 단계를 포함할 수 있다.
본 실시예에 따른 반도체장치 제조 방법은 기판 상에 탄소를 함유하는 무불소텅스텐소스를 이용하여 텅스텐 배리어층을 형성하는 단계; 상기 텅스텐 배리어층 상에 텅스텐 핵생성층을 형성하는 단계; 상기 텅스텐 핵생성층 상에 텅스텐 벌크층을 형성하는 단계; 및 열처리를 실시하는 단계를 포함할 수 있다.
본 실시예에 따른 반도체장치는 기판; 상기 기판 상에 형성된 게이트절연층; 상기 게이트절연층 상에 형성된 무불소텅스텐 배리어층; 및 상기 무불소텅스텐 배리어층 상에 형성된 벌크 텅스텐층을 포함할 수 있다.
본 기술은 무불소텅스텐층(Fluorine Free Tungsten; FFW)을 형성하므로써 배리어층과 핵생성층의 기능을 동시에 갖는 텅스텐층을 형성할 수 있다.
그리고, 무불소텅스텐층 상에 얇은 핵생성층과 벌크 텅스텐층을 형성한 후 후속 열처리를 진행하므로써, 탄소 농도가 감소되고 낮은 비저항을 갖는 텅스텐층스택을 형성할 수 있다.
또한, 본 기술은 텅스텐패턴에서 무불소텅스텐층(FFW)을 확산배리어로 사용하므로써 불소(Fluorine)에 대한 확산 방지 효과를 더욱 증가시킬 수 있다.
위와 같이, 본 기술은 낮은 비저항과 감소된 탄소농도를 갖는 텅스텐스택을 게이트전극 및 비트라인 등의 텅스텐패턴에 적용하므로써 고속 동작의 향상된 메모리 특성을 확보할 수 있는 효과가 있다.
도 1은 제1실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이다.
도 2a는 제2실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이다.
도 2b는 도 2a에 따른 텅스텐층의 구조를 도시한 도면이다.
도 3a는 제3실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이다.
도 3b는 도 3a에 따른 텅스텐층의 구조를 도시한 도면이다.
도 4는 게이트전극의 재료로 사용되는 물질들의 비저항을 비교한 도면이다.
도 5는 무불소텅스텐층(FFW)의 불소 확산 배리어의 기능을 설명하기 위한 도면이다.
도 6은 후열처리후의 결정립 크기를 설명하기 위한 도면이다.
도 7은 후열처리후의 상전이를 설명하기 위한 도면이다.
도 8은 후열처리후의 탄소 농도 감소를 설명하기 위한 도면이다.
도 9a 및 도 9b는 제3실시예에 따른 텅스텐층 형성 방법을 이용한 플라나게이트 구조물의 형성 방법을 도시한 도면이다.
도 10은 게이트전극으로서 무불소텅스텐층을 사용함에 따른 C-V 특성을 설명하기 위한 도면이다.
도 11은 제3실시예에 따른 텅스텐층 형성 방법을 이용한 매립게이트 구조물을 도시한 도면이다.
도 12는 제3실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 일예를 도시한 도면이다.
도 13은 제3실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 다른 예를 도시한 도면이다.
도 14는 제3실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 또다른 예를 도시한 도면이다.
도 15는 제3실시예에 따른 텅스텐층 형성 방법을 이용한 콘택플러그를 도시한 도면이다.
이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.
본 실시예는 20nm 급 이하 메모리 장치의 게이트전극, 비트라인 등의 텅스텐패턴으로서 낮은 비저항을 가지는 텅스텐층을 적용하기 위한 것으로, 확산배리어로서 탄소를 미량 함유하고 있는 무불소텅스텐층(Fluorine Free Tungsten; FFW)을 형성한다.
도 1은 제1실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이다. 도 1을 참조하면, 텅스텐층은 원자층증착법(ALD)을 이용하여 형성할 수 있다.
텅스텐층의 원자층증착법(ALD, 100)은 무불소텅스텐소스 주입 단계(S11), 퍼지 단계(S12), 반응제 주입단계(S13), 퍼지 단계(S14)를 단위사이클로 하고, 이 단위사이클을 수회 반복하여(S15) 요구되는 두께의 텅스텐층을 증착할 수 있다. 원자층증착법은 150∼320℃의 온도에서 250W의 파워로 진행할 수 있다.
먼저, 무불소텅스텐소스 주입 단계(S11)는, 금속유기계열의 무불소텅스텐소스(Fluorine Free tungsten source; FFWS)를 기판 상에 흡착시킨다. 여기서, 기판은 실리콘과 같은 반도체 공정에 적합한 임의 물질로 형성될 수 있고, 유전체 또는 도전성 물질과 같은 층들을 상부에 구비할 수 있다. 기판 표면은 텅스텐층의 증착이 실시되는 임의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 기판 표면은, 용도에 따라서, 실리콘, 실리콘 산화물, 고유전물질, 실리콘 질화물, 도핑된 실리콘, 금속, 금속질화물 및 기타 도전성 물질과 같은 물질을 포함할 수 있을 것이다. 이하, 실시예에서, 기판 표면은 실리콘산화물(SiO2)을 포함할 수 있다. 실리콘산화물(SiO2)은 트랜지스터의 게이트절연층으로 사용되는 물질을 포함할 수 있다.
무불소텅스텐소스(FFWS)는 금속유기 텅스텐소스(Metal organic tungsten source)를 적용할 수 있다. 무불소텅스텐소스(FFWS)는 불소가 미함유된 금속유기 텅스텐소스를 포함할 수 있다. 무불소텅스텐소스(FFWS)는 텅스텐과 탄소(Carbon)를 함유하는 화합물을 포함할 수 있다. 또한, 무불소텅스텐소스(FFWS)는 텅스텐, 탄소 및 질소를 함유하는 화합물을 포함할 수 있다. 예를 들어, 무불소텅스텐소스(FFWS)는 C8H7NO3W(Dicarbonyl(η5-Methyl-Cyclopentadienyl)Nitrosyl Tungsten), C12H30N4W(Bis(tert-Butylimino)Bis(Dimethylamino)Tungsten)을 포함할 수 있다. 위와 같은 무불소텅스텐소스(FFWS)를 이용하여 증착되는 텅스텐층은 탄소의 함량에 의해 비저항이 낮아지고 배리어(Barrier)의 기능을 수행할 수 있다. 따라서, 탄소의 함량이 40at%(atom percent) 이하가 되도록 무불소텅스텐소스(FFWS)의 유량이 제어될 수 있다.
다음으로, 미흡착된 무불소텅스텐소스를 제거하기 위해 퍼지단계(S12)를 실시한다. 퍼지 단계는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
다음으로, 반응제 주입단계(S13)는 흡착된 무불소텅스텐소스(FFWS)와 반응하여 원자층 단위의 텅스텐층을 증착하는 공정이다. 여기서, 반응제는 환원제 또는 환원가스를 포함할 수 있다. 반응제는 수소(Hydrogen)를 함유하는 물질을 포함할 수 있다. 반응제 주입단계(S13)는 수소함유물질의 플라즈마처리를 포함할 수 있다. 제1실시예는 반응제 주입단계(S13)로서 수소의 플라즈마처리(H2 Plasma treatment)를 포함할 수 있다. 이와 같이 수소플라즈마처리를 실시함으로써 무불소텅스텐소스와 수소의 반응에 의해 텅스텐층이 증착된다. 수소플라즈마처리를 통해 증착되는 텅스텐층의 탄소 함량을 40at% 이하로 제어할 수 있다. 탄소의 함량을 제어하기 위해, 수소플라즈마처리의 조건(예, 250W의 파워)을 조절할 수 있다. 수소플라즈마처리를 통해 텅스텐층에 함유되어 있는 질소, 산소 등의 불순물을 제거할 수도 있다.
다음으로, 미반응 반응제 및 반응부산물을 제거하기 위해 퍼지 단계(S14)를 실시한다(S14). 퍼지 단계는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
상술한 바와 같은 무불소텅스텐소스 주입 단계(S11), 퍼지 단계(S12), 반응제 주입 단계(S13), 퍼지 단계(S14)를 단위사이클로 하고, 단위사이클을 수회 반복(S15)하여 원하는 두께의 텅스텐층을 증착할 수 있다. 제1실시예에 따른 텅스텐층은 20∼30Å의 두께로 형성할 수 있다. 원자층증착법(ALD)을 이용함에 따라 단차피복성(Step coverage)이 우수하다. 제1실시예에 따른 텅스텐층은 불소가 미함유된 무불소텅스텐층(Fluorine Free Tungsten; FFW) 또는 불소가 미함유되고 탄소를 미량 함유하는 무불소텅스텐탄소층(Fluorine Free Tungsten Carbide; FFWC)을 포함할 수 있다. 무불소텅스텐탄소층(FFWC)에 함유된 탄소의 함량은 25∼35at%가 될 수 있다. 이와 같은 탄소의 함량은 수소플라즈마처리에 의해 얻어진다.
제1실시예에서, 무불소텅스텐층(FFW) 또는 무불소텅스텐탄소층(FFWC)은 불소가 존재하지 않는 금속유기 텅스텐소스를 사용하여 형성한다. 이로써, 막내에 불소가 함유되지 않아 하부의 기판 표면에 대한 열화가 발생하지 않는다. 또한, 수소 플라즈마처리를 통해 막내 탄소의 함량을 제어할 수 있다. 특히, 탄소의 함량을 40at% 이하로 제어하므로써 비저항을 낮추면서 배리어의 기능을 갖는다.
텅스텐층을 증착한 이후에, 열처리(101)를 실시할 수 있다. 열처리(101)는 후열처리(S16, Post-ANL)를 포함한다. 후열처리(S16)는 텅스텐층의 비저항을 감소하기 위한 공정이다. 후열처리(S16)는 급속열처리(RTP)를 포함할 수 있다. 후열처리(S16)는 약 1시간동안 진행할 수 있다. 후열처리(S16)는 텅스텐층의 산화를 방지하기 위해 질소(N2) 분위기에서 실시할 수 있다. 후열처리(S16)는 800℃의 온도에서 실시할 수 있다. 텅스텐층은 후열처리(S16)를 통해 열에너지를 구동력으로 하여 결정립이 커지고, 낮은 배위수(coordination number)를 갖도록 상(phase)이 변화되며, 탄소 농도가 감소된다. 후열처리(S16)에 의해 탄소 농도가 더욱 낮아지며, W2C상과 베타텅스텐(β-W)상의 작은 결정립은 알파텅스텐상(α-W)의 매우 큰 결정립으로 변화된다.
예를 들어, 후열처리(S16)를 통해 텅스텐층 내 탄소 농도가 20at% 이하(10∼15at%)로 감소된다. 결정립의 크기가 10배 이상 커진다. 결국, 후열처리(S16)가 실시된 텅스텐층은 탄소 농도가 감소하며 큰 결정립을 갖게 되어 증착후보다 비저항(Resistivity)이 약 80% 이상 감소된다.
제1실시예에 따른 텅스텐층은 금속의 확산을 방지하는 확산배리어(Diffusion barrier)로 사용될 수 있다. 또한, 제1실시예에 따른 텅스텐층은 핵생성층(Nucleation layer)으로 사용될 수 있다. 핵생성층은 벌크 텅스텐층의 성장 사이트(site)를 제공한다.
제1실시예에 따른 텅스텐층은 확산배리어 및 핵생성층을 포함하는 텅스텐 패턴에 사용될 수 있다. 텅스텐 패턴은 게이트전극, 금속배선, 비트라인, 콘택플러그 등을 포함할 수 있다. 제1실시예에 따른 텅스텐층이 핵생성층의 역할을 수행할 수도 있으므로, 텅스텐 패턴 공정시 공정을 단순화할 수 있다. 즉, 하나의 텅스텐층이 확산배리어 및 핵생성층의 역할을 동시에 수행할 수 있다.
도 2a는 제2실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이고, 도 2b는 도 2a에 따른 텅스텐층의 구조를 도시한 도면이다.
도 2b를 참조하면, 기판(21) 상에 텅스텐층(24)을 형성한다. 기판(21)은 실리콘과 같은 반도체 공정에 적합한 임의 물질로 형성될 수 있고, 유전체 또는 도전성 물질과 같은 층들을 상부에 구비할 수 있다. 기판(21) 표면은 텅스텐층(24)의 증착이 실시되는 임의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 기판(21) 표면은, 용도에 따라서, 실리콘, 실리콘 산화물, 고유전물질, 실리콘 질화물, 도핑된 실리콘, 금속, 금속질화물 및 기타 도전성 물질과 같은 물질을 포함할 수 있을 것이다. 이하, 제2실시예에서, 기판(21) 표면은 실리콘산화물(SiO2)을 포함할 수 있다. 실리콘산화물(SiO2)은 트랜지스터의 게이트절연층으로 사용되는 물질을 포함할 수 있다.
제2실시예에 따른 텅스텐층(24)은 제1텅스텐층(22)과 제2텅스텐층(23)을 적층하여 형성할 수 있다. 제1텅스텐층(22)은 원자층증착법(ALD)을 이용하여 형성할 수 있다. 제2텅스텐층(23)은 원자층증착법(ALD) 또는 화학기상증착법(CVD)을 이용하여 형성할 수 있다. 원자층증착법(ALD)을 이용하는 경우, 제1텅스텐층(22) 및 제2텅스텐층(23)은 동일 챔버내에서 증착될 수 있다. 제1텅스텐층(22)은 배리어층이고, 제2텅스텐층(23)은 벌크층(Bulk layer)이다. 따라서, 제2실시예에 따른 텅스텐층(24)은 텅스텐 배리어층과 벌크 텅스텐층의 적층구조를 포함할 수 있다.
도 2a를 참조하여 텅스텐층(24)의 형성 방법을 살펴보기로 한다.
도 2a를 참조하면, 제2실시예에 따른 텅스텐층(24)의 형성 방법은 제1텅스텐층 형성 단계(201), 제2텅스텐층 형성 단계(202), 열처리(203)를 포함한다.
제1텅스텐층 형성 단계(201)
제1텅스텐층(22)은 무불소텅스텐소스(FFWS)를 이용하여 형성할 수 있다. 예를 들어, 제1텅스텐층(22)은 무불소텅스텐소스를 이용한 원자층증착법(ALD)에 의해 형성될 수 있다. 제1텅스텐층(22)을 형성하기 위한 원자층증착법(ALD)은 무불소텅스텐소스 주입 단계(S21), 퍼지 단계(S22), 반응제 주입단계(S23), 퍼지 단계(S24)를 단위사이클로 하고, 이 단위사이클을 수회 반복(S25)하여 요구되는 두께의 제1텅스텐층(22)을 증착할 수 있다. 원자층증착법은 150∼320℃의 온도에서 250W의 파워로 진행할 수 있다.
무불소텅스텐소스 주입 단계(S21)는, 무불소텅스텐소스를 기판(21) 상에 흡착시킨다. 무불소텅스텐소스(FFWS)는 금속유기 텅스텐소스(Metal organic tungsten source)를 적용할 수 있다. 무불소텅스텐소스(FFWS)는 불소가 미함유된 금속유기 텅스텐소스를 포함할 수 있다. 무불소텅스텐소스(FFWS)는 텅스텐과 탄소를 함유하는 화합물을 포함할 수 있다. 또한, 무불소텅스텐소스는 텅스텐, 탄소 및 질소를 함유하는 화합물을 포함할 수 있다. 예를 들어, 무불소텅스텐소스는 C8H7NO3W, C12H30N4W을 포함할 수 있다. 무불소텅스텐소스를 이용하여 증착되는 제1텅스텐층(22)은 탄소의 함량에 의해 비저항이 낮아지고 배리어의 기능을 수행할 수 있다. 탄소의 함량이 40at% 이하가 되도록 무불소텅스텐소스의 유량이 제어될 수 있다.
다음으로, 미흡착된 무불소텅스텐소스를 제거하기 위해 퍼지단계(S22)를 실시한다. 퍼지 단계(S22)는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
다음으로, 반응제 주입단계(S23)는 흡착된 무불소텅스텐소스와 반응하여 원자층 단위의 텅스텐층을 증착하는 공정이다. 여기서, 반응제는 환원제 또는 환원가스를 포함할 수 있다. 반응제는 수소함유물질을 포함할 수 있다. 반응제 주입단계(S23)는 수소함유물질의 플라즈마처리를 포함할 수 있다. 제2실시예는 반응제 주입단계(S23)로서 수소플라즈마처리(H2 Plasma treatment)를 포함할 수 있다. 이와 같이 수소플라즈마처리를 실시함으로써 무불소텅스텐소스와 수소의 반응에 의해 제1텅스텐층(22)이 증착된다. 수소플라즈마처리에 의해 제1텅스텐층(22)의 막내 탄소의 함량을 40% 이하로 제어할 수 있다. 탄소의 함량을 제어하기 위해 수소플라즈마처리의 조건(예, 예, 250W의 파워)을 조절할 수 있다. 수소플라즈마처리를 통해 제1텅스텐층(22)에 함유되어 있는 질소, 산소 등의 불순물을 제거할 수도 있다.
다음으로, 미반응 반응제 및 반응부산물을 제거하기 위해 퍼지 단계(S24)를 실시한다. 퍼지 단계(S24)는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
상술한 바와 같은 무불소텅스텐소스 주입 단계(S21), 퍼지 단계(S22), 반응제 주입 단계(S23), 퍼지 단계(S24)를 단위사이클로 하고, 단위사이클을 수회 반복(S25) 하여 원하는 두께의 제1텅스텐층(22)을 증착할 수 있다. 제2실시예에 따른 제1텅스텐층(22)은 20∼30Å의 두께로 형성할 수 있다. 원자층증착법을 이용함에 따라 단차피복성이 우수하다. 제2실시예에 따른 제1텅스텐층(22)은 불소가 미함유된 무불소텅스텐층(FFW) 또는 불소가 미함유되고 탄소를 미량 함유하는 무불소텅스텐탄소층(FFWC)을 포함할 수 있다. 무불소텅스텐탄소층(FFWC)에 함유된 탄소의 함량은 40% 이하가 될 수 있다. 예를 들어, 무불소텅스텐탄소층(FFWC)의 두께가 20Å인 경우 탄소의 함량은 25∼30at%이고, 두께가 30Å인 경우 탄소의 함량은 30∼35at%이다. 이와 같은 탄소의 함량은 수소플라즈마처리에 의해 얻어질 수 있다.
상술한 제2실시예에서, 제1텅스텐층(22)으로서 증착되는 무불소텅스텐층(FFW) 또는 무불소텅스텐탄소층(FFWC)은 불소가 존재하지 않는 금속유기텅스텐소스를 사용하여 형성한다. 이로써, 막내에 불소가 함유되지 않아 하부의 기판(21) 표면에 대한 열화가 발생하지 않는다. 또한, 수소 플라즈마처리를 통해 막내 탄소의 함량을 낮춘다. 이로써 비저항을 개선하면서 배리어의 기능을 갖는다.
제2텅스텐층( Bulk W) 형성 단계(202)
벌크층인 제2텅스텐층(23)의 경우는 육불화텅스텐(WF6)과 H2를 이용하여 형성할 수 있다. 제2텅스텐층(23)은 화학기상증착법(CVD) 또는 원자층증착법(ALD)을 이용하여 형성할 수 있다. 제2텅스텐층(23)은 40Å의 두께로 형성할 수 있다. 제2텅스텐층(23)은 낮은 비저항의 BCC(Body Centered Cubic) 구조 알파 텅스텐상(α-W phase)을 가지도록 공정온도를 400℃ 이상에서 증착할 수 있다. 제2텅스텐층(23)은 제1텅스텐층(22)을 성장 사이트로 하여 형성될 수 있다. 즉, 제1텅스텐층(22)이 핵생성층의 역할을 한다.
상술한 바에 따르면, 제1텅스텐층(22)은 불소가 미함유된 무불소텅스텐층을 포함하고, 제2텅스텐층(23)은 불소가 미량 함유될 수 있다. 제2텅스텐층(23)에 불소가 함유되더라도 제1텅스텐층(22)이 배리어의 역할을 하므로 불소가 제1텅스텐층(22) 아래의 기판(21)으로 확산되는 것을 방지할 수 있다.
한편, 제2텅스텐층(23) 형성시 텅스텐소스로서, C8H7NO3W, C12H30N4W의 무불소텅스텐소스를 사용할 수도 있다. 하지만, 무불소텅스텐소스를 이용하여 제2텅스텐층(23)을 형성하면, 막내에 탄소를 함유하기 때문에 비저항 측면에서 불리하다. 결국, 제2실시예는 무불소텅스텐소스를 이용하므로써 배리어 및 핵생성층으로서 기능하는 제1텅스텐층(22)을 형성하고, 육불화텅스텐(WF6)을 이용하여 제2텅스텐층(23)을 형성하므로써 비저항을 낮출 수 있다.
위와 같은 일련의 공정에 의해 제1텅스텐층(22)과 제2텅스텐층(23)을 형성하므로써 무불소텅스텐층(FFW)과 벌크텅스텐층(Bulk W)을 포함하는 텅스텐층(24)을 형성할 수 있다. 텅스텐층(24)은 'W/FFW'와 같은 텅스텐스택이 될 수 있다.
열처리(203)
텅스텐층(24)을 형성한 후 비저항을 감소하기 위해 열처리(203)를 실시할 수 있다. 열처리(203)는 후열처리(Post-Anneal; Post-ANL, S27)를 포함한다. 후열처리(S27)는 텅스텐층(24)의 비저항을 감소시킨다. 후열처리(S27)는 급속열처리를 포함할 수 있다. 후열처리(S27)는 약 1시간동안 진행할 수 있다. 후열처리(S27)는 텅스텐층(24)의 산화를 방지하기 위해 질소(N2) 분위기에서 실시할 수 있다. 후열처리(S27)는 800℃의 온도에서 실시할 수 있다.
제1 및 제2텅스텐층(22, 23)은 후열처리(S27)를 통해 열에너지를 구동력으로 하여 결정립이 커지고, 낮은 배위수(coordination number)를 갖도록 상이 변화되며, 탄소 농도가 감소되면서 비저항이 감소된다. W2C상과 베타텅스텐(β-W)상의 작은 결정립은 후열처리(S27)에 의해 알파텅스텐(α-W)상의 매우 큰 결정립으로 변화된다.
예를 들어, 후열처리(S27)를 통해 텅스텐층(24) 내 탄소 농도가 20at% 이하(10∼15at%)로 감소된다. 결정립의 크기가 10배 이상 커진다. 결국, 후열처리(S27)가 실시된 텅스텐층(24)은 탄소 농도가 감소하며 큰 결정립을 갖게 되어 증착후보다 비저항(Resistivity)이 약 80% 이상 감소된다.
아울러, 후열처리(S27)에 의해 막내 불소가 제거될 수 있다.
제2실시예에 따른 제1텅스텐층(22)은 금속의 확산을 방지하는 확산배리어로 사용될 수 있다. 또한, 제2실시예에 따른 제1텅스텐층(22)은 핵생성층으로 사용될 수 있다. 핵생성층은 벌크 텅스텐층인 제2텅스텐층(23)의 성장 사이트(site)를 제공한다.
제2실시예에 따른 텅스텐층(24)은 확산배리어 및 핵생성층을 포함하는 텅스텐 패턴에 사용될 수 있다. 텅스텐 패턴은 게이트전극, 금속배선, 비트라인, 콘택플러그 등을 포함할 수 있다. 제2실시예에 따른 제1텅스텐층(22)이 핵생성층의 역할을 수행할 수도 있으므로, 텅스텐 패턴 공정시 공정을 단순화할 수 있다. 즉, 제1텅스텐층(22)이 확산배리어 및 핵생성층의 역할을 동시에 수행할 수 있다.
도 3a는 제3실시예에 따른 텅스텐층의 형성 방법을 도시한 도면이고, 도 3b는 도 3a에 따른 텅스텐층의 구조를 도시한 도면이다.
도 3b를 참조하면, 기판(211) 상에 텅스텐층(215)을 형성한다. 기판(211)은 실리콘과 같은 반도체 공정에 적합한 임의 물질로 형성될 수 있고, 유전체 또는 도전성 물질과 같은 층들을 상부에 구비할 수 있다. 기판(211) 표면은 텅스텐층(215)의 증착이 실시되는 임의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 기판(211) 표면은, 용도에 따라서, 실리콘, 실리콘 산화물, 고유전물질, 실리콘 질화물, 도핑된 실리콘, 금속, 금속질화물 및 기타 도전성 물질과 같은 물질을 포함할 수 있을 것이다. 이하, 제3실시예에서, 기판(211) 표면은 실리콘산화물(SiO2)을 포함할 수 있다. 실리콘산화물(SiO2)은 트랜지스터의 게이트절연층으로 사용되는 물질을 포함할 수 있다.
텅스텐층(215)은 제1텅스텐층(212), 제2텅스텐층(213), 제3텅스텐층(214)을 적층하여 형성할 수 있다. 제1텅스텐층(212)은 원자층증착법(ALD)을 이용하여 형성할 수 있다. 제2텅스텐층(213)과 제3텅스텐층(214)은 원자층증착법(ALD) 또는 화학기상증착법(CVD)을 이용하여 형성할 수 있다. 원자층증착법을 이용하는 경우, 제1텅스텐층(212), 제2텅스텐층(213) 및 제3텅스텐층(214)은 동일 챔버내에서 증착될 수 있다. 제1텅스텐층(212)은 배리어층이고, 제2텅스텐층(213)은 핵생성층(Nucleation layer)이며, 제3텅스텐층(214)은 벌크층(Bulk layer)이다. 따라서, 제3실시예에 따른 텅스텐층(215)은 텅스텐 배리어층, 핵생성 텅스텐층, 벌크 텅스텐층의 적층구조를 포함할 수 있다.
도 3a를 참조하여 텅스텐층(215)의 형성 방법을 살펴보기로 한다.
도 3a를 참조하면, 제3실시예에 따른 텅스텐층(215)의 형성 방법은 제1텅스텐층 형성 단계(301), 제2텅스텐층 형성 단계(302), 제3텅스텐층 형성 단계(303), 열처리(304)를 포함한다.
제1텅스텐층 형성 단계(301)
제1텅스텐층(212)은 무불소텅스텐소스(FFWS)를 이용하여 형성할 수 있다. 예를 들어, 제1텅스텐층(212)은 무불소텅스텐소스를 이용한 원자층증착법(ALD)에 의해 형성될 수 있다. 제1텅스텐층(212)을 형성하기 위한 원자층증착법(ALD)은 무불소텅스텐소스 주입 단계(S31), 퍼지 단계(S32), 반응제 주입단계(S33), 퍼지 단계(S34)를 단위사이클로 하고, 이 단위사이클을 수회 반복(S35)하여 요구되는 두께의 제1텅스텐층(212)을 증착할 수 있다. 원자층증착법은 150∼320℃의 온도에서 250W의 파워로 진행할 수 있다.
무불소텅스텐소스 주입 단계(S31)는, 무불소텅스텐소스를 기판(211) 상에 흡착시킨다. 무불소텅스텐소스(FFWS)는 금속유기 텅스텐소스(Metal organic tungsten source)를 적용할 수 있다. 무불소텅스텐소스(FFWS)는 불소가 미함유된 금속유기 텅스텐소스를 포함할 수 있다. 무불소텅스텐소스(FFWS)는 텅스텐과 탄소를 함유하는 화합물을 포함할 수 있다. 또한, 무불소텅스텐소스는 텅스텐, 탄소 및 질소를 함유하는 화합물을 포함할 수 있다. 예를 들어, 무불소텅스텐소스는 C8H7NO3W, C12H30N4W을 포함할 수 있다. 제1텅스텐층(212)은 탄소의 함량에 의해 비저항이 낮아지고 배리어의 기능을 수행할 수 있다. 따라서, 탄소의 함량이 40at% 이하가 되도록 무불소텅스텐소스의 유량이 제어될 수 있다.
다음으로, 미흡착된 무불소텅스텐소스를 제거하기 위해 퍼지단계(S32)를 실시한다. 퍼지 단계(S32)는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
다음으로, 반응제 주입단계(S33)는 흡착된 무불소텅스텐소스와 반응하여 원자층 단위의 텅스텐층을 증착하는 공정이다. 여기서, 반응제는 환원제 또는 환원가스를 포함할 수 있다. 반응제는 수소함유물질을 포함할 수 있다. 반응제 주입단계(S33)는 수소함유물질의 플라즈마처리를 포함할 수 있다. 제3실시예는 반응제주입단계(S33)로서 수소플라즈마처리(H2 Plasma treatment)를 포함할 수 있다. 이와 같이 수소플라즈마처리를 실시함으로써 무불소텅스텐소스와 수소의 반응에 의해 제1텅스텐층(212)이 증착된다. 수소플라즈마처리에 의해 제1텅스텐층(212)의 막내 탄소의 함량을 40% 이하로 제어할 수 있다. 탄소의 함량을 제어하기 위해, 수소플라즈마처리의 조건(예, 250W의 파워)을 조절할 수 있다. 수소플라즈마처리를 통해 제1텅스텐층(212)에 함유되어 있는 질소, 산소 등의 불순물을 제거할 수도 있다.
다음으로, 미반응 반응제 및 반응부산물을 제거하기 위해 퍼지 단계(S34)를 실시한다. 퍼지 단계(S34)는, 아르곤 등의 비활성가스를 공급하여 진행할 수 있다.
상술한 바와 같은 무불소텅스텐소스 주입 단계(S31), 퍼지 단계(S32), 반응제 주입 단계(S33), 퍼지 단계(S34)를 단위사이클로 하고, 단위사이클을 수회 반복(S35) 하여 원하는 두께의 제1텅스텐층(212)을 증착할 수 있다. 제1텅스텐층(212)은 20∼30Å의 두께로 형성할 수 있다. 원자층증착법을 이용함에 따라 단차피복성이 우수하다. 제1텅스텐층(212)은 불소가 미함유된 무불소텅스텐층(FFW) 또는 불소가 미함유되고 탄소를 미량 함유하는 무불소텅스텐탄소층(FFWC)을 포함할 수 있다. 무불소텅스텐탄소층(FFWC)에 함유된 탄소의 함량은 25∼35at%가 될 수 있다. 이와 같은 탄소의 함량은 수소플라즈마처리에 의해 얻어진다.
제3실시예에서, 제1텅스텐층(212)으로서 증착되는 무불소텅스텐층(FFW) 또는 무불소텅스텐탄소층(FFWC)은 불소가 존재하지 않는 금속유기텅스텐소스를 사용하여 형성한다. 이로써, 막내에 불소가 함유되지 않아 하부의 기판(211) 표면에 대한 열화가 발생하지 않는다. 또한, 수소 플라즈마처리를 통해 막내 탄소의 함량을 40at% 이하로 제어하므로써 비저항을 개선하면서 배리어의 기능을 갖는다.
제2텅스텐층( Nucleation ) 형성 단계(302)
제1텅스텐층(212) 상에 제2텅스텐층(213)을 증착한다. 제2텅스텐층(213)은 핵생성층의 역할을 한다. 제2텅스텐층(23)은 원자층증착법(ALD) 또는 화학기상증착법(CVD)을 이용하여 형성할 수 있다. 원자층증착법을 이용하는 경우, 제1텅스텐층(212)과 동일한 챔버에서 진행할 수 있다.
제2텅스텐층(213)은 텅스텐소스로서 육불화텅스텐(WF6)을 사용할 수 있고, 소킹가스로서 디보란(B2H6)을 사용할 수 있다. 육불화텅스텐(WF6)과 디보란(B2H6)을 각각 5∼6회 정도 주입 및 퍼지하여 형성할 수 있다. 소킹가스로서 디보란(B2H6)을 사용하므로써 제2텅스텐층(213)은 비정질상을 갖는다. 이에 따라, 제2텅스텐층(213)은 큰 결정립을 가져 비저항이 낮다. 제2텅스텐층(213)은 약 20Å 이내의 얇은 두께로 형성한다. 디보란(B2H6)의 유량은 300sccm 이상으로 하고, 공정 온도를 350℃ 이하로 한다. 비정질상의 제2텅스텐층(213)에 의해 후속의 제3텅스텐층(214)의 결정립을 크게 형성할 수 있다. 제2텅스텐층(213)은 핵생성층으로서, 후속 벌크 텅스텐층인 제3텅스텐층(214)에 대한 성장 사이트(site)로서 작용하는 얇은 텅스텐층이다.
핵생성층으로서 제2텅스텐층(213)을 형성하면, 5% 이하의 시트저항(Sheet resistance, Rs) 균일도(uniformity)를 확보할 수 있다.
제3텅스텐층(W bulk) 형성 단계(303)
벌크층인 제3텅스텐층(214)의 경우는 육불화텅스텐(WF6)과 H2를 이용하여 형성할 수 있다. 이때, 핵생성층인 제2텅스텐층(213)의 비저항은 벌크층인 제3텅스텐층(214)보다 매우 높기 때문에 낮은 비저항을 얻기 위해서는 핵생성층을 가급적 생략하는 것이 바람직하지만, 이 경우 바로 벌크층을 증착하게 되면서 시트저항 균일도가 열화되므로 핵생성층을 가능한 얇게 형성한다. 제3텅스텐층(214)은 낮은 비저항의 BCC(Body Centered Cubic) 구조의 알파 텅스텐상(α-W phase)을 가지도록 공정온도를 400℃ 이상에서 증착할 수 있다. 제3텅스텐층(214)은 화학기상증착법 또는 원자층증착법을 이용하여 형성할 수 있다.
상술한 바에 따르면, 제3실시예에 따른 텅스텐층(215)은 제1텅스텐층(212), 제2텅스텐층(213) 및 제3텅스텐층(214)을 포함하는 적층 구조가 될 수 있다. 제1텅스텐층(212)이 배리어층이고, 제2텅스텐층(213)과 제3텅스텐층(214)이 전극이라 할 때, 텅스텐층(215)은 'W/FFW'의 적층구조가 될 수 있다. 제1텅스텐층(212)은 불소가 미함유된 무불소텅스텐층을 포함하고, 제2텅스텐층(213)과 제3텅스텐층(214)은 불소가 미함유되거나 불소가 미량 함유될 수 있다. 제2텅스텐층(213)과 제3텅스텐층(214)에 불소가 미량 함유되더라도 제1텅스텐층(212)이 배리어의 역할을 하므로 불소가 제1텅스텐층(212) 아래의 기판(211)으로 확산되는 것을 방지할 수 있다.
한편, 제3텅스텐층(214) 형성시 텅스텐소스로서, C8H7NO3W, C12H30N4W의 무불소텅스텐소스를 사용할 수도 있다. 하지만, 무불소텅스텐소스를 이용하여 제3텅스텐층(214)을 형성하면, 막내에 탄소를 함유하기 때문에 비저항 측면에서 불리하다. 결국, 제3실시예는 무불소텅스텐소스를 이용하므로써 배리어로서 기능하는 제1텅스텐층(212)을 형성하고, 육불화텅스텐을 이용하여 핵생성층 및 벌크층을 형성하므로써 비저항을 낮출 수 있다.
열처리(304)
제1텅스텐층(212), 제2텅스텐층(213) 및 제3텅스텐층(214)을 포함하는 텅스텐층(215)을 형성한 후 비저항을 감소하기 위해 열처리(304)를 실시한다. 열처리(304)는 후열처리(Post-ANL, S38)를 포함할 수 있다. 후열처리(S38)는 급속열처리를 포함할 수 있다. 후열처리(S38)는 약 1시간동안 진행할 수 있다. 후열처리(S38)는 텅스텐층(215)의 산화를 방지하기 위해 질소(N2) 분위기에서 실시할 수 있다. 후열처리(S38)는 800℃의 온도에서 실시할 수 있다.
제1 내지 제3텅스텐층(212, 213, 214)은 후열처리(S38)를 통해 열에너지를 구동력으로 하여 결정립이 커지고, 낮은 배위수(coordination number)를 갖도록 상이 변화되며, 탄소 농도가 감소되면서 비저항이 감소된다. W2C상과 베타텅스텐(β-W)상의 작은 결정립은 후열처리(S38)에 의해 알파텅스텐(α-W)상의 매우 큰 결정립으로 변화된다.
예를 들어, 후열처리(S38)를 통해 텅스텐층(215) 내 탄소 농도가 20at% 이하(10∼15at%)로 감소된다. 결정립의 크기가 10배 이상 커진다. 결국, 후열처리(S38)가 실시된 텅스텐층(215)은 탄소 농도가 감소하며 큰 결정립을 갖게 되어 증착후보다 비저항(Resistivity)이 약 80% 이상 감소된다.
아울러, 후열처리(S38)에 의해 막내 불소가 제거될 수 있다.
제3실시예에 따른 제1텅스텐층(212)은 금속의 확산을 방지하는 확산배리어로 사용될 수 있다.
제3실시예에 따른 텅스텐층(215)은 확산배리어 및 핵생성층을 포함하는 텅스텐 패턴에 사용될 수 있다. 텅스텐 패턴은 게이트전극, 금속배선, 비트라인, 콘택플러그 등을 포함할 수 있다.
도 4는 게이트전극의 재료로 사용되는 물질들의 비저항을 비교한 도면이다. 도 4는, 티타늄질화층과 텅스텐층이 적층된 제1시료(W/TiN), 텅스텐질화층과 텅스텐층이 적층된 제2시료(W/WN), 무불소텅스텐층과 텅스텐층이 적층된 제3시료(W/FFW)에 대해 저항을 비교한 도면이다. 제2시료(W/WN)는 텅스텐층 형성전에 어닐(RTP)을 실시하였고, 제3시료는 무불소텅스텐층과 텅스텐층을 형성한 이후에 어닐(ANL)을 실시하였다. 제1시료 내지 제3시료는 텅스텐층이 게이트전극으로 사용되며, 텅스텐층의 확산배리어로서 각각 티타늄질화층(TiN), 텅스텐질화층(WN), 무불소텅스텐층(FFW)을 사용하고 있다. 제1시료 및 제2시료에서 텅스텐층은 벌크 텅스텐층으로서, 본 실시예들과 동일하게 육불화텅스텐(WF6)과 H2을 이용하여 형성된 벌크 텅스텐층이다. 제3시료에서 텅스텐층은 제2실시예에 따른 벌크 텅스텐층이거나 또는 제3실시예에 따른 핵생성층과 벌크 텅스텐층을 포함할 수 있다.
도 4를 참조하면, 무불소텅스텐층(FFW)을 확산배리어로 사용하는 제3시료(W/FFW)의 비저항이 나머지 제1시료(W/TiN) 및 제2시료(W/WN)보다 현저히 감소함을 알 수 있다.
예를 들어, 제2시료와 제3시료가 각각 60Å의 두께를 갖는다고 할 때, 제2시료의 비저항은 약 100μohm-cm이나, 제3시료의 비저항은 약 40μohm-cm으로 현저히 낮다. 제1시료는 약 240μohm-cm으로 비저항이 매우 크다.
이와 같이, 본 실시예들에 따른 무불소텅스텐층(FFW)을 확산배리어로 사용하므로써 낮은 비저항을 갖는 텅스텐게이트전극을 형성할 수 있다.
결국, 본 실시예들에 따른 무불소텅스텐층 또는 무불소텅스텐탄소층을 배리어로 사용하므로써 텅스텐질화물 및 티타늄질화물을 배리어로 사용하는 텅스텐게이트전극보다 비저항을 현저히 낮출 수 있다.
아래 표1은 제3시료에 대한 증착후와 후열처리후의 비저항(resistivity)을 비교한 표이다. 표1의 결과는 무불소텅스텐층과 텅스텐층을 각각 30Å의 두께로 형성한 경우이다.
Condition items W/FFW(30Å/30Å)
증착후 비저항(resistivity) 175.9
후열처리후 비저항(resistivity) 36.1
표 1을 참조하면, 증착후의 비저항이 약 175.9μohm-cm이었으나, 후열처리를 실시하므로써 36.1μohm-cm으로 감소됨을 알 수 있다. 후열처리에 의해 증착후보다 비저항이 80% 이상 현저히 감소된다.
도 5는 무불소텅스텐층(FFW)의 불소 확산 배리어(Fluorine diffusion barrier)의 기능을 설명하기 위한 도면이다. 도 5의 결과는 무불소텅스텐층(FFW)을 배리어로 사용하는 제4시료(W/FFW)와 티타늄질화물(TiN)을 배리어로 사용하는 제5시료(W/TiN)에 대해 불소확산배리어 기능을 비교하고 있다. 제4시료와 제5시료에서 무불소텅스텐층(FFW)과 티타늄질화물(TiN)은 각각 30Å의 두께이고, 텅스텐층은 30Å의 두께이다.
도 5를 참조하면, 제4시료가 제5시료보다 불소(F)의 피크가 더 감소되어 있음을 알 수 있고, 이는 티타늄질화물(TiN)보다 무불소텅스텐층(FFW)가 불소 확산을 방지하는 효과가 더 크다는 것을 의미한다.
도 6은 후열처리후의 결정립 크기를 설명하기 위한 도면으로서, 후열처리(Post-ANL)를 실시하므로써 증착후(As-dep)보다 결정립의 크기가 증가하고 있음을 알 수 있다.
도 7은 후열처리후의 상전이를 설명하기 위한 도면으로서, 증착후(As-dep)의 결정상이 베타상(β-W)이나, 후열처리(Post-ANL)를 진행하므로써 알파상(α-W)으로 상전이됨을 알 수 있다.
도 8은 본 실시예들에 따른 텅스텐층의 탄소 농도 감소를 설명하기 위한 도면이다. 도 8의 결과는 W/FFW(30Å/30Å)에 대해 증착후(As-dep)와 후열처리후(Post-ANL)의 원소농도(Atom Concentration; A.C.)를 계측한 결과이다. 도 8의 결과는 AES법을 사용하여 계측할 수 있다.
도 8을 참조하면, W/FFW(30Å/30Å)은 증착후에 탄소가 약 30at% 정도 함유되고 있으나, 후열처리를 실시하므로써 탄소의 농도가 10at% 정도로 감소되고 있음을 알 수 있다.
후열처리를 실시하므로써 막내 탄소의 함량이 현저히 감소되고 있음을 알 수 있다.
본 실시예들에 따른 무불소텅스텐층(FFW) 또는 무불소텅스텐탄소층(FFWC)을 포함하는 텅스텐층은 플라나게이트, 매립게이트, 리세스게이트, 수직게이트 등의 게이트구조물에 적용할 수 있다. 또한, 비트라인, 플러그, 금속배선에도 적용할 수 있다.
도 9a 및 도 9b는 제3실시예에 따른 텅스텐층 형성 방법을 이용한 플라나게이트 구조물의 형성 방법을 도시한 도면이다.
도 9a에 도시된 바와 같이, 반도체 기판(31) 상에 게이트절연층(33)을 형성한다. 게이트절연층(33)은 적어도 고유전체막(High-k)을 포함한다. 그리고, 반도체기판(31)과 게이트절연층(33) 사이에 계면층(32)을 더 형성할 수 있다. 계면층(32)은 실리콘산화물(SiO2)을 포함할 수 있다. 계면층(32)은 반도체 기판(31)과 게이트절연층(33)간의 계면특성을 개선시켜 전자 이동도(Electron Mobility) 특성을 향상시키는 역할을 한다. 게이트절연층(33)은 고유전율(High-k)을 갖는 물질(이하 '고유전층'이라 약칭함)을 포함할 수 있다. 고유전층은 실리콘산화물(SiO2)의 유전율(약 3.9)보다 더 큰 유전율을 갖는다. 또한, 고유전층은 물리적으로 실리콘산화물보다 상당히 더 두껍고, 더 낮은 등가산화막두께(Equivalent oxide thickness, EOT) 값을 갖는다. 예를 들어, 고유전층은 금속산화물, 금속실리케이트, 금속실리케이트질화물 등의 금속함유물질을 포함한다. 금속산화물은 하프늄(Hf), 알루미늄(Al), 란탄늄(La), 지르코늄(Zr) 등의 금속을 함유하는 산화물을 포함한다. 금속산화물은 하프늄 산화물(hafnium oxide, HfO2), 알루미늄산화물(Al2O3), 란탄늄 산화물(lanthanum oxide, LaO2), 지르코늄 산화물(zirconium oxide, ZrO2) 또는 이들 재료들의 조합을 포함할 수 있다. 금속실리케이트는 하프늄(Hf), 지르코늄(Zr) 등의 금속을 함유하는 실리케이트를 포함한다. 금속실리케이트는 하프늄실리케이트(HfSiO), 지르코늄 실리케이트(ziconium silicate, ZrSiOx) 또는 이들의 조합을 포함할 수 있다. 금속실리케이트질화물은 하프늄실리케이트질화물(HfSiON), 지르코늄실리케이트질화물(ZrSiON) 등을 포함할 수 있다.
게이트절연층(33) 상에 게이트스택(301)을 형성한다. 게이트스택(301)은 텅스텐층의 스택을 포함할 수 있다. 게이트스택(301)은 텅스텐 배리어층(34), 핵생성텅스텐층(35) 및 벌크 텅스텐층(36)을 적층하여 형성할 수 있다. 게이트스택(301)은 도 3a에 도시된 방법을 이용하여 형성할 수 있다. 텅스텐 배리어층(34)은 제1텅스텐층에 대응하고, 핵생성 텅스텐층(35)은 제2텅스텐층에 대응하며, 벌크 텅스텐층(36)은 제3텅스텐층에 대응할 수 있다. 따라서, 텅스텐 배리어층(34)은 무불소텅스텐소스(FFWS)와 수소플라즈마처리를 포함하는 원자층증착법을 이용하여 형성할 수 있다. 텅스텐 배리어층(34)은 무불소텅스텐층(FFW) 또는 무불소텅스텐탄소층(FFWC)을 포함할 수 있다. 텅스텐 배리어층(34)은 확산배리어의 역할을 할 수 있다. 핵생성 텅스텐층(35)은 벌크 텅스텐층(36)의 성장 사이트로서, 육불화텅스텐(WF6)과 디보란(B2H6)을 사용하여 형성할 수 있다. 벌크 텅스텐층(36)은 육불화텅스텐(WF6)과 H2를 이용하여 형성할 수 있다.
다음으로, 후열처리(37)를 실시한다. 후열처리(37)는 급속열처리를 포함할 수 있다. 후열처리(37)는 약 1시간동안 진행할 수 있다. 후열처리(37)는 텅스텐층들의 산화를 방지하기 위해 질소(N2) 분위기에서 실시할 수 있다. 후열처리(37)는 800℃의 온도에서 실시할 수 있다. 텅스텐층들은 후열처리(37)를 통해 열에너지를 구동력으로 하여 결정립이 커지고, 낮은 배위수를 갖도록 상이 변화되며, 탄소 농도가 감소되면서 비저항이 감소된다.
도 9b에 도시된 바와 같이, 게이트스택(301)을 식각하여 게이트구조물(302)을 형성한다. 게이트구조물(302)은 텅스텐 배리어층 패턴(340), 핵생성 텅스텐층 패턴(350), 벌크 텅스텐층 패턴(360)의 순서로 적층될 수 있다. 게이트스택(301)을 식각한 이후에 게이트절연층(33)과 계면층(32)을 더 식각할 수도 있다. 따라서, 게이트구조물(302) 아래에 게이트절연층패턴(330)과 계면층패턴(320)이 더 형성될 수 있다.
상술한 실시예에 따르면, 게이트구조물(302)은 텅스텐을 주성분으로 하는 금속게이트 구조가 된다. 확산배리어인 텅스텐 배리어층패턴(340)은 무불소텅스텐층 또는 무불소텅스텐탄소층을 포함한다. 이와 같이 텅스텐을 주성분으로 함에 따라, 게이트구조물(302)의 저항을 낮출 수 있다. 또한, 확산배리어로서 불소가 미함유된 물질을 사용함에 따라 게이트절연층패턴(330)의 어택이 발생하지 않는다.
게이트구조물(302)은 NMOS와 PMOS의 게이트전극으로서 사용될 수 있다. 또한, CMOS 회로의 게이트전극으로서 사용될 수도 있다. 특히, 무불소텅스텐층의 경우 NMOS의 문턱전압을 감소시킬 수 있다.
도 10은 게이트전극으로서 무불소텅스텐층(FFW)을 사용함에 따른 C-V 특성을 설명하기 위한 도면이다. 도 10을 참조하면, 무불소텅스텐층(FFW)을 사용한 경우(W/FFW), W/TiN 대비 동등 수준 및 (-) 방향으로 문턱전압(Vt)을 변화시킬 수 있음을 알 수 있다.
도 11은 제2실시예에 따른 텅스텐층 형성 방법을 이용한 매립게이트 구조물을 도시한 도면이다.
도 11을 참조하면, 반도체기판(41)에 소자분리막(42)이 형성된다. 하드마스크막패턴(43)에 의한 식각 공정에 의해 반도체기판(41)과 소자분리막(42)에 게이트트렌치(44)가 형성된다. 게이트트렌치(44)의 표면에 게이트절연층(45)이 형성된다. 게이트절연층(45) 상에 게이트트렌치(44)를 매립하는 매립게이트전극이 형성된다. 매립게이트전극은 텅스텐 배리어층(460), 핵생성 텅스텐층(461) 및 벌크 텅스텐층(462)을 포함한다. 매립게이트전극 상에 캡핑막(47)이 갭필된다.
도 12는 제2실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 일예를 도시한 도면이다.
도 12를 참조하면, 반도체기판(41)에 소자분리막(42)이 형성된다. 하드마스크막패턴(43)에 의한 식각 공정에 의해 반도체기판(41)과 소자분리막(42)에 게이트트렌치(44)가 형성된다. 게이트트렌치(44)의 표면에 게이트절연층(45)이 형성된다. 게이트절연층(45) 상에 게이트트렌치(44)를 매립하는 매립게이트전극이 형성된다. 매립게이트전극은 텅스텐 배리어층(460), 핵생성 텅스텐층(461) 및 벌크 텅스텐층(462)을 포함한다. 매립게이트전극 상에 캡핑막(47)이 갭필된다.
캡핑막(47)을 포함한 반도체기판(41)의 전면에 층간절연막(48)이 형성된다. 층간절연막(48)에 비트라인콘택홀(49)이 형성된다. 비트라인콘택홀(49)에 비트라인콘택플러그를 겸하는 비트라인이 형성된다. 비트라인은 텅스텐 배리어층(50), 핵생성 텅스텐층(51) 및 벌크 텅스텐층(52)을 포함한다. 비트라인 상에 비트라인하드마스크막(53)이 형성된다.
도 13은 제2실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 다른 예를 도시한 도면이다.
도 13에 도시된 비트라인구조물은 비트라인콘택홀(49)보다 비트라인의 선폭이 더 작은 구조물이다. 비트라인콘택홀(49) 내에 비트라인콘택플러그(54)가 형성된다. 비트라인콘택플러그(54)는 폴리실리콘을 포함할 수 있다. 비트라인콘택플러그(54) 상에 텅스텐 배리어층(55), 핵생성 텅스텐층(56) 및 벌크 텅스텐층(57)을 포함하는 비트라인이 형성된다. 비트라인 상에 비트라인하드마스크막(58)이 형성된다.
도 14는 제2실시예에 따른 텅스텐층 형성 방법을 이용한 비트라인 구조물의 또다른 예를 도시한 도면이다. 도 14에 도시된 비트라인구조물은 다마신 비트라인 (Damscene bitline) 구조로서, 다마신패턴(59) 내에 비트라인이 매립된다. 비트라인은 텅스텐 배리어층(60), 핵생성 텅스텐층(61) 및 벌크 텅스텐층(62)을 포함한다. 비트라인 상에 비트라인하드마스크막(63)이 형성된다.
도 15는 제2실시예에 따른 텅스텐층 형성 방법을 이용한 콘택플러그를 도시한 도면이다.
도 15를 참조하면, 반도체기판(71) 상에 층간절연막(72)이 형성된다. 층간절연막(72)에 콘택홀(73)이 형성된다. 콘택홀(73)에 콘택플러그가 형성된다. 콘택플러그는 텅스텐 배리어층(74), 핵생성 텅스텐층(75) 및 벌크 텅스텐층(76)을 포함한다.
전술한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
211 : 반도체기판 212 : 제1텅스텐층
213 : 제2텅스텐층 214 : 제3텅스텐층
215 : 텅스텐층

Claims (27)

  1. 기판 상에 무불소텅스텐소스 및 수소플라즈마처리를 이용하여 제1탄소함량을 갖는 무불소텅스텐탄소층을 형성하는 단계;
    상기 무불소텅스텐탄소층 상에 텅스텐 벌크층을 형성하는 단계; 및
    상기 무불소텅스텐탄소층과 텅스텐 벌크층의 스택에 대해 질소(N2) 분위기의 열처리를 실시하는 단계를 포함하고,
    상기 질소(N2) 분위기의 열처리 이후에, 상기 무불소텅스텐탄소층과 텅스텐 벌크층의 스택은 상기 제1탄소함량보다 감소된 제2탄소함량을 갖고,
    상기 질소(N2) 분위의 열처리 이후에 상기 무불소텅스텐탄소층과 텅스텐 벌크층의 스택은 상기 질소(N2) 분위의 열처리 이전보다 더 큰 결정립크기를 갖는
    텅스텐층 형성 방법.
  2. ◈청구항 2은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계에서,
    상기 무불소텅스텐소스는 텅스텐과 탄소를 함유하는 화합물을 포함하는 텅스텐층 형성 방법.
  3. ◈청구항 3은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐소스는,
    텅스텐, 탄소 및 질소를 함유하는 화합물을 포함하는 텅스텐층 형성 방법.
  4. ◈청구항 4은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐소스는, C8H7NO3W 또는 C12H30N4W을 포함하는 텅스텐층 형성 방법.
  5. ◈청구항 5은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계는,
    원자층증착법을 이용하여 진행하는 텅스텐층 형성 방법
  6. ◈청구항 6은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계는,
    무불소텅스텐소스 주입 단계, 제1퍼지 단계, 반응제 주입 단계 및 제2퍼지 단계의 순서로 이루어진 단위사이클을 수회 반복하는 원자층증착법을 이용하되,
    상기 반응제 주입 단계는 상기 수소 플라즈마처리를 포함하고,
    상기 무불소텅스텐소스 주입 단계는 상기 무불소텅스텐소스를 이용하는
    텅스텐층 형성 방법.
  7. ◈청구항 7은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 텅스텐 벌크층을 형성하는 단계는,
    육불화텅스텐 가스를 이용하여 형성하는 텅스텐층 형성 방법.
  8. ◈청구항 8은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 텅스텐 벌크층을 형성하는 단계는,
    원자층증착법 또는 화학기상증착법을 이용하여 진행하는 텅스텐층 형성 방법.
  9. ◈청구항 9은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서,
    상기 무불소텅스텐탄소층의 제1탄소함량은 25∼35at%이고, 상기 질소(N2) 분위기의 열처리 이후의 상기 무불소텅스텐탄소층과 텅스텐 벌크층의 스택의 제2탄소함량은 10∼15at%인
    텅스텐층 형성 방법.
  10. 기판 상에 탄소를 함유하는 무불소텅스텐소스 및 수소플라즈마처리를 이용하여 제1탄소함량을 갖는 무불소텅스텐탄소층을 형성하는 단계;
    상기 무불소텅스텐탄소층 상에 텅스텐 핵생성층을 형성하는 단계;
    상기 텅스텐 핵생성층 상에 텅스텐 벌크층을 형성하는 단계; 및
    상기 무불소텅스텐탄소층, 텅스텐 핵생성층 및 텅스텐 벌크층의 스택에 대해 질소(N2) 분위기의 열처리를 실시하는 단계를 포함하고,
    상기 질소(N2) 분위기의 열처리 이후에, 상기 무불소텅스텐탄소층, 텅스텐 핵생성층 및 텅스텐 벌크층의 스택은 상기 제1탄소함량보다 감소된 제2탄소함량을 갖고,
    상기 질소(N2) 분위의 열처리 이후에 상기 무불소텅스텐탄소층, 텅스텐 핵생성층 및 텅스텐 벌크층의 스택은 상기 질소(N2) 분위의 열처리 이전보다 더 큰 결정립크기를 갖는
    반도체장치 제조 방법.
  11. ◈청구항 11은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 열처리를 실시하는 단계 이후에,
    상기 열처리된 텅스텐벌크층, 텅스텐핵생성층 및 무불소텅스텐탄소층을 패터닝하여 텅스텐패턴을 형성하는 단계를 더 포함하는 반도체장치 제조 방법.
  12. ◈청구항 12은(는) 설정등록료 납부시 포기되었습니다.◈
    제11항에 있어서,
    상기 텅스텐패턴은 게이트, 콘택플러그, 금속배선 또는 비트라인을 포함하는 반도체장치 제조 방법.
  13. ◈청구항 13은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계에서,
    상기 무불소텅스텐소스는 텅스텐과 탄소를 함유하는 화합물 또는 텅스텐, 탄소 및 질소를 함유하는 화합물을 포함하는 반도체장치 제조 방법.
  14. ◈청구항 14은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 무불소텅스텐소스는,
    C8H7NO3W 또는 C12H30N4W을 포함하는 반도체장치 제조 방법.
  15. ◈청구항 15은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계는,
    원자층증착법을 이용하여 진행하는 반도체장치 제조 방법
  16. ◈청구항 16은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 무불소텅스텐탄소층을 형성하는 단계는,
    무불소텅스텐소스 주입 단계, 제1퍼지 단계, 반응제 주입 단계 및 제2퍼지 단계의 순서로 이루어진 단위사이클을 수회 반복하는 원자층증착법을 이용하되,
    상기 반응제 주입 단계는 상기 수소 플라즈마처리를 포함하고,
    상기 무불소텅스텐소스 주입 단계는 상기 무불소텅스텐소스를 이용하는
    반도체장치 제조 방법.
  17. ◈청구항 17은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 텅스텐 벌크층을 형성하는 단계와 상기 텅스텐 핵생성층을 형성하는 단계는,
    텅스텐소스로서 육불화텅스텐을 이용하는 반도체장치 제조 방법.
  18. ◈청구항 18은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 텅스텐 핵생성층 및 텅스텐 벌크층을 형성하는 단계는,
    원자층증착법 또는 화학기상증착법을 이용하여 진행하는 반도체장치 제조 방법.
  19. ◈청구항 19은(는) 설정등록료 납부시 포기되었습니다.◈
    제10항에 있어서,
    상기 무불소텅스텐탄소층의 제1탄소함량은 25∼35at%이고, 상기 질소(N2) 분위기의 열처리 이후의 상기 무불소텅스텐탄소층, 텅스텐 핵생성층 및 텅스텐 벌크층의 스택의 제2탄소함량은 10∼15at%인 반도체장치 제조 방법.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 무불소텅스텐소스 주입 단계, 제1퍼지 단계, 수소플라즈마처리 단계 및 제2퍼지 단계의 순서로 이루어진 단위사이클을 반복하여 25∼35at%의 제1탄소함량을 갖는 무불소텅스텐탄소층을 증착하는 단계; 및
    상기 무불소텅스텐탄소층을 질소(N2) 분위기에서 열처리하는 단계를 포함하고,
    상기 질소(N2) 분위기의 열처리 이후에, 상기 무불소텅스텐탄소층은 상기 제1탄소함량보다 감소된 10∼15at%의 제2탄소함량을 갖고,
    상기 질소(N2) 분위의 열처리 이후에 상기 무불소텅스텐탄소층은 상기 질소(N2) 분위기의 열처리 이전보다 더 큰 결정립크기의 알파텅스텐상 결정립을 갖는
    텅스텐층 형성 방법.
  24. ◈청구항 24은(는) 설정등록료 납부시 포기되었습니다.◈
    제23항에 있어서,
    상기 무불소텅스텐소스는,
    C8H7NO3W 또는 C12H30N4W을 포함하는 텅스텐층 형성 방법.
  25. 삭제
  26. 삭제
  27. 삭제
KR1020120096679A 2012-08-31 2012-08-31 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 KR101990051B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020120096679A KR101990051B1 (ko) 2012-08-31 2012-08-31 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US13/713,647 US9070749B2 (en) 2012-08-31 2012-12-13 Semiconductor device including fluorine-free tungsten barrier layer and method for fabricating the same
TW101150483A TWI587513B (zh) 2012-08-31 2012-12-27 包含無氟之鎢阻障層的半導體裝置及其製造方法
CN201310102336.4A CN103681285B (zh) 2012-08-31 2013-03-27 包括无氟钨阻挡层的半导体器件及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120096679A KR101990051B1 (ko) 2012-08-31 2012-08-31 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20140029041A KR20140029041A (ko) 2014-03-10
KR101990051B1 true KR101990051B1 (ko) 2019-10-01

Family

ID=50186363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120096679A KR101990051B1 (ko) 2012-08-31 2012-08-31 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법

Country Status (4)

Country Link
US (1) US9070749B2 (ko)
KR (1) KR101990051B1 (ko)
CN (1) CN103681285B (ko)
TW (1) TWI587513B (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
CN105448693A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 钨电极的形成方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2017091571A1 (en) 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US9960118B2 (en) 2016-01-20 2018-05-01 Globalfoundries Inc. Contact using multilayer liner
TW201741490A (zh) * 2016-05-27 2017-12-01 Tes股份有限公司 金屬碳膜的沈積方法
KR20180038823A (ko) 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10269569B2 (en) * 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
KR102301774B1 (ko) * 2017-03-31 2021-09-13 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
CN107527795A (zh) * 2017-08-31 2017-12-29 长江存储科技有限责任公司 一种3d nand器件栅线缝隙氧化物的沉积方法
TWI676710B (zh) * 2017-09-28 2019-11-11 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020033629A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods and apparatus for producing semiconductor liners
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11437271B2 (en) 2020-05-05 2022-09-06 Applied Materials, Inc. Seamless gap fill
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures
US20240060175A1 (en) * 2022-08-19 2024-02-22 Applied Materials, Inc. Conformal molybdenum deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030198587A1 (en) * 1999-02-12 2003-10-23 Gelest, Inc. Method for low-temperature organic chemical vapor deposition of tungsten nitride, tungsten nitride films and tungsten nitride diffusion barriers for computer interconnect metallization
US20090163025A1 (en) * 2007-12-21 2009-06-25 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US20110233778A1 (en) * 2010-03-24 2011-09-29 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789312A (en) 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
KR100321707B1 (ko) 1998-06-29 2002-03-08 박종섭 반도체소자의게이트전극형성방법
US6376349B1 (en) 2000-01-19 2002-04-23 Motorola, Inc. Process for forming a semiconductor device and a conductive structure
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
KR20030059489A (ko) 2001-12-29 2003-07-10 주식회사 하이닉스반도체 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
US8049336B2 (en) * 2008-09-30 2011-11-01 Infineon Technologies, Ag Interconnect structure
KR101570044B1 (ko) * 2009-03-17 2015-11-20 삼성전자주식회사 저저항의 매립형 금속 게이트 전극 구조를 갖는 반도체 장치 및 그 제조 방법
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
KR20110047880A (ko) 2009-10-30 2011-05-09 주식회사 하이닉스반도체 반도체장치의 매립게이트 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030198587A1 (en) * 1999-02-12 2003-10-23 Gelest, Inc. Method for low-temperature organic chemical vapor deposition of tungsten nitride, tungsten nitride films and tungsten nitride diffusion barriers for computer interconnect metallization
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20090163025A1 (en) * 2007-12-21 2009-06-25 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US20110233778A1 (en) * 2010-03-24 2011-09-29 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Also Published As

Publication number Publication date
CN103681285B (zh) 2017-11-21
US9070749B2 (en) 2015-06-30
US20140061931A1 (en) 2014-03-06
CN103681285A (zh) 2014-03-26
TW201409696A (zh) 2014-03-01
TWI587513B (zh) 2017-06-11
KR20140029041A (ko) 2014-03-10

Similar Documents

Publication Publication Date Title
KR101990051B1 (ko) 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
KR100624903B1 (ko) 반도체 소자의 캐패시터 제조방법
KR100640638B1 (ko) 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
TWI462298B (zh) A semiconductor device, a semiconductor device manufacturing method, and a substrate processing system
KR20150093384A (ko) 저저항 텅스텐계 매립게이트구조물을 갖는 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
JP2016072454A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR100543207B1 (ko) 하드마스크를 이용한 반도체 소자의 게이트전극 제조 방법
WO2004107451A1 (ja) Mis型電界効果トランジスタを備える半導体装置及びその製造方法並びに金属酸化膜の形成方法
US6448166B2 (en) Method for forming a gate for semiconductor devices
US20110175172A1 (en) Manufacturing a semiconductor device
KR100680970B1 (ko) 반도체 소자의 게이트 형성방법
KR100755072B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
US20070221968A1 (en) Transistor of semiconductor device and method for manufacturing the same
KR100790567B1 (ko) 고유전율의 복합 게이트절연막을 갖는 반도체소자 및 그제조방법
KR20080061996A (ko) 낸드 플래시 메모리소자 및 그 제조방법
KR100745073B1 (ko) 하프늄니오븀옥사이드(HfNbO) 게이트절연막을 갖는반도체소자 및 그 제조방법
CN1612306A (zh) 处理包含含氧氮化硅介质层的半导体器件的方法
KR100702133B1 (ko) 티타늄란탄옥사이드(TiLaO) 게이트절연막을 갖는반도체소자 및 그 제조방법
KR100668753B1 (ko) 고유전율의 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100650758B1 (ko) 반도체 소자의 게이트 형성방법
KR100712988B1 (ko) 탄탈륨란탄옥사이드(TaLaO) 게이트절연막을 갖는반도체소자 및 그 제조방법
KR100702130B1 (ko) 고유전율의 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100721203B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100721202B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant