TWI587513B - 包含無氟之鎢阻障層的半導體裝置及其製造方法 - Google Patents

包含無氟之鎢阻障層的半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI587513B
TWI587513B TW101150483A TW101150483A TWI587513B TW I587513 B TWI587513 B TW I587513B TW 101150483 A TW101150483 A TW 101150483A TW 101150483 A TW101150483 A TW 101150483A TW I587513 B TWI587513 B TW I587513B
Authority
TW
Taiwan
Prior art keywords
layer
tungsten
fluorine
free
tungsten layer
Prior art date
Application number
TW101150483A
Other languages
English (en)
Other versions
TW201409696A (zh
Inventor
姜東均
Original Assignee
愛思開海力士有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 愛思開海力士有限公司 filed Critical 愛思開海力士有限公司
Publication of TW201409696A publication Critical patent/TW201409696A/zh
Application granted granted Critical
Publication of TWI587513B publication Critical patent/TWI587513B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

包含無氟之鎢阻障層的半導體裝置及其製造方法 【相關申請案之對照參考資料】
本申請案主張2012年8月31日所提出之韓國專利申請案第10-2012-0096679號之優先權,在此以提及方式併入它的全部。
本發明之示範性實施例係有關於半導體裝置,以及更特別地,是有關於一種包括無氟鎢阻障層(fluorine-free tungsten barrier layer)之半導體裝置及其製造方法。
如果使用氮化鈦(TiN)做為一金屬閘極電極,則雖然逐漸地減少半導體裝置之線寬,但是仍然有高電阻之問題。為了準確地控制流經在該閘極電極之源極與汲極間所形成之通道的電流量,需要順利地控制對該閘極電極所施加之操作電壓。再者,可以以藉由使用一低電阻率材料形成一位元線所獲得之快電流速率來達成高速操作。如果沒有解決高電阻率之問題,則可能產生過多熱量,因而降低該半導體裝置之可靠性。為了解決此問題,必須改變現有材料之物理特性,以便現有材料具有低電阻及高導熱率或必須採用新的金屬。
本發明之一實施例提供一種形成具有低電阻率之鎢層的方法及一種使用該鎢層來製造半導體裝置之方法。
依據本發明之一實施例,一種形成鎢層之方法可以包括:使用一無氟鎢源(FFWS),形成一無氟鎢層於一基板上;形成一塊材鎢層於該無氟鎢層上;以及對該無氟鎢層及該塊材鎢層實施退火處理。
依據本發明之另一實施例,一種製造半導體裝置之方法可以包括:使用一包含碳之無氟鎢源(FFWS),形成一無氟鎢層於一基板上;形成一鎢成核層於該無氟鎢層上;形成一塊材鎢層於該鎢成核層上;以及對該無氟鎢層、該鎢成核層及該塊材鎢層實施退火處理。
依據本發明之又另一實施例,一種半導體裝置可以包括:一基板;一閘極絕緣層,其形成於該基板上;一無氟鎢(FFW)層,其形成於該閘極絕緣層上;以及一塊材鎢層,其形成於該FFW鎢層上。
依據本發明之另一實施例,一種形成鎢層之方法可以包括:吸附一無氟鎢化合物於一基板上,該無氟鎢化合物至少包括一鎢成分及一碳成分;移除任何末吸附鎢化合物;在一準備含氫材料上實施一電漿處理,以便藉由該含氫材料與該無氟鎢化合物之反應,形成一包含鎢之薄膜;以及移除一未反應含氫材料。
下面將參考所附圖式來更詳細描述本發明之示範性實施例。然而,本發明可以以不同形式來具體化及不應 該被解讀為對在此所述之實施例的限制。更確切地說,提供這些實施例,以便此揭露將是徹底且完整的,以及將完全表達本發明之範圍給熟習該項技藝者。在整個揭露中,本發明之全部各種圖式及實施例中的相似元件符號意指相似部件。
該等圖式沒有必要以縮尺繪製,以及在一些例子中,可以擴大比例,以便清楚地描述該等實施例之特徵。當提及一第一層是在一第二層“上”或在一基板“上”時,它不僅提及該第一層係直接形成於該第二層或該基板上之情況,而且亦提及一第三層存在於該第一層與該第二層或該基板間之情況。
在一示範性實施例中,應用一具有低電阻率之鎢層做為鎢圖案(例如,一20nm或以下之記憶體裝置的閘極電極、位元線等),以及使用一包含非常少量的碳之無氟鎢(FFW)層做為一擴散阻障。
第1圖係顯示依據本發明之一示範性實施例的形成鎢層之方法的示圖。參考第1圖,可以使用一原子層沉積(ALD)法,形成該鎢層。
用於該鎢層之ALD法100包括一無氟鎢源(FFWS)佈植步驟S11、一沖洗步驟S12、一反應物佈植法S13及一沖洗步驟S14做為一單位循環。可以藉由在步驟S15中重複該單位循環,沉積一具有期望厚度之鎢層。可以在約150℃至約320℃之溫度下使用約250W之功率,實施該ALD法。
在該無氟鎢源(FFWS)佈植步驟S11中,在一基板上 吸附一金屬有機系列FFWS。該基板可以由一適用於半導體製程之像矽(Si)的特定材料所製成。可以在該基板上提供像介電層及導電層之層。該基板之一表面意指該基板之沉積該鎢層的特定表面或在該基板上所形成之材料的表面。例如,該基板之表面根據使用可以包括像矽、氧化矽、高介電物質、氮化矽、摻雜矽、金屬、金屬氮化物或其它導電材料的材料。在一示範性實施例中,該基板之表面可以包括氧化矽(SiO2)。氧化矽(SiO2)可以包括做為電晶體之閘極絕緣層的材料。
可以使用一金屬有機鎢源做為該FFWS。該FFWS可以包括一沒有包含氟(F)之金屬有機鎢源。該FFWS可以包括一包含鎢(W)及碳(C)之化合物。再者,該FFWS可以包括一包含鎢(W)、碳(C)及氮(N)之化合物。例如,該FFWS可以包括二羰基(η5-甲基-環戊二烯基)亞硝醯鎢(C8H7NO3W)或雙(t-丁基亞胺基)雙(二甲基胺基)鎢(C12H30N4W)。藉由碳含量降低使用該FFWS所沉積之該鎢層的電阻率及該鎢層可以做為一阻障。可以控制該FFWS之流速,以便該碳含量成為40at%(原子百分比)或以下。
接下來,為了移除未吸附FFWS,實施該沖洗步驆S12。可以藉由供應一像氬(Ar)之惰性氣體,實施該沖洗步驟。
在該反應物佈植步驟S13中,藉由與該吸附FFWS之反應,以原子層單位沉積該鎢層。在此,該反應物可以包括一還原劑或一還原氣體。該反應物可以包括含氫 氣(H2)材料。該反應物佈植步驟S13可以包括一氫氣(H2)電漿處理。在一示範性實施例中,該反應物佈植步驟S13可以包括一氫氣(H2)電漿處理。如果實施上述氫氣(H2)電漿處理,藉由該FFWS對氫氣(H2)之反應,沉積該鎢層。可以控制藉由該氫氣(H2)電漿處理所沉積之該鎢層的碳含量,以便它成為40原子百分比(at%)或以下。為了控制該碳含量,可以控制用於該氫氣(H2)電漿處理之條件(如,250W之功率)。可以藉由該氫氣(H2)電漿處理移除在該鎢層中之像氮或氧的雜質。
接下來,實施該沖洗步驟S14,以便移除任何末反應反應物或反應副產物。可以藉由供應一像氬(Ar)之惰性氣體,實施該沖洗步驟。
可以藉由在步驟S15中重複該單位循環(包括該FFWS佈植步驟S11、該沖洗步驟S12、該反應物佈植步驟S13及該沖洗步驟S14)數次,沉積該鎢層至一期望厚度。依據一示範性實施例,可以形成該鎢層至約20Å至約30Å之厚度。階梯覆蓋(step coverage)係絕佳的,因為使用該ALD法。依據一示範性實施例,該鎢層可以包括一無氟鎢(FFW)層或一包含非常少量的碳之無氟碳化鎢(FFWC)層。在該FFWC層中所包含之碳含量可以是約25至約35at%。藉由該氫氣(H2)電漿處理獲得此碳含量。
在一示範性實施例中,使用一沒有包含氟(F)之金屬有機鎢源,形成該FFW層或該FFWC層。因為在該層中沒有包括氟(F),所以沒有使該下層基板之表面變差。再者,可以藉由氫氣(H2)電漿處理控制在該層中之碳含 量。特別地,藉由控制該碳含量至約40at%或以下,降低該鎢層之電阻率及該鎢層可以做為一擴散阻障。
在沉積該鎢層後,可以實施退火處理101。該退火處理101係一後退火處理(post-ANL)步驟S16。該後退火處理步驟S16係一減少該鎢層之電阻率的製程。該後退火處理步驟S16可以包括一快速熱處理(RTP)。可以實施該後退火處理步驟S16約1小時。可以在一氮氣(N2)環境中實施該後退火處理步驟S16,以便防止該鎢層氧化。可以在約800℃之溫度下實施該後退火處理步驟S16。由於該後退火處理步驟S16,增加該鎢層之粒度、改變該鎢層之相,以便該鎢層具有一低配位數(coordination number)以及減少該鎢層之碳濃度。由於該後退火處理步驟S16,使處於W2C相及β-W相之小粒度變成處於α-W相之極大粒度。
例如,可以藉由該後退火處理步驟S16減少該鎢層之碳濃度至約20at%或以下(例如,約10至約15at%)。增加該鎢層之粒度約10倍或以上。結果,上面已實施該後退火處理步驟S16之該鎢層具有減少之碳濃度及大的粒度,結果,相較於沉積後之鎢層,減少該鎢層之電阻率有約80%或以上。
依據一示範性實施例,可以使用該鎢層做為一用以防止金屬擴散之擴散阻障。再者,依據一示範性實施例,可以使用該鎢層做為一成核層。該成核層提供一用於一塊材鎢層之成長位置。
依據一示範性實施例,可以使用該鎢層於一鎢圖案 (包含一擴散阻障及一成核層)中。該鎢圖案可以包括閘極電極、金屬線、位元線及接觸插塞。因為依據一示範性實施例,該鎢層可以伴演一成核層之角色,所以可以簡化一鎢圖案製程之程序。亦即,一鎢層可以做為一擴散阻障及一成核層。
第2A圖係顯示依據本發明之一示範性實施例的形成鎢層之方法的示圖,以及第2B圖係顯示依據第2A圖之鎢層的結構之示圖。
參考第2B圖,在一基板21上形成一鎢層24。該基板21可以由適用於一半導體製程之像矽(Si)的特定材料所製成。可以在該基板上提供像介電層或導電層之層。該基板21之一表面意指該基板之沉積該鎢層24的特定表面或在該基板上所形成之材料的表面。例如,該基板21之表面根據使用可以包括像矽、氧化矽、高介電物質、氮化矽、摻雜矽、金屬、金屬氮化物或其它導電材料的材料。在一示範性實施例中,該基板21之表面可以包括氧化矽(SiO2)。氧化矽(SiO2)可以包括做為電晶體之閘極絕緣層的材料。
依據一實施例,可以藉由沉積一第一鎢層22及一第二鎢層23,形成該鎢層24。可以使用ALD法,形成該第一鎢層22。可以使用ALD法或CVD法,形成該第二鎢層23。如果使用ALD法,可以在相同反應室中沉積該第一鎢層22及該第二鎢層23。該第一鎢層22可以是一擴散阻障層,以及該第二鎢層23可以是一塊材層。於是,依據本發明之一實施例,該鎢層24可以包括一鎢擴 散阻障層及一塊材鎢層之堆疊。
下面參考第2A圖來描述一形成該鎢層24之方法。
參考第2A圖,依據一示範性實施例之形成該鎢層24的方法包括在步驟201中形成該第一鎢層22、在步驟202中形成該第二鎢層23及在步驟203中實施退火處理。
步驟201 形成該第一鎢層
可以使用一FFWS,形成該第一鎢層22。例如,可以藉由ALD法形成該第一鎢層22。在用以形成該第一鎢層22之ALD法中,一FFWS佈植步驟S21、一沖洗步驟S22、一反應物佈植步驟S23及一沖洗步驟S24構成一單位循環。可以藉由在步驟S25中重複該單位循環數次,形成具有一期望厚度之該第一鎢層22。可以在約150℃至約320℃之溫度下使用約250W之功率,實施該ALD法。
在該FFWS佈植步驟S21中,在一基板21上吸附該FFWS。可以使用一金屬有機鎢源做為該FFWS。該FFWS可以包括一沒有包含氟(F)之金屬有機鎢源。該FFWS可以包括一包含鎢(W)及碳(C)之化合物。再者,該FFWS可以包括一包含鎢(W)、碳(C)及氮(N)之化合物。例如,該FFWS可以包括C8H7NO3W或C12H30N4W。藉由碳含量降低使用該FFWS所沉積之該第一鎢層22的電阻率及該第一鎢層可以做為一阻障。於是,可以控制該FFWS之流速,以便該碳含量成為約40at%或以下。
接下來,為了移除未吸附FFWS,實施該沖洗步驆S22。可以藉由供應一像氬(Ar)之惰性氣體,實施該沖洗 步驟S22。
在該反應物佈植步驟S23,藉由與該吸附FFWS之反應,以原子層單位沉積一鎢層。在此,該反應物可以包括一還原劑或一還原氣體。該反應物可以包括含氫氣(H2)材料。該反應物佈植步驟S23可以包括一氫氣(H2)電漿處理。在一示範性實施例中,該反應物佈植步驟S23可以包括一氫氣(H2)電漿處理。如果實施上述氫氣(H2)電漿處理,藉由該FFWS對氫氣(H2)之反應,沉積該第一鎢層22。可以控制藉由該氫氣(H2)電漿處理所沉積之該第一鎢層22的碳含量,以便它成為約40at%或以下。為了控制該碳含量,可以控制用於該氫氣(H2)電漿處理之條件(如,250W之功率)。可以藉由該氫氣(H2)電漿處理移除在該鎢層中之像氮或氧的雜質。
接下來,實施該沖洗步驟S24,以便移除任何末反應反應物或反應副產物。可以藉由供應一像氫(Ar)之惰性氣體,實施該沖洗步驟S24。
可以藉由在步驟S25中重複該單位循環(包括該FFWS佈植步驟S21、該沖洗步驟S22、該反應物佈植步驟S23及該沖洗步驟S24)數次,沉積該第一鎢層22至一期望厚度。依據一示範性實施例,可以形成該第一鎢層22至約20Å至約30Å之厚度。階梯覆蓋係絕佳的,因為使用該ALD法。依據一示範性實施例,該第一鎢層22可以包括一無氟鎢(FFW)層或一包含非常少量的碳之無氟碳化鎢(FFWC)層。在該FFWC層中所包含之碳含量可以成為40at%或以下。例如,如果該FFWC層之厚度為 約20Å,則該碳含量可以是約25至約30at%。如果該FFWC層之厚度為約30Å,則該碳含量可以是約30至約35at%。可以藉由該氫氣(H2)電漿處理獲得此碳含量。
在一示範性實施例中,使用一沒有包含氟(F)之金屬有機鎢源,形成該FFW層或該FFWC層。因為在該層中沒有包括氟(F),所以沒有使該下層基板21之表面變差。再者,降低在該層中之碳含量。於是,改善該第一鎢層22之電阻率,以及該第一鎢層22具有一擴散阻障之功能。
步驟202-形成該第二鎢層(塊材W)
可以使用六氟化鎢(WF6)及氫氣(H2),形成該第二鎢層23,該第二鎢層23係一塊材層。可以使用CVD法或ALD法,形成該第二鎢層23。可以形成該第二鎢層23至約40 Å之厚度。可以在約400℃或以上之製程溫度下沉積該第二鎢層23,以便該第二鎢層23具有一有低電阻率之體心立方(BCC)結構的α-W相。可以使用該第一鎢層22做為一成長位置,形成該第二鎢層23。亦即,該第一鎢層22做為一成核層。
如上所述,該第一鎢層22包括沒有包含氟(F)之該FFW,以及該第二鎢層23可以包含極少量之氟(F)。雖然該第二鎢層23包含氟(F),但是可以防止氟(F)擴散至在該第一鎢層22下方之該基板21中,因為該第一鎢層22做為一擴散阻障。
同時,當形成該第二鎢層23時,可以使用由C8H7NO3W或C12H30N4W所製成之FFWS做為一鎢源。 然而,如果使用該FFWS,形成該第二鎢層23,則在電阻率方面係不利的,因為在該層中包含碳。結果,因為在一示範性實施例中使用該FFWS,所以可以藉由形成該第一鎢層22做為一擴散阻障及一成核層及使用六氟化鎢(WF6)來形成該第二鎢層23,以降低電阻率。
可以藉由使用一連串製程,形成該第一鎢層22及第二鎢層23,以形成該鎢層24,該鎢層24包括該FFW層及該塊材鎢層。可以使用一像“W/FFW”之鎢堆做為該鎢層24。
退火處理步驟203
在形成該鎢層24後,可以實施該退火處理步驟203,以便減少電阻率。該退火處理203係一後退火處理步驟S27。實施該後退火處理步驟S27,以便減少該鎢層24之電阻率。該後退火處理步驟S27可以包括RTP。可以實施該後退火處理步驟S27約1小時。可以在一氮氣(N2)環境中實施該後退火處理步驟S27,以便防止該鎢層24氧化。可以在約800℃之溫度下實施該後退火處理步驟S27。
由於該後退火處理步驟S27,增加該等第一及第二鎢層22及23之粒度、改變該等第一及第二鎢層22及23之相,以便該等第一及第二鎢層22及23具有一低配位數以及減少該等第一及第二鎢層22及23之碳含量。由於該後退火處理步驟S27,進一步減少該等第一及第二鎢層22及23之碳濃度,以及使處於W2C相及β-W相之小粒度變成處於α-W相之極大粒度。
例如,可以藉由該後退火處理步驟S27減少該等第一及第二鎢層22及23之碳濃度至約20at%或以下(例如,約10至約15at%)。增加該等第一及第二鎢層22及23之粒度約10倍或以上。結果,上面已實施該後退火處理步驟S27之該鎢層24具有減少之碳濃度及大的粒度,結果,相較於沉積後之鎢層,減少該鎢層24之電阻率有約80%或以上。
再者,可以藉由該後退火處理步驟27移除在該層內之氟(F)。
依據一示範性實施例,可以使用該第一鎢層22做為一擴散阻障,以便防止金屬之擴散。再者,依據一示範性實施例,可以使用該第一鎢層22做為一成核層。該成核層提供一用於該第二鎢層23之成長位置,該第二鎢層23係一塊材鎢層。
依據一示範性實施例,可以使用該鎢層24於一鎢圖案(包含一擴散阻障及一成核層)中。該鎢圖案可以包括閘極電極、金屬線、位元線及接觸插塞。因為依據一示範性實施例,該第一鎢層22可以做為一成核層,所以可以簡化一鎢圖案製程之程序。亦即,該第一鎢層22可以做為一擴散阻障及一成核層。
第3A圖係顯示依據本發明之一示範性實施例的形成鎢層之方法的示圖。第3B圖係顯示依據第3A圖之鎢層的結構之示圖。
參考第3B圖,在一基板211上形成一鎢層215。該基板211可以由適用於半導體製程之像矽(Si)的特定材 料所製成。可以在該基板上提供像介電層或導電層之層。該基板211之一表面意指該基板之沉積該鎢層215的特定表面或在該基板上所形成之材料的表面。例如,該基板211之表面根據使用可以包括像矽、氧化矽、高介電物質、氮化矽、摻雜矽、金屬、金屬氮化物或其它導電材料的材料。在一示範性實施例中,該基板211之表面可以包括氧化矽(SiO2)。氧化矽(SiO2)可以包括做為電晶體之閘極絕緣層的材料。
可以藉由堆疊一第一鎢層212、一第二鎢層213及一第三鎢層214,形成該鎢層215。可以使用ALD法,形成該第一鎢層212。可以使用ALD法或CVD法,形成該第二鎢層213及該第三鎢層214。如果使用ALD法,可以在相同反應室中沉積該第一鎢層212、該第二鎢層213及該第三鎢層214。該第一鎢層212可以做為一阻障層,該第二鎢層213可以做為一成核層,以及該第三鎢層214可以做為一塊材層。於是,依據一示範性實施例,該鎢層215可以包括一鎢阻障層、一成核層及一塊材鎢層之堆疊結構。
下面參考第3A來描述一用以形成該鎢層215之方法。
參考第3A圖,依據一示範性實施例之形成該鎢層215的方法包括在步驟301中形成該第一鎢層212、在步驟302中形成該第二鎢層213、在步驟303中形成該第三鎢層214及在步驟304中實施退火。
步驟301-形成該第一鎢層
可以使用FFWS,形成該第一鎢層212。例如,可以使用FFWS,以ALD法形成該第一鎢層212。在用以形成該第一鎢層212之ALD法中,一FFWS佈植步驟S31、一沖洗步驟S32、一反應物佈植步驟S33及一沖洗步驟S34構成一單位循環,以及可以藉由在步驟S35中重複該單位循環,沉積具有一期望厚度之該第一鎢層212。可以在150至320℃之溫度下使用約250W之功率,實施該ALD法。
在該FFWS佈植步驟S31中,在該基板211上吸附該FFWS。可以使用一金屬有機鎢源做為該FFWS。該FFWS可以包括一沒有包含氟(F)之金屬有機鎢源。該FFWS可以包括一包含鎢(W)及碳(C)之化合物。再者,該FFWS可以包括一包含鎢(W)、碳(C)及氮(N)之化合物。例如,該FFWS可以包括C8H7NO3W或C12H30N4W。藉由碳含量降低該第一鎢層212之電阻率及該第一鎢層212可以做為一阻障層。可以控制該FFWS之流速,以便該碳含量成為約40at%(原子百分比)或以下。
接下來,為了移除未吸附FFWS,實施該沖洗步驆S32。可以藉由供應一像氬(Ar)之惰性氣體,實施該沖洗步驟S32。
在該反應物佈植步驟S33中,藉由與該吸附FFWS之反應,以原子層單位沉積該一鎢層。在此,該反應物可以包括一還原劑或一還原氣體。該反應物可以包括含氫氣(H2)材料。該反應物佈植步驟S33可以包括氫氣(H2)電漿處理。在一示範性實施例中,該反應物佈植步驟S33 可以包括氫氣(H2)電漿處理。如果實施上述氫氣(H2)電漿處理,藉由該FFWS對氫氣(H2)之反應,沉積該第一鎢層212。可以控制藉由該氫氣(H2)電漿處理所沉積之該第一鎢層212的碳含量,以便它成為40at%或以下。為了控制該碳含量,可以控制用於該氫氣(H2)電漿處理之條件(如,250W之功率)。可以藉由該氫氣(H2)電漿處理移除在該鎢層中所包含之像氮或氧的雜質。
接下來,實施該沖洗步驟S34,以便移除任何未反應反應物或反應副產物。可以藉由供應一像氬(Ar)之惰性氣體,實施該沖洗步驟S34。
可以藉由在步驟S35中重複該單位循環(包括該FFWS佈植步驟S31、該沖洗步驟S32、該反應物佈植步驟S33及該沖洗步驟S34)數次,沉積該第一鎢層212至一期望厚度。依據一示範性實施例,可以形成該第一鎢層212至約20Å至約30Å之厚度。階梯覆蓋係絕佳的,因為使用該ALD法。依據一示範性實施例,該第一鎢層212可以包括一無氟鎢(FFW)層或一包含非常少量的碳之無氟碳化鎢(FFWC)層。在該FFWC層中所包含之碳含量可以是約25至約35at%。藉由該氫氣(H2)電漿處理獲得此碳含量。
在一示範性實施例中,使用一沒有包含氟(F)之金屬有機鎢源,形成該FFW層或該FFWC層。因為在該層中沒有包括氟(F),所以沒有使該下層基板211之表面變差。再者,因為藉由該氫氣(H2)電漿處理控制在該層中之碳含量至約40at%或以下,所以可改善該第一鎢層212 之電阻率及該第一鎢層212可以做為一擴散阻障。
步驟302-形成該第二鎢(成核)層
在該第一鎢層212上沉積該第二鎢層213。該第二鎢層213做為一成核層。可以使用ALD法或CVD法,形成該第二鎢層213。如果使用ALD法,可以在相同反應室中形成該第一鎢層212及該第二鎢層213。
該第二鎢層213可以使用六氟化鎢(WF6)做為一鎢源及可以使用二硼烷(B2H6)做為一吸附氣體(socking gas)。可以藉由佈植及沖洗六氟化鎢(WF6)及二硼烷(B2H6)之每一者約5至6次,形成該第二鎢層213。因為使用二硼烷(B2H6)做為該吸附氣體,所以該第二鎢層213具有一非晶相。結果,該第二鎢層213具有減少之電阻率,因為它具有大的粒度。該第二鎢層213具有約20Å或以下之薄厚度。二硼烷(B2H6)之流速係約300sccm或以上,以及製程溫度為約350℃或以下。由於該第二鎢層213具有一非晶相,可以增加該第三鎢層214之粒度。該第二鎢層213可以做為一成核層。此外,該第二鎢層213係一做為該第三鎢層214之成長位置的薄鎢層,該第三鎢層214係一塊材鎢層。
如果形成該第二鎢層213做為一成核層,則片電阻Rs可以具有約5%或以下之均勻性。
步驟303-形成該第三鎢層(塊材W)層
可以使用六氟化鎢(WF6)及氫氣(H2),形成該第三鎢層214,該第三鎢層214係一塊材層。在此,為了獲得一低電阻率,較佳的是,因為該第二鎢層213具有比該 第三鎢層214(該第三鎢層214係一塊材層)更高之電阻率,所以儘可能薄地形成該第二鎢層213(該第二鎢層213可以是一成核層)。然而,當在形成該第二鎢層213後,立即在該第二鎢層213上直接形成該塊材層時,可以儘可能薄地形成該第二鎢層213。可以在約400℃或以上之製程溫度下沉積該第三鎢層214,以便它具有一有低電阻率之體心立方(BCC)結構的α-W相。可以使用化學氣相沉積(CVD)法或ALD法,形成該第三鎢層214。
如上所述,依據一示範性實施例,該鎢層215可以具有一堆疊結構,其包括該第一鎢層212、該第二鎢層213及該第三鎢層214。假設該第一鎢層212係一阻障層以及該第二鎢層213及該第三鎢層214係電極,該鎢層215可以具有“W/FFW”之堆疊結構。該第一鎢層212包括一沒有包含氟(F)之FFW層,以及該第二鎢層213及該第三鎢層214可以不包含氟(F)或可以包含非常少量之氟(F)。縱使在該第二鎢層213及該第三鎢層214中包含非常少量之氟(F),可以防止氟(F)擴散至在該第一鎢層212下方之該基板211中,因為該第一鎢層212做為一擴散阻障。
同時,當形成該第三鎢層214時,可以使用C8H7NO3W及C12H30N4W之FFWS做為一鎢源。然而,如果使用該FFWS,形成該第三鎢層214,則在電阻率方面係不利的,因為在該層中包含碳。結果,因為在一示範性實施例中使用該FFWS,所以可以藉由形成該第一鎢層212(它做為一阻障)及使用六氟化鎢(WF6)來形成該 成核層及該塊材層,以降低電阻率。
步驟304-退火處理
在形成該鎢層215(包括該第一鎢層212、該第二鎢層213及該第三鎢層214)後,實施該退火處理步驟304,以便減少電阻率。該退火處理步驟304係一後退火處理步驟S38。該後退火處理步驟S38可以包括RTP。可以實施該後退火處理步驟S38約1小時。可以在一氮氣(N2)環境中實施該後退火處理步驟S38,以便防止該鎢層215氧化。可以在約800℃之溫度下實施該後退火處理步驟S38。
由於該後退火處理步驟S38,增加該等第一至第三鎢層212、213及214之粒度、改變該等第一至第三鎢層212、213及214之相,以便該等第一至第三鎢層212、213及214具有一低配位數以及減少該等第一至第三鎢層212、213及214之碳濃度。藉由該後退火處理步驟S38,進一步減少該等第一至第三鎢層212、213及214之碳濃度,以及使處於W2C相及β-W相之小粒度變成處於α-W相之極大粒度。
例如,藉由該後退火處理步驟S38減少在該鎢層215內之碳濃度至約20at%或以下(例如,約10至約15at%)。增加該鎢層215之粒度約10倍或以上。結果,上面已實施該後退火處理步驟S38之該鎢層215具有減少之碳濃度及大的粒度,結果,相較於沉積後之鎢層,減少該鎢層215之電阻率有約80%或以上。
再者,可以藉由該後退火處理步驟S38移除在該層 內之氟(F)。
依據一示範性實施例,可以使用該第一鎢層212做為一用以防止金屬擴散之擴散阻障。
依據一示範性實施例,可以使用該鎢層215於一鎢圖案(包含一擴散阻障及一成核層)中。該鎢圖案可以包括閘極電極、金屬線、位元線或接觸插塞。
第4圖係顯示做為閘極電極之材料的電阻率間之比較的示圖。第4圖係顯示一第一樣本(W/TiN,其中堆疊有氮化鈦與鎢層)、一第二樣本(W/WN,其中堆疊氮化鎢層與鎢層)及一第三樣本(W/FFW,其中堆疊FFW層與鎢層)之電阻間的比較之示圖。在形成該鎢層前,使該第二樣本W/WN經歷RTP,以及在形成該FFW層及該鎢層後,使該第三樣本經歷退火處理ANL。在該第一樣本至該第三樣本中,使用該鎢層做為一閘極電極,以及在該第一樣本至該第三樣本中,分別使用該氮化鈦(TiN)層、該氮化鎢(WN)層及該FFW層做為該等鎢層之擴散阻障。在該第一樣本及該第二樣本中,如在一示範性實施例中所述,該鎢層係一使用六氟化鎢(WF6)及氫氣(H2)所形成之塊材鎢層。在該第三樣本中,該鎢層依據一範性實施例可以是該塊材鎢層,或者該鎢層依據一示範性實施例可以包括該成核層及該塊材鎢層。
從第4圖可以看到,使用該FFW層做為一擴散阻障之該第三樣本W/FFW具有比該第一樣本W/TiN及該第二樣本W/WN更低之電阻率。
例如,假設該第二樣本及該第三樣本之每一者具有 約60Å之厚度,該第二樣本具有約100μohm-cm之電阻率,而該第三樣本具有約40μohm-cm之非常低電阻率。該第一樣本具有約240μohm-cm之非常高電阻率。
如上所述,可以依據一示範性實施例使用該FFW層做為一擴散阻障,形成一具有低電阻率之鎢閘氬電極。
結果,當依據一示範性實施例使用該FFW層或該FFWC層做為一擴散阻障時,相較於一使用氮化鎢及氮化鈦做為一擴散阻障之鎢閘極電極,可以顯著地減少電阻率。
下面表1係一顯示該第三樣本在沉積後之電阻率與在後退火處理後之電阻率間之比較的表。表1之結果相當於該FFW層及該鎢層之每一者具有30Å之厚度的範例。
從表1可看出,沉積後之電阻率為約175.9μohm-cm,而後退火處理電阻率減少至36.1μohm-cm。後退火處理電阻率係在沉積後,但在後退火處理前之電阻率的約80%或以下。
第5圖係描述該FFW層之氟擴散阻障的功能之示圖。第5圖顯示在使用該FFW層做為一擴散阻障之該第三樣本W/FFW中之氟擴散阻障的功能與在使用氮化鈦(TiN)做為一阻障之該第一樣本W/TiN中之氟擴散阻障 的功能間之比較。在該第三樣本及該第一樣本中,該FFW層及該氮化鈦(TiN)層之每一者的厚度係約30Å,以及該鎢層之厚度係約30Å。
在第5圖中,可看出,該第三樣本之氟(F)峰值比該第一樣本之氟(F)峰值減少得多。此表示,在防止氟(F)之擴散方面,該FFW層具有比該氮化鈦(TiN)層大之效果。
第6圖係比較在後退火處理後之粒度與在後退火處理前(As-dep)之粒度的比較之示圖。從第6圖可看出,在後退火處理後之粒度比在沉積As-Dep後之粒度大。
第7圖係描述在後退火處理後之相變的示圖。從第7圖可看出,在沉積(As-dep)後之結晶相係β-W相,但是使該β-W相變成在後退火處理後之α-W相。
第8圖係描述依據一示範性實施例之在後退火處理後的碳濃度之減少的示圖。第8圖顯示測量該W/FFW(30Å/30Å)在沉積(As-dep)及後退火處理後之原子濃度(A.C.)的結果。可使用AES法,測量第8圖之結果。
從第8圖可看出,在沉積後,該W/FFW(30Å/30Å)包含約30at%之碳濃度,而減少在該W/FFW(30Å/30Å)中之後退火處理碳濃度至約10at%。因此,可看出,藉由實施後退火處理,顯著地減少在該層內之碳含量。
依據一示範性實施例,該鎢層(包括該FFW層或該FFWC層)可以應用至像平面閘極、埋入式閘極、凹入式閘極或垂直閘極之閘極結構。再者,該鎢層(包括該FFW層或該FFWC層)亦可以應用至位元線、插塞或金屬線。
第9A及9B圖顯示依據本發明之一示範性實施例的使用鎢層之形成方法來形成一平面閘極結構之方法。
如第9A圖所示,在一半導體基板31上形成一閘極絕緣層33。該閘極絕緣層33包括至少一高介電常數(高k)層。再者,可以在該半導體基板31與該閘極絕緣層33間形成一介面層32。該介面層32可以包括氧化矽(SiO2)層。該介面層32藉由改善該半導體基板31與該閘極絕緣層33間之介面特性,改善電子移動特性。該閘極絕緣層33可以包括一高k材料層(以下,稱為“高k層”)。該高k層具有比該氧化矽(SiO2)層高之介電常數(約3.9)。再者,該高k層比該氧化矽層厚,以及該高k層具有比該氧化矽層低之等效氧化物厚度(EOT)值。例如,該高k層可以包括像金屬氧化物、金屬矽酸鹽或金屬矽酸鹽氮化物(metal silicate nitride)之含金屬材料。該金屬氧化物可以包括像鉿(Hf)、鋁(Al)、鑭(La)或鋯(Zr)之金屬。該金屬氧化物可以包括氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、氧化鋯(ZrO2)或其組合。該金屬矽酸鹽可以包括像鉿(Hf)或鋯(Zr)之金屬。該金屬矽酸鹽可以包括鉿矽酸鹽(HfSiO)、鋯矽酸鹽(ZrSiOx)或其組合。該金屬矽酸鹽氮化物可以包括鉿矽酸鹽氮化物(HfSiON)或鋯矽酸鹽氮化物(ZrSiON)。
在閘極絕緣層33上形成一閘極堆301。該閘極堆301可以包括鎢層。該閘極堆301可以由一鎢阻障層34、一成核鎢層35及一塊材鎢層36所形成。可以使用第3A圖所述之方法,形成該閘極堆301。該鎢阻障層34可以 對應於該第一鎢層,該成核鎢層35可以對應於該第二鎢層,以及該塊材鎢層36可以對應於該第三鎢層。可以使用包括該FFWS之ALD法及該氫氣(H2)電漿處理,形成該鎢阻障層34。該鎢阻障層34可以包括該FFW層或該FFWC層。該鎢阻障層34可以做為一擴散阻障。該成核鎢層35係一用於該塊材鎢層36之成長位置,以及可以使用六氟化鎢(WF6)及二硼烷(B2H6),形成該成核鎢層35。可以使用六氟化鎢(WF6)及氫氣(H2),形成該塊材鎢層36。
接下來,可以在一示範性實施例中如上述實施後退火處理37。該後退火處理37可以包括RTP。可以實施該後退火處理步驟37約1小時。可以在氮氣(N2)環境中實施該後退火處理步驟37,以便防止該等鎢層氧化。可以在800℃之溫度下實施該後退火處理37。藉由該後退火處理步驟37,使用熱能做為驅動力,增加該鎢層之粒度;改變該等鎢層之相,以便該等鎢層具有一低配位數;以及該等鎢層具有減少之碳濃度,結果,該等鎢層具有減少之電阻率。
如第9B圖所示,藉由蝕刻該閘極堆301,形成一閘極結構302。該閘極結構302可以包括相繼堆疊之一鎢阻障層圖案340、一成核鎢層圖案350及一塊材鎢層圖案360。在蝕刻該閘極堆301後,可以進一步蝕刻該閘極絕緣層33及該介面層32。於是,可以在該閘極結構302下方進一步形成一閘極絕緣層圖案330及一介面層圖案320。
依據一示範性實施例,該閘極結構302成為一包含鎢之金屬閘極結構。該鎢阻障層圖案340(它係一擴散阻障)包括該FFW層或該FFWC層。因為如上所述,在該金屬閘極結構中包含鎢,所以該閘極結構302可以具有低電阻。再者,因為該鎢阻障層圖案340(擴散阻障)沒有包含氟(F),所以沒有產生對該閘極絕緣層圖案330之侵蝕。
該閘極結構302可以用以做為NMOS或PMOS之閘極電極。再者,該閘極結構302可以用以做為CMOS電路之閘極電極。特別地,該FFW層可以減少NMOS之臨界電壓。
第10圖顯示依據該FFW層做為該閘極電極之使用的電容-電壓(C-V)特性。在第10圖中,可看出,當使用該FFW層時,相較於使用W/TiN時之臨界電壓,可以減少使用W/FFW時之臨界電壓V。
第11圖顯示依據本發明之一示範性實施例的使用該鎢層之形成方法的埋入式閘極結構。
參考第11圖,在一半導體基板41中形成隔離層42。藉由一使用硬罩膜圖案43之蝕刻製程,在該半導體基板41及該等隔離層42中形成閘極溝槽44。在該等閘極溝槽44之每一者的表面上形成一閘極絕緣層45。在該閘極絕緣層45上形成一填充該閘極溝槽44之埋入式閘極電極。該埋入式閘極電極包括一鎢阻障層460、一成核鎢層461及一塊材鎢層462。在該個別埋入式閘極電極上形成覆蓋層47。
第12圖顯示依據本發明之一示範性實施例的使用該鎢層之形成方法的示範性位元線結構。
參考第12圖,在一半導體基板41中形成隔離層42。藉由一使用硬罩膜圖案43之蝕刻製程,在該半導體基板41及該等隔離層42中形成閘極溝槽44。在該等閘極溝槽44之每一者的表面上形成一閘極絕緣層45。在該等個別閘極絕緣層45上形成填充該閘極溝槽44之埋入式閘極電極。該等埋入式閘極電極之每一者包括一鎢阻障層460、一成核鎢層461及一塊材鎢層462。使一覆蓋層47間隙填充於該等埋入式閘極電極上。
在包括該覆蓋層47之該半導體基板41的整個表面上形成一內層絕緣層48。在該內層絕緣層48中形成一位元線接觸孔49(只顯示一個做為範例)。在該位元線接觸孔49中形成一亦做為一位元線接觸插塞之位元線。該位元線包括一鎢阻障層50、一成核鎢層51及一塊材鎢層52。在該位元線上形成一位元線硬罩層53。
第13圖顯示依據本發明之一示範性實施例的使用該鎢層之形成方法的示範性位元線結構。
在第13圖所示之位元線結構中,一位元線具有比一位元線接觸孔49小之線寬。在該位元線接觸孔49中形成一位元線接觸插塞54。該位元線接觸插塞54可以包括多晶矽。在該位元線接觸插塞54上形成該位元線,其包括一鎢阻障層55、一成核鎢層56及一塊材鎢層57。在該位元線上形成一位元線硬罩層58。
第14圖係顯示依據本發明之一示範性實施例的使 用該鎢層之形成方法的示範性位元線結構。在第14圖中所示之位元線結構係一鑲嵌位元線結構(damascene bit line structure),以及在一鑲嵌圖案(damascene pattern)59中埋入一位元線。該位元線包括一鎢阻障層60、一成核鎢層61及一塊材鎢層62。在該位元線上形成一位元線硬罩層63。
第15圖顯示依據本發明之一示範性實施例的使用該鎢層之形成方法的接觸插塞。
參考第15圖,在一半導體基板71上形成一內層絕緣層72。在該內層絕緣層72中形成一接觸孔73(只顯示一個做為範例)。在該接觸孔73中形成一接觸插塞。該接觸插塞包括一鎢阻障層74、一成核鎢層75及一塊材鎢層76。
依據此技術,可以形成一具有一阻障層及一成核層之功能的鎢層,因為使用一無氟鎢(FFW)層。
再者,可以形成一具有減少之碳濃度及低電阻率之鎢層堆,因為在該FFW層上形成一薄成核層及一薄塊材鎢層後,實施退火處理。此外,此技術可以進一步增加氟(F)擴散之防止的效果,因為在一鎢圖案中使用該FFW層做為一擴散阻障。
此技術係有利的,其中可以確保一改良高速記憶體操作特性,因為將具有低電阻率及減少之碳濃度的該鎢堆應用至像上述閘極電極及位元線之鎢圖案。
雖然已以該等特定實施例來描述本發明,但是熟知該項技藝者將顯而易知,可以實施各種變更及修改而不 脫離下面申請專利範圍所定義之本發明的精神及範圍。
21‧‧‧基板
22‧‧‧第一鎢層
23‧‧‧第二鎢層
24‧‧‧鎢層
31‧‧‧半導體基板
32‧‧‧介面層
33‧‧‧閘極絕緣層
34‧‧‧鎢阻障層
35‧‧‧成核鎢層
36‧‧‧塊材鎢層
37‧‧‧後退火處理
41‧‧‧半導體基板
42‧‧‧隔離層
43‧‧‧硬罩膜圖案
44‧‧‧閘極溝槽
45‧‧‧閘極絕緣層
47‧‧‧覆蓋層
48‧‧‧內層絕緣層
49‧‧‧位元線接觸孔
50‧‧‧鎢阻障層
51‧‧‧成核鎢層
52‧‧‧塊材鎢層
53‧‧‧位元線硬罩層
54‧‧‧位元線接觸插塞
55‧‧‧鎢阻障層
56‧‧‧成核鎢層
57‧‧‧塊材鎢層
58‧‧‧位元線硬罩層
59‧‧‧鑲嵌圖案
60‧‧‧鎢阻障層
61‧‧‧成核鎢層
62‧‧‧塊材鎢層
63‧‧‧位元線硬罩層
71‧‧‧半導體基板
72‧‧‧內層絕緣層
73‧‧‧接觸孔
74‧‧‧鎢阻障層
75‧‧‧成核鎢層
76‧‧‧塊材鎢層
100‧‧‧ALD法
101‧‧‧退火處理
211‧‧‧基板
212‧‧‧第一鎢層
213‧‧‧第二鎢層
214‧‧‧第三鎢層
215‧‧‧鎢層
301‧‧‧閘極堆
302‧‧‧閘極結構
320‧‧‧介面層圖案
330‧‧‧閘極絕緣層圖案
340‧‧‧鎢阻障層圖案
350‧‧‧成核鎢層圖案
360‧‧‧塊材鎢層圖案
460‧‧‧鎢阻障層
461‧‧‧成核鎢層
462‧‧‧塊材鎢層
第1圖係顯示依據一示範性實施例之形成鎢層的方法之示圖。
第2A圖係顯示依據一示範性實施例之形成鎢層的方法之示圖。
第2B圖係顯示依據第2A圖之鎢層的結構之示圖。
第3A圖係顯示依據一示範性實施例之形成鎢層的方法之示圖。
第3B圖係顯示依據第3A圖之鎢層的結構之示圖。
第4圖係顯示做為閘極電極之材料的電阻率間之比較的示圖。
第5圖係描述無氟鎢(FFW)層之氟擴散阻障的功能之示圖。
第6圖係描述在後退火處理步驟後之粒度的示圖。
第7圖係描述在後退火處理步驟後之相變的示圖。
第8圖係描述在後退火處理步驟後之碳濃度的減少之示圖。
第9A及9B圖係顯示依據一示範性實施例之使用該鎢層之形成方法來形成一平面閘極結構之方法的示圖。
第10圖係描述依據FFW層做為閘極電極之使用的C-V特性之示圖。
第11圖係顯示依據一示範性實施例之使用該鎢層之形成方法的埋入式閘極結構之示圖。
第12圖係顯示依據一示範性實施例之使用該鎢層之形成方法的位元線結構之範例的示圖。
第13圖係顯示依據一示範性實施例之使用該鎢層之形成方法的位元線結構之另一範例的示圖。
第14圖係顯示依據一示範性實施例之使用該鎢層之形成方法的位元線結構之又另一範例的示圖。
第15圖係顯示依據一示範性實施例之使用該鎢層之形成方法的接觸插塞之示圖。

Claims (24)

  1. 一種形成鎢層之方法,該方法包括:使用一無氟鎢源(FFWS),形成一無氟碳化鎢(FFWC)層於一基板上;執行氫氣(H2)電漿處理,以將該無氟碳化鎢層中的碳含量降低至基於該無氟碳化鎢層中所含的總原子的25-35原子百分比;形成一塊材鎢層於該無氟碳化鎢層上,以獲得包含該無氟碳化鎢層及該塊材鎢層的堆疊;以及對該堆疊實施退火處理,以將該堆疊中的碳含量進一步降低至基於該堆疊中所含的總原子的10-15原子百分比。
  2. 如申請專利範圍第1項之方法,其中該FFWS實質上由鎢及碳所組成。
  3. 如申請專利範圍第1項之方法,其中該FFWS實質上由鎢、碳及氮所組成。
  4. 如申請專利範圍第1項之方法,其中該FFWS係選自於由二羰基(η5-甲基-環戊二烯基)亞硝醯鎢(C8H7NO3W)、雙(t-丁基亞胺基)雙(二甲基胺基)鎢(C12H30N4W)及其組合所組成的群組。
  5. 如申請專利範圍第1項之方法,其中使用原子層沉積(ALD),形成該無氟碳化鎢層。
  6. 如申請專利範圍第1項之方法,其中該無氟碳化鎢層之形成包括:將該FFWS吸附至該基板; 沖洗未吸附的FFWS;對吸附至該基板的該FFWS執行氫氣(H2)電漿處理,以形成該無氟碳化鎢層;以及沖洗任何未反應的反應物或反應副產物。
  7. 如申請專利範圍第1項之方法,其中使用六氟化鎢氣體,形成該塊材鎢層。
  8. 如申請專利範圍第1項之方法,其中使用ALD或化學氣相沉積(CVD),形成該塊材鎢層。
  9. 如申請專利範圍第1項之方法,其中在一氮環境中實施該退火處理。
  10. 一種製造半導體裝置之方法,該方法包括:使用一包含碳之無氟鎢源(FFWS),形成一無氟碳化鎢(FFWC)層於一基板上;執行氫氣(H2)電漿處理,以將該無氟碳化鎢層中所含的碳含量降低至基於該無氟碳化鎢層中所含的總原子的25-35原子百分比;形成一鎢成核層於該無氟碳化鎢層上;形成一塊材鎢層於該鎢成核層上,以獲得包含該無氟碳化鎢層、該鎢成核層及該塊材鎢層的堆疊;以及對該堆疊實施退火處理,以將該堆疊中所含的總碳含量進一步降低至基於該堆疊中所含的總原子的10-15原子百分比。
  11. 如申請專利範圍第10項之方法,進一步包括:將已退火的該堆疊圖案化,以形成一堆疊圖案。
  12. 如申請專利範圍第11項之方法,其中該堆疊圖案係一閘極、一接觸插塞、一金屬線或一位元線。
  13. 如申請專利範圍第10項之方法,其中該FFWS實質上由鎢及碳所組成之化合物或實質上由鎢、碳及氮所組成之化合物。
  14. 如申請專利範圍第10項之方法,其中該FFWS係選自於由二羰基(η5-甲基-環戊二烯基)亞硝醯鎢(C8H7NO3W)、雙(t-丁基亞胺基)雙(二甲基胺基)鎢(C12H30N4W)及其組合所組成的群組。
  15. 如申請專利範圍第10項之方法,其中使用原子層沉積(ALD),形成該無氟碳化鎢層。
  16. 如申請專利範圍第10項之方法,其中該無氟碳化鎢層之形成包括:將該FFWS吸附至該基板;沖洗未吸附的FFWS;對吸附至該基板的該FFWS執行氫氣(H2)電漿處理,以形成該無氟碳化鎢層;以及沖洗任何未反應的反應物或反應副產物。
  17. 如申請專利範圍第10項之方法,其中使用六氟化鎢做為一鎢源,形成該鎢成核層及該塊材鎢層。
  18. 如申請專利範圍第10項之方法,其中使用ALD或化學氣相沉積(CVD),形成該鎢成核層及該塊材鎢層。
  19. 如申請專利範圍第10項之方法,其中在一氮環境中實施該退火處理。
  20. 一種半導體裝置,包括: 一基板;一閘極絕緣層,其形成於該基板上;一無氟碳化鎢(FFWC)層,其形成於該閘極絕緣層上;以及一塊材鎢層,其形成於該無氟碳化鎢(FFWC)層上,以形成包含該無氟碳化鎢層及該塊材鎢層的堆疊;其中該無氟碳化鎢層中所含的碳含量係基於該無氟碳化鎢層中所含的總原子的25-35原子百分比;該堆疊中所含的碳含量係為基於該堆疊中所含的總原子的10-15原子百分比。
  21. 如申請專利範圍第20項之半導體裝置,進一步包括一在該塊材鎢層與該無氟碳化鎢(FFWC)層間所形成之鎢成核層。
  22. 如申請專利範圍第20項之半導體裝置,其中該無氟碳化鎢(FFWC)層係一實質上由鎢及碳所組成的層或一實質上由鎢、碳及氮所組成的層。
  23. 一種形成鎢層之方法,包括:吸附一無氟鎢源(FFWS)至一基板,該無氟鎢源包括鎢及碳;移除末吸附的無氟鎢源;使用氫氣(H2)電漿源,對吸附至該基板的該無氟鎢源實施氫氣(H2)電漿處理,以在該基板上形成一無氟碳化鎢(FFWC)層,其中該無氟碳化鎢層中所含的碳含量係基於該無氟碳化鎢層中所含的總原子的25-35原子百分比; 移除未反應的氫氣(H2)電漿源;在該無氟碳化鎢層上形成塊材鎢層,以獲得包含該無氟碳化鎢層及塊材鎢層的堆疊;以及對該堆疊進行退火處理,以進一步將該堆疊中所含的碳含量降低至基於該堆疊中所含的總原子的10-15原子百分比。
  24. 如申請專利範圍第23項之方法,其中該無氟碳化鎢係選自於由二羰基(η5-甲基-環戊二烯基)亞硝醯鎢(C8H7NO3W)、雙(t-丁基亞胺基)雙(二甲基胺基)鎢(C12H30N4W)及其組合所組成的群組。
TW101150483A 2012-08-31 2012-12-27 包含無氟之鎢阻障層的半導體裝置及其製造方法 TWI587513B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120096679A KR101990051B1 (ko) 2012-08-31 2012-08-31 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
TW201409696A TW201409696A (zh) 2014-03-01
TWI587513B true TWI587513B (zh) 2017-06-11

Family

ID=50186363

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101150483A TWI587513B (zh) 2012-08-31 2012-12-27 包含無氟之鎢阻障層的半導體裝置及其製造方法

Country Status (4)

Country Link
US (1) US9070749B2 (zh)
KR (1) KR101990051B1 (zh)
CN (1) CN103681285B (zh)
TW (1) TWI587513B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
CN105448693A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 钨电极的形成方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2017091571A1 (en) 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US9960118B2 (en) 2016-01-20 2018-05-01 Globalfoundries Inc. Contact using multilayer liner
TW201741490A (zh) * 2016-05-27 2017-12-01 Tes股份有限公司 金屬碳膜的沈積方法
KR20180038823A (ko) 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10269569B2 (en) * 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
KR102301774B1 (ko) * 2017-03-31 2021-09-13 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
CN107527795A (zh) * 2017-08-31 2017-12-29 长江存储科技有限责任公司 一种3d nand器件栅线缝隙氧化物的沉积方法
TWI676710B (zh) * 2017-09-28 2019-11-11 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020033629A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods and apparatus for producing semiconductor liners
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11437271B2 (en) 2020-05-05 2022-09-06 Applied Materials, Inc. Seamless gap fill
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures
US20240060175A1 (en) * 2022-08-19 2024-02-22 Applied Materials, Inc. Conformal molybdenum deposition

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030198587A1 (en) * 1999-02-12 2003-10-23 Gelest, Inc. Method for low-temperature organic chemical vapor deposition of tungsten nitride, tungsten nitride films and tungsten nitride diffusion barriers for computer interconnect metallization
US20090163025A1 (en) * 2007-12-21 2009-06-25 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US20100078817A1 (en) * 2008-09-30 2010-04-01 Heinrich Koerner Interconnect Structure
TW201145461A (en) * 2010-03-24 2011-12-16 Applied Materials Inc Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789312A (en) 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
KR100321707B1 (ko) 1998-06-29 2002-03-08 박종섭 반도체소자의게이트전극형성방법
US6376349B1 (en) 2000-01-19 2002-04-23 Motorola, Inc. Process for forming a semiconductor device and a conductive structure
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
KR20030059489A (ko) 2001-12-29 2003-07-10 주식회사 하이닉스반도체 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
KR101570044B1 (ko) * 2009-03-17 2015-11-20 삼성전자주식회사 저저항의 매립형 금속 게이트 전극 구조를 갖는 반도체 장치 및 그 제조 방법
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
KR20110047880A (ko) 2009-10-30 2011-05-09 주식회사 하이닉스반도체 반도체장치의 매립게이트 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030198587A1 (en) * 1999-02-12 2003-10-23 Gelest, Inc. Method for low-temperature organic chemical vapor deposition of tungsten nitride, tungsten nitride films and tungsten nitride diffusion barriers for computer interconnect metallization
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20090163025A1 (en) * 2007-12-21 2009-06-25 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US20100078817A1 (en) * 2008-09-30 2010-04-01 Heinrich Koerner Interconnect Structure
TW201145461A (en) * 2010-03-24 2011-12-16 Applied Materials Inc Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Also Published As

Publication number Publication date
KR101990051B1 (ko) 2019-10-01
CN103681285B (zh) 2017-11-21
US9070749B2 (en) 2015-06-30
US20140061931A1 (en) 2014-03-06
CN103681285A (zh) 2014-03-26
TW201409696A (zh) 2014-03-01
KR20140029041A (ko) 2014-03-10

Similar Documents

Publication Publication Date Title
TWI587513B (zh) 包含無氟之鎢阻障層的半導體裝置及其製造方法
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
US7750379B2 (en) Metal-substituted transistor gates
US7214994B2 (en) Self aligned metal gates on high-k dielectrics
US10199228B2 (en) Manufacturing method of metal gate structure
US7091568B2 (en) Electronic device including dielectric layer, and a process for forming the electronic device
JP2009059882A (ja) 半導体装置
TW201036063A (en) Semiconductor device and the fabrication method thereof
CN103854983B (zh) P型mosfet的制造方法
US20080164582A1 (en) Semiconductor devices and methods of manufacture thereof
US20100320520A1 (en) Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
US10424504B2 (en) Method for forming improved liner layer and semiconductor device including the same
JP4907839B2 (ja) 半導体装置の製造方法
US8633119B2 (en) Methods for manufacturing high dielectric constant films
CN107689393B (zh) 一种半导体器件及其制造方法
US8633114B2 (en) Methods for manufacturing high dielectric constant films
TWI582839B (zh) 金屬閘極結構及其製作方法
JP5372394B2 (ja) 半導体装置及びその製造方法
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법
JP2012060055A (ja) ゲート電極及びその製造方法
KR20070117213A (ko) 반도체 소자 및 그의 제조방법