JP2022522226A - 高ステップカバレッジのタングステン堆積 - Google Patents
高ステップカバレッジのタングステン堆積 Download PDFInfo
- Publication number
- JP2022522226A JP2022522226A JP2021559713A JP2021559713A JP2022522226A JP 2022522226 A JP2022522226 A JP 2022522226A JP 2021559713 A JP2021559713 A JP 2021559713A JP 2021559713 A JP2021559713 A JP 2021559713A JP 2022522226 A JP2022522226 A JP 2022522226A
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- tungsten
- pulse
- reducing agent
- boron
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 122
- 239000010937 tungsten Substances 0.000 title claims abstract description 122
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 120
- 238000000034 method Methods 0.000 claims abstract description 105
- 238000000151 deposition Methods 0.000 claims abstract description 67
- 230000008569 process Effects 0.000 claims abstract description 58
- 239000002243 precursor Substances 0.000 claims abstract description 50
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 48
- 230000006911 nucleation Effects 0.000 claims abstract description 42
- 238000010899 nucleation Methods 0.000 claims abstract description 42
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 39
- 229910052796 boron Inorganic materials 0.000 claims abstract description 39
- 239000001257 hydrogen Substances 0.000 claims abstract description 35
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 35
- 239000007789 gas Substances 0.000 claims description 46
- 238000010926 purge Methods 0.000 claims description 37
- 239000000758 substrate Substances 0.000 claims description 33
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 31
- 238000012545 processing Methods 0.000 claims description 25
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 15
- 229910000077 silane Inorganic materials 0.000 claims description 15
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 10
- 238000000354 decomposition reaction Methods 0.000 claims description 6
- 150000002431 hydrogen Chemical class 0.000 claims description 5
- 239000006227 byproduct Substances 0.000 claims description 4
- 239000012159 carrier gas Substances 0.000 claims description 4
- 230000008878 coupling Effects 0.000 claims description 2
- 238000010168 coupling process Methods 0.000 claims description 2
- 238000005859 coupling reaction Methods 0.000 claims description 2
- 238000005979 thermal decomposition reaction Methods 0.000 claims description 2
- 230000003071 parasitic effect Effects 0.000 abstract description 8
- 238000010586 diagram Methods 0.000 abstract description 5
- 125000004435 hydrogen atom Chemical class [H]* 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 53
- 230000008021 deposition Effects 0.000 description 51
- 235000012431 wafers Nutrition 0.000 description 23
- 238000000231 atomic layer deposition Methods 0.000 description 21
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 238000011049 filling Methods 0.000 description 17
- 239000004065 semiconductor Substances 0.000 description 15
- 239000000463 material Substances 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 11
- 239000000376 reactant Substances 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 239000010408 film Substances 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 239000003153 chemical reaction reagent Substances 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- -1 tungsten halide Chemical class 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical group 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical group [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000012625 in-situ measurement Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000012856 packing Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 206010053759 Growth retardation Diseases 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 241000724291 Tobacco streak virus Species 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 239000007857 degradation product Substances 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000000386 microscopy Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 230000010349 pulsation Effects 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- ISIJQEHRDSCQIU-UHFFFAOYSA-N tert-butyl 2,7-diazaspiro[4.5]decane-7-carboxylate Chemical compound C1N(C(=O)OC(C)(C)C)CCCC11CNCC1 ISIJQEHRDSCQIU-UHFFFAOYSA-N 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
<参照による援用>
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
化学蒸着(CVD)技術を用いるタングステン(W)膜堆積は、半導体製造プロセスの不可欠な部分である。例えばタングステン膜は、水平インタコネクトの形の低抵抗率電気接続部、隣り合う金属層間のビア、およびシリコン基板上の第1金属層とデバイスとの間の接点として用いられてよい。タングステン膜は、ダイナミックランダムアクセスメモリ(DRAM)の埋め込みワードライン(bWL)構造、3D NANDのワードライン、およびロジックアプリケーションの形成を含む様々なメモリアプリケーションで用いられてもよい。しかし、継続するフィーチャサイズの縮小および膜厚の減少は、優れたステップカバレッジを有する膜の堆積を含む様々な課題をもたらす。
本明細書に記載の背景技術は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
本開示の一態様は、方法であって、上面、側壁、および底部に開口を有するフィーチャを備える基板をチャンバに提供する工程と、フィーチャ側壁およびフィーチャ底部に吸着するホウ素含有還元剤パルスをチャンバに流すことと、チャンバをパージすることと、吸着したホウ素含有還元剤と反応させるためにタングステン含有前駆体パルスをチャンバに流すことと、チャンバをパージすること、を複数サイクル実施することにより、フィーチャにタングステン核生成層を堆積する工程と、を含む方法に関し、水素(H2)は、ホウ素含有還元剤パルスの間に流され、タングステン含有前駆体パルスの間には流されず、H2はホウ素含有還元剤の熱分解を抑制する。
いくつかの実施形態では、タングステン核生成層は、少なくとも10オングストロームの厚さであり、フィーチャ全体のステップカバレッジは、少なくとも90%である。ステップカバレッジは、フィーチャ上面のタングステン核生成層の厚さに対する、フィーチャの任意の地点におけるタングステン核生成層の厚さの比率である。
いくつかの実施形態では、核生成層を堆積する工程はさらに、チャンバにシランパルスを流し、チャンバをパージし、チャンバにタングステン含有前駆体パルスを流し、チャンバをパージする工程の少なくとも1サイクルを含み、水素は、タングステン含有前駆体パルスの間に流されない。
いくつかの実施形態では、水素はシランパルスの間に流されない。いくつかの実施形態では、水素はシランパルスの間に流される。いくつかの実施形態では、タングステン核生成層は、10オングストロームから50オングストロームの厚さである。いくつかの実施形態では、基板温度は350℃未満である。いくつかの実施形態では、基板温度は約250℃から300℃である。いくつかの実施形態では、水素は、ホウ素含有還元剤の分解副生成物と反応する。
いくつかの実施形態では、チャンバ内のホウ素含有還元剤パルスは、不活性キャリアガスと共にチャンバに流される。
いくつかの実施形態では、H2対ホウ素含有還元剤の体積流量比は、20:1から400:1である。いくつかの実施形態では、ホウ素含有還元剤はジボランである。
本開示の別の態様は、方法であって、上面、側壁、および底部に開口を有するフィーチャを備える基板をチャンバに提供する工程と、ホウ素含有還元剤パルスをチャンバに流すことと、チャンバをパージすることと、タングステン含有前駆体パルスをチャンバに流すことと、チャンバをパージすること、を複数サイクル実施することにより、フィーチャにタングステン核生成層を堆積する工程と、を含む方法に関し、水素は、ホウ素含有還元剤パルスの間に流され、タングステン含有前駆体パルスの間には流されない。
いくつかの実施形態では、タングステン核生成層は少なくとも10オングストロームの厚さであり、フィーチャ全体のステップカバレッジは少なくとも90%である。ステップカバレッジは、フィーチャ上面のタングステン核生成層の厚さに対する、フィーチャの任意の地点におけるタングステン核生成層の厚さの比率である。
いくつかの実施形態では、核生成層を堆積する工程はさらに、チャンバにシランパルスを流し、チャンバをパージし、チャンバにタングステン含有前駆体パルスを流し、チャンバをパージすることの少なくとも1サイクルを含み、水素はタングステン含有前駆体パルスの間に流されない。
いくつかの実施形態では、水素はシランパルスの間に流されない。いくつかの実施形態では、水素はシランパルスの間に流される。いくつかの実施形態では、タングステン核生成層は、10オングストロームから50オングストロームの厚さである。いくつかの実施形態では、基板温度は350℃未満である。いくつかの実施形態では、基板温度は約250℃から300℃である。いくつかの実施形態では、水素は、ホウ素含有還元剤の分解副生成物と反応する。
いくつかの実施形態では、チャンバ内のホウ素含有還元剤パルスは、不活性キャリアガスと共にチャンバに流される。
いくつかの実施形態では、H2対ホウ素含有還元剤の体積流量比は、20:1から400:1である。いくつかの実施形態では、ホウ素含有還元剤はジボランである。
本開示の別の態様は、装置であって、(a)基板を保持するように構成された台座を有する少なくとも1つのステーションを備える処理チャンバと、(b)真空に結合するための少なくとも1つの出口と、(c)1つ以上の処理ガス源に結合された1つ以上の処理ガス入口と、(d)装置における動作を制御するためのコントローラであって、チャンバにホウ素含有還元剤パルスを流すことと、チャンバをパージすることと、チャンバにタングステン含有前駆体パルスを流すことと、チャンバをパージすること、のための機械可読命令を含むコントローラと、を備える装置に関し、水素はホウ素含有還元剤パルスの間に流され、タングステン含有前駆体パルスの間には流されない。
いくつかの実施形態では、コントローラは、台座温度を350℃未満に維持するための命令を含む。いくつかの実施形態では、コントローラは、台座温度を175℃から300℃に維持するための命令を含む。いくつかの実施形態では、コントローラは、チャンバにシランパルスを流し、チャンバをパージし、チャンバにタングステン含有前駆体パルスを流し、チャンバをパージするための命令を含み、水素はタングステン含有前駆体パルスの間には流されない。
これらおよび他の態様は、図面を参照して以下に説明される。
以下の説明では、本発明の十分な理解を提供するためにいくつかの特定の詳細が記載される。本発明は、これら特定の詳細の一部または全てなしで実施されてよい。他の例では、本発明を必要以上に分かりにくくしないように、周知のプロセス動作は詳細には説明されていない。本発明は特定の実施形態と併せて説明されるが、本発明を実施形態に限定する意図はないことが理解されるだろう。
本明細書には、タングステンによってフィーチャを充填する方法、ならびに関連するシステムおよび装置が説明される。適用の例は、ロジックおよびメモリコンタクト充填、DRAM埋め込みワードライン充填、垂直集積メモリゲート/ワードライン充填、およびシリコン貫通ビア(TSV)による3D集積を含む。本明細書に記載の方法は、タングステンビアなどの垂直フィーチャ、垂直NAND(VNAND)ワードラインなどの水平フィーチャを充填するのに用いることができる。この方法は、共形かつボトムアップまたはインサイドアウト充填に用いられてよい。
様々な実施形態により、フィーチャは、狭いおよび/または凹型の開口、フィーチャ内のくびれ、および高アスペクト比のうちの1つ以上を特徴とすることができる。充填されうるフィーチャの例は、図1A~1Cに示されている。図1Aは、タングステンによって充填される垂直フィーチャ101の断面図の例を示す。フィーチャは、基板103にフィーチャ穴105を含みうる。基板は、上に誘電材料、導電材料、または半導電材料などの材料の1つ以上の層を有するウエハを含むシリコンウエハ(例えば、200mmウエハ、300mmウエハ、450mmウエハ)であってよい。いくつかの実施形態では、フィーチャ穴105は、少なくとも約2:1、少なくとも約4:1、少なくとも約6:1以上のアスペクト比を有してよい。フィーチャ穴105は開口付近に、例えば約10nmから500nm(例えば、約25nmから300nm)の開口直径またはライン幅の寸法を有してもよい。フィーチャ穴105は、非充填フィーチャ、または単にフィーチャと呼ばれうる。フィーチャおよびあらゆるフィーチャは、フィーチャの長さを通じて伸びる軸118を部分的に特徴としてよく、垂直配向フィーチャは垂直軸を有し、水平配向フィーチャは水平軸を有する。
図1Bは、凹状プロファイルを有するフィーチャ101の例を示す。凹状プロファイルとは、フィーチャの底部、閉端部、または内面からフィーチャ開口部に向かって狭まるプロファイルである。様々な実施形態により、このプロファイルは徐々に狭まってよい、および/または、フィーチャ開口部に張り出しを備えてよい。図1Bは後者の例を示し、下層113がフィーチャ穴105の側壁または内面に沿っている。下層113は、例えば、拡散バリア層、接着層、核生成層、これらの組み合わせ、または、他の適用可能な材料であってよい。下層113は、フィーチャ101の内側よりもフィーチャ101の開口付近でより厚くなるように張り出し115を形成する。
いくつかの実施形態では、フィーチャ内に1つ以上のくびれを有するフィーチャが充填されてよい。図1Cは、くびれを有する様々な充填フィーチャの例の図を示す。図1Cの例(a)、(b)、および(c)は各々、フィーチャ内の中間地点にくびれ109を備える。くびれ109は、例えば約15nm~20nmの幅であってよい。くびれは、従来の技術を用いるフィーチャへのタングステン堆積中にピンチオフを引き起こす可能性があり、フィーチャ部分が充填される前に、堆積したタングステンがくびれを越えてさらに堆積するのを防ぐことで、フィーチャ内にボイドが生じる。例(b)はさらに、フィーチャの開口にライナ/バリア張り出し115を備える。かかる張り出しも、ピンチオフ点になる可能性がある。例(c)は、例(b)の張り出し115よりもフィールド領域から遠くにくびれ112を備える。以下にさらに説明されるように、本明細書に記載の方法は、図1Cに描かれたようなボイドフリー充填を可能にする。
3Dメモリ構造などの水平フィーチャも充填できる。図1Dは、くびれ151を備えるVNAND構造148におけるワードライン150の例を示す。いくつかの実施形態では、くびれは、VNANDまたは他の構造におけるピラーの存在が原因の可能性がある。例えば図1Eは、VNAND構造のピラー125の平面図を示し、図1Fは、ピラー125の断面概略図を示す。図1Eの矢印は堆積材料を表し、ピラー125は、領域127とガス入口または他の堆積源との間に配置されているため、隣り合うピラーは、領域127のボイドフリー充填における課題を提示するくびれを引き起こしうる。
図1Gは、例えばピラーのくびれ151を含むVNANDまたは他の構造の水平フィーチャの図の別の例を提供する。図1Gの例は開放端であり、堆積する材料は、矢印で示されるように両側から横方向に入ることができる(図1Gの例は、構造の2Dレンダリング3Dフィーチャとして見ることができ、図1Gは充填される領域の断面図であり、図に示されるピラーのくびれは、断面図ではなく平面視のくびれを表すことに注意されたい)。いくつかの実施形態では、3D構造は、3次元(例えば、図1Fの例のX、Y、およびZ方向)に沿って伸びる充填領域を特徴とすることができ、1次元または2次元に沿って伸びる充填穴またはトレンチよりも、充填がより難しいことを表してよい。例えば、堆積ガスは多次元からフィーチャに入り込むため、3D構造の充填を制御することは難しい可能性がある。この方法は、図1Hに示されるように、タングステンワードラインへのインタコネクトを充填するのに用いられてもよく、インタコネクトフィーチャ170は、タングステンワードライン172に接続するためにタングステンが充填されてよい。水平配向フィーチャおよび垂直配向フィーチャのフィーチャ充填の例は、以下に説明される。これらの例は、ほとんどの場合において水平配向フィーチャおよび垂直配向フィーチャの両方に適用可能であることに注意されたい。
フィーチャ内の材料の分配は、そのステップカバレッジを特徴としてよい。この説明の目的として、「ステップカバレッジ」は、2つの厚さの比率(例えば、フィーチャの内側の材料の厚さを開口付近の材料の厚さで割った比率)として定義される。この説明の目的として、「フィーチャの内側」という用語は、フィーチャの軸に沿ったフィーチャのおおよそ中間地点に位置するフィーチャの中間部分(例えば、フィーチャの開口から、または、開口から測定したフィーチャの軸に沿った距離の約75%から95%に位置するフィーチャの端部から測定した、フィーチャの深さに沿った距離の約25%から75%の領域、または特定の実施形態では、その距離の約40%から60%の領域)を表す。「フィーチャの開口付近」または「フィーチャ開口付近」という用語は、開口端または開口端の他の代表要素の25%以内、より具体的には10%以内に位置するフィーチャの上部を表す。100%を超えるステップカバレッジは、例えば、フィーチャの中間もしくは底部においてフィーチャの開口よりも広いフィーチャを充填することにより、または、開口もしくはその付近よりも厚い膜がフィーチャ内に堆積される場合に実現できる。
本明細書に記載の方法は、バルク層の堆積より前にタングステン核生成層を堆積することを含む。核生成層は通常、その上へのその後のバルクタングステン含有材料の堆積を容易にする薄い共形層である。様々な実施形態により、核生成層は、タングステンもしくはタングステン含有材料によるフィーチャの充填より前に、および/または、フィーチャ充填のその後の時点で、堆積されてよい。
特定の実施形態では、核生成層は、反応チャンバからの還元剤、任意のパージガス、およびタングステン含有前駆体のパルスの注入に続いて堆積される。このプロセスは、所望の厚さに達するまで周期的に繰り返される。核生成層の厚さは、核生成層堆積方法だけでなく所望品質のバルク堆積にも依存しうる。一般に核生成層の厚さは、高品質かつ均一なバルク堆積を支持するのに十分である。例は、5Å~100Åまたは12Å~50Åの範囲であってよい。
ALD技術は、反応物が同時に導入される化学蒸着(CVD)技術とは異なる。特定の実施形態では、核生成層は、パルス核生成層(PNL)技術を用いて堆積される。PNL技術では、還元剤、任意のパージガス、およびタングステン含有前駆体のパルスは、連続して反応チャンバに注入され、反応チャンバからパージされる。このプロセスは、所望の厚さに達するまで周期的に繰り返される。PNLはALD技術を含み、広義には、反応のための反応物を半導体基板に連続して追加する周期的プロセスを具体化する。
本明細書には、非常に優れたステップカバレッジを実現するタングステン核生成層の堆積方法が説明される。この方法は、水素(H2)をホウ素含有還元剤と並行して流しながら、タングステン含有前駆体およびホウ素含有還元剤の交互パルスのシーケンスを含む。H2流は、タングステン含有前駆体流より前に停止される。H2をタングステン含有前駆体流とでなくホウ素含有還元剤と並行して流すことにより、寄生CVD構成要素が減少し、結果としてより多くの自己抑制プロセスがもたらされる。これにより今度は、核生成層のステップカバレッジおよび共形性が向上する。
ALDタングステンプロセスは、2つ以上の共反応物の連続供給によって可能になる2つの半反応を用いてよい。1つの共反応物は、表面を官能化し、基板へのタングステン含有種の吸着を可能にするよう機能する。連続するサイクルは、共形薄膜の堆積をもたらす。タングステン含有前駆体ドーズ中に、水素を背後で、またはキャリアガスとして流すことは、より高い堆積速度、より厚い核生成層、および共形性の減少をもたらす。これは、タングステン含有前駆体の一部が水素との寄生CVD反応によって消費されることによる。しかし、H2をB2H6と並行して流すことで、共形性が向上することが分かっている。これは、ALDサイクル中にB2H6が分解(例えば、B2H6→2/3B3+3H2)できるため、次にCVD反応に貢献する寄生反応をもたらすからである。寄生CVD貢献は、プロセスのステップカバレッジを低下させる。B2H6とH2とを並行して流すことにより、B2H6の分解は抑制される。いくらかのB2H6は分解するかもしれないが、H2の存在はその量を大幅に低減できる。さらにH2は、B2H6分解生成物または他の反応副生成物と反応して、ジボランを生成する(例えば、2B3+9H2→3B2H6)。よって、堆積への寄生CVD貢献は、低減または最小化される。これにより、薄膜堆積プロセスは純粋なALDプロセスに近づくように転じ、ステップカバレッジおよび共形性を向上させる。
水素をジボランと共に流すことにより、ジボランのホウ素への分解ではなくジボランの化学吸着および物理吸着が促進される。これは、ホウ素犠牲層を用いる他の堆積プロセスとは異なる。
基板温度は、約350℃未満(例えば、約175℃から300℃、または約250℃から300℃)であってよい。低温は、より少ない分解をもたらし、また堆積に対するより多くの制御をもたらす。これらの比較的低温であっても、ジボランは分解の影響を受けやすい。例示的なチャンバ圧は、10トル(1333.22パスカル)から60トル(7999.34パスカル)、または10トル(1333.22パスカル)から40トル(5332.89パスカル)である。いくつかの実施形態では、チャンバ圧は10トル(1333.22パスカル)よりも大きい。チャンバ圧は、例えばフッ素の取り込みを低減するために10トル(1333.22パスカル)未満であってもよい。
例示的な成長速度は、2Å~20Å/サイクル、または4Å~12Å/サイクルであってよく、この成長速度は、寄生CVD反応を抑制し、ステップカバレッジを増加させるのにより多くの水素が用いられるにつれて低下する。
水素:ジボランの体積流量比は、特定の構造について所望の効果を提供するように調整されてよい。高すぎると、ジボランの物理吸着または化学吸着は必要以上に遅くなる可能性がある。低すぎると、ジボランは分解し、上記の寄生CVD効果を引き起こす可能性がある。H2:B2H6の例示的範囲は、20:1~400:1である。
いくつかの実施形態では、ジボラン(または、他の還元剤)は、不活性ガスと共に供給される。例えばB2H6は、20:1の窒素(N2):B2H6比でN2と混合されてよい。その例では、20:1~400:1のH2:B2H6を得るために、H2対ジボラン/不活性ガス混合物は1:1~20:1であってよい。窒素は、ジボランまたは他の還元剤と混合されうるガスの例である。還元剤と化学的に相溶性があり、還元剤と反応しない任意の不活性ガスが用いられてよく、別の例としてヘリウム(He)がある。
図2は、ジボランを用いてタングステン核生成層を堆積するための方法の例示的サイクルを示すタイミングシーケンス図の例を示す。図2に示されるように、水素はジボランパルスの間にのみ流される。
タングステン核生成層は、ジボランに加えて、シリコン系前駆体(例えば、シラン(SiH4))を用いて堆積されてよい。いくつかの実施形態では、シランパルスは、シーケンスの一部として追加される(例えば、B/W/B/W/S/W)(Bはジボランパルス、Wはタングステン含有前駆体パルス、Sはシリコン含有前駆体パルスを表し、間のパージは明記されていない)。かかる実施形態では、シランまたは他のシリコン含有前駆体は、水素なしでパルス化されてよい。
タングステン含有前駆体は、フッ化タングステン(例えば、WF6)および塩化タングステン(例えば、WCl5およびWCl6)を含むホウ素含有還元剤によって低減されうるハロゲン化タングステンであってよい。ジボランが上述されたが、この方法は、ALD処理温度での分解に影響されやすい任意の還元剤によって実施されてよい。例は、ヘキサボランおよびトリボランを含む。
いくつかの実施形態では、方法は少なくとも90%のステップカバレッジをもたらす。図3は、フィーチャ301が形成されたタングステン核生成層305を備える、部分的に製造された半導体基板303の概略図を示す。この図は、フィーチャ深さの%(パーセント)として測定された、フィーチャの上部、フィーチャの底部、および様々な側壁深さを含む、異なる地点の層厚さ測定値も明記する。ステップカバレッジは、指定されない限り、上部位置に対する底部または側壁位置における厚さの比率として測定される。
本明細書の説明は、ジボランを用いるタングステン核生成層堆積に言及しているが、共反応物による水素のパルス化は、共反応物が分解の影響を受けやすく、水素化物であるときに、他の材料および他の共反応物のALD堆積中の共形性を向上させるために実施されてよい。堆積されうる他の金属の例は、モリブデン(Mo)およびルテニウム(Ru)を含む。
実験
タングステン核生成層のALDは、プロセスAおよびBを用いて、同一寸法の構造上のフィーチャ内で実施された。
タングステン核生成層のALDは、プロセスAおよびBを用いて、同一寸法の構造上のフィーチャ内で実施された。
プロセスA:一定のH2流で複数サイクルの(B2H6-Arパージ-WF6-Arパージ)。
プロセスB:B2H6パルス中のみ一定のH2流で複数サイクルの(B2H6-Arパージ-WF6-Arパージ)。
ステップカバレッジは、上部水平面に堆積した膜に対して上部側壁、中間側壁、底部側壁において測定された。上部側壁は、フィーチャ深さの約5%の地点、中間側壁は約50%の地点、底部側壁は約95%の地点を意味する。
上の表から分かるように、B2H6パルス中のみH2を並流することで、大幅に向上したステップカバレッジがもたらされる。第3のプロセスは異なる構造上で用いられた:
プロセスC:H2なしで複数サイクルの(B2H6-Arパージ-WF6-Arパージ)。
プロセスC:H2なしで複数サイクルの(B2H6-Arパージ-WF6-Arパージ)。
核生成層堆積の後に、フィーチャはバルクタングステン層で充填されてよい。いくつかの実施形態では、タングステンバルク堆積は、フィーチャにバルク充填層を堆積するために還元剤およびタングステン含有前駆体が堆積チャンバに流される、CVDプロセスによって生じうる。いくつかの実施形態では、タングステンバルク堆積は、フィーチャにバルク充填層を堆積するために還元剤およびタングステン含有前駆体が堆積チャンバに順次導入される、ALDプロセスによって生じうる。CVDが用いられた場合、この動作は、所望量が堆積されるまで反応物を継続して流す工程を含みうる。特定の実施形態では、CVD動作は複数段階で実施されてよく、反応物の連続流および並行流の複数期間は、分流された1つ以上の反応物流の期間によって区切られる。さらに、フィーチャの充填中にタングステン成長の抑制および/またはエッチングが実施されてよい。
タングステン含有前駆体として、WF6、WCl6、およびW(CO)6を含むがこれらに限定されない様々なタングステン含有ガスが用いられうる。特定の実施形態では、タングステン含有前駆体は、WF6などのハロゲン含有化合物である。特定の実施形態では、還元剤は水素ガスであるが、シラン(SiH4)、ジシラン(Si2H6)、ヒドラジン(N2H4)、ジボラン(B2H6)、およびゲルマン(GeH4)を含む他の還元剤が用いられてよい。多くの実施形態では、CVDプロセスにおいて水素ガスが還元剤として用いられる。いくつかの他の実施形態では、分解してバルクタングステン層を形成できるタングステン前駆体が用いられうる。バルク堆積は、ALDプロセスを含む他の種類のプロセスを用いて生じてもよい。
堆積は、特定のフィーチャプロファイルが実現するまで、および/または、特定量のタングステンが堆積するまで、様々な実施形態により続行してよい。いくつかの実施形態では、堆積時間および他の関連パラメータは、モデリングおよび/またはトライアンドエラーによって決定されてよい。例えば、ピンチオフまでタングステンがフィーチャ内に共形に堆積できるインサイドアウト充填プロセスの初期堆積では、フィーチャ寸法、タングステン厚さ、およびピンチオフを引き起こすだろう対応する堆積時間に基づいて簡単に決定されてよい。いくつかの実施形態では、処理チャンバは、堆積動作のエンドポイント検出のためのin-situ計測測定を実施するために、様々なセンサを備えてよい。In-situ計測の例は、堆積膜の厚さを決定するための光学顕微鏡法および蛍光X線分析法(XRF)を含む。
本明細書に記載のタングステン膜は、用いられる特定の前駆体およびプロセスによっては、ある程度の量の他の化合物、ドーパント、および/または不純物(窒素、炭素、酸素、ホウ素、亜リン酸、硫黄、シリコン、ゲルマニウムなど)を含んでよい。膜中のタングステン含有量は、20~100(原子)%のタングステンであってよい。多くの実施形態では、膜はタングステンに富み、少なくとも50(原子)%のタングステン、または、少なくとも約60、75、90、もしくは99(原子)%ものタングステンを有する。いくつかの実施形態では、膜は、金属、またはタングステン元素(W)、および他のタングステン含有化合物(炭化タングステン(WC)、窒化タングステン(WN)など)の混合物であってよい。
これらの材料のCVDおよびALD堆積は、任意の適した前駆体を用いることを含みうる。例えば、窒化タングステンのCVDおよびALD堆積は、ハロゲン含有化合物、およびハロゲンフリーのタングステン含有化合物、および窒素含有化合物を用いることを含みうる。
装置
本開示の実施形態を実施するために、任意の適したチャンバが用いられてよい。堆積装置の例は、様々なシステム(例えば、カリフォルニア州フレモントのラム・リサーチ・コーポレーションから入手可能なALTUS(登録商標)およびALTUS(登録商標)Max、または様々な他の市販の処理システム)を含む。いくつかの実施形態では、原子層堆積(ALD)は、単一堆積チャンバ内に設置された2つ、5つ、またはそれ以上の堆積ステーションの1つである第1ステーションで実施されてよい。よって、例えばジボラン(B2H6)/水素(H2)並行流および六フッ化タングステン(WF6)は、基板表面で局所雰囲気を生成する個別のガス供給システムを用いて、第1ステーションにおいて半導体基板の表面に交互パルスで導入されてよい。タングステンバルク層堆積に別のステーションが用いられてよい。並行処理でタングステンを堆積するために、2つ以上のステーションが用いられてよい。あるいは、2つ以上のステーションで連続して動作を実施するために、ウエハはインデキシングされてよい。
本開示の実施形態を実施するために、任意の適したチャンバが用いられてよい。堆積装置の例は、様々なシステム(例えば、カリフォルニア州フレモントのラム・リサーチ・コーポレーションから入手可能なALTUS(登録商標)およびALTUS(登録商標)Max、または様々な他の市販の処理システム)を含む。いくつかの実施形態では、原子層堆積(ALD)は、単一堆積チャンバ内に設置された2つ、5つ、またはそれ以上の堆積ステーションの1つである第1ステーションで実施されてよい。よって、例えばジボラン(B2H6)/水素(H2)並行流および六フッ化タングステン(WF6)は、基板表面で局所雰囲気を生成する個別のガス供給システムを用いて、第1ステーションにおいて半導体基板の表面に交互パルスで導入されてよい。タングステンバルク層堆積に別のステーションが用いられてよい。並行処理でタングステンを堆積するために、2つ以上のステーションが用いられてよい。あるいは、2つ以上のステーションで連続して動作を実施するために、ウエハはインデキシングされてよい。
図4は、実施形態により堆積プロセスを行うのに適した処理システムの図である。システム400は、搬送モジュール403を備える。搬送モジュール403は、処理されている基板が様々なリアクタモジュール間を移動する時の基板の汚染リスクを最小限にするために、クリーンな加圧環境を提供する。搬送モジュール403には、様々な実施形態によりALDおよびCVDを実施できるマルチステーションリアクタ409が取り付けられている。マルチステーションリアクタ409は、開示の実施形態により動作を連続して実施できる複数のステーション411、413、415、および417を備えてよい。例えばマルチステーションリアクタ409は、様々な実施形態により、ステーション411が塩素含有タングステン前駆体またはフッ素含有前駆体を用いてタングステン核生成層堆積を実施し、ステーション413がALDタングステン堆積動作を実施するように構成されてよい。いくつかの実施形態では、ステーション415もALDタングステン堆積動作を構築し、ステーション417はCVD動作を実施してよい。
ステーションは、加熱台座または加熱基板支持体、および、1つ以上のガス入口またはシャワーヘッドまたは分散板を備えてよい。基板支持体502およびシャワーヘッド503を備える堆積ステーション500の例が図5に示されている。台座部分501にはヒータが設けられてよい。
図4に戻ると、搬送モジュール403には、プラズマまたは化学的(非プラズマ)プレクリーニング、他の堆積動作、またはエッチング動作を実施できる、1つ以上の単一またはマルチステーションモジュール407が取り付けられてよい。モジュールは、例えば基板を堆積プロセスに向けて準備するために、様々な処理に用いられてもよい。システム400は、処理の前後にウエハが格納される1つ以上のウエハソースモジュール401を備えてもよい。大気搬送チャンバ419内の大気ロボット(図示せず)は、最初にウエハをソースモジュール401からロードロック421に取り出してよい。搬送モジュール403のウエハ搬送装置(一般に、ロボットアームユニット)は、ウエハをロードロック421から搬送モジュール403に取り付けられたモジュールに、およびそれらモジュール間で移動する。
様々な実施形態では、システムコントローラ429は、堆積中のプロセス条件を制御するのに用いられる。コントローラ429は通常、1つ以上のメモリデバイスおよび1つ以上のプロセッサを備えるだろう。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラ基板などを備えてよい。
コントローラ429は、堆積装置の全ての動作を制御してよい。システムコントローラ429は、タイミング、ガスの混合、チャンバ圧、チャンバ温度、ウエハ温度、高周波(RF)電力レベル、ウエハチャックまたは台座位置、および特定のプロセスの他のパラメータを制御するための命令のセットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラ429に関連付けられたメモリデバイスに格納された他のコンピュータプログラムが用いられてよい。
通常、コントローラ429に関連付けられたユーザインタフェースがあるだろう。ユーザインタフェースは、表示画面、装置および/またはプロセス条件のグラフィックソフトウェア画面、ならびにユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
システム制御ロジックは、任意の適した方法で構成されてよい。一般にロジックは、ハードウェアおよび/またはソフトウェアにおいて設計または構成できる。駆動回路を制御するための命令は、ハードコードされてよい、またはソフトウェアとして提供されてよい。命令は、「プログラミング」によって提供されてよい。かかるプログラミングは、デジタル信号プロセッサにおけるハードコードされたロジック、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含む、任意の形のロジックを含むと理解される。プログラミングは、汎用プロセッサで実行されうるソフトウェアまたはファームウェアの命令も含むと理解される。システム制御ソフトウェアは、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素流、タングステン含有前駆体パルス、およびプロセスシーケンスの他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来型コンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン、またはその他)で書き込むことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムにおいて識別されたタスクを実行するためにプロセッサによって実行される。示されたように、プログラムコードもハードコードされてよい。
コントローラパラメータは、例えば、処理ガスの組成および流量、温度、圧力、冷却ガス圧、基板温度、およびチャンバ壁温度などのプロセス条件に関する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを用いて入力されてよい。
プロセスを監視するための信号は、システムコントローラ429のアナログおよび/またはデジタルの入力接続によって提供されてよい。プロセスを制御するための信号は、堆積装置400のアナログおよびデジタルの出力接続に出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されてよい。例えば、様々なチャンバ構成部品のサブルーチンまたは制御オブジェクトは、本開示の実施形態により堆積プロセスを実行するのに必要なチャンバ構成部品の動作を制御するように書き込まれてよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めコード、処理ガス制御コード、圧力制御コード、およびヒータ制御コードを含む。
いくつかの実施形態では、コントローラ429は、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成部品(ウエハ台座、ガス流システムなど)を備える半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。これらの電子機器は「コントローラ」と呼ばれてよく、システムの様々な構成部品または副部品を制御してよい。コントローラ429は、処理要件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたあらゆるプロセスを制御するようにプログラムされてよい。
概してコントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造時における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラ429は、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、またはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えばコントローラ429は、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査して、現行の処理のパラメータを変更し、現行の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のパラメータを特定するデータの形の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが結合するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにコントローラは、例えば互いにネットワーク接続される1つ以上の別々のコントローラを含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバでのプロセスを制御する1つ以上の集積回路と連通する、チャンバ内の1つ以上の集積回路だろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、CVDチャンバまたはCVDモジュール、ALDチャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上記のようにコントローラは、ツールによって実施される処理工程に応じて、他のツール回路もしくはモジュール、他のツール構成部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
コントローラ429は、様々なプログラムを含んでよい。基板位置決めプログラムは、基板を台座またはチャック上に載せ、基板と他のチャンバ部品(ガス入口および/または目標物など)との間の空間を制御するのに用いられるチャンバ構成部品を制御するためのプログラムコードを含んでよい。処理ガス制御プログラムは、ガス組成、流量、パルス時間を制御し、必要に応じて、チャンバ内の圧力を安定させるために堆積前にチャンバにガスを流すためのコードを含んでよい。圧力制御プログラムは、例えばチャンバの排気システムのスロットル弁を調節することによって、チャンバ内の圧力を制御するためのコードを含んでよい。ヒータ制御プログラムは、基板を加熱するのに用いられる加熱ユニットへの電流を制御するためのコードを含んでよい。あるいはヒータ制御プログラムは、ウエハチャックへのヘリウムなどの伝熱ガスの供給を制御してよい。
堆積中に監視されうるチャンバセンサの例は、マスフローコントローラ、圧力計などの圧力センサ、および台座またはチャックに設置された熱電対を含む。所望のプロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバック制御アルゴリズムが用いられてよい。
装置は、図6に概略的に示された様々なガス分配ラインにライン電荷を提供するガスマニホールドシステムを備えてよい。マニホールド604は、蓄電池(図示せず)を含みうるタングステン含有前駆体ガスのソース601からの入力(蓄電量とも呼ばれうる)を有する。マニホールド611は、水素(H2)のソース609およびジボラン含有混合物または他の還元ガス(図示せず)のソース610からの入力を有する。これらソースの両方は、蓄電池(図示せず)を含んでよい。マニホールド621は、同様に蓄電池を含みうるパージガスのソース619からの入力を有する。マニホールド604、611、および6521は、それぞれ弁付き分配ライン605、613、および625を通じて、堆積チャンバにタングステン含有前駆体ガス、共反応ガス、およびパージガスを提供する。ライン電荷を提供するために、すなわち分配ラインを加圧するために、様々な弁が開閉されてよい。例えば、分配ライン605を加圧するために、真空弁606は閉じられ、弁608は閉じられる。適した時間が経過した後、弁608は開かれ、並行流ガスがチャンバに供給される。ガスが適した期間供給された後、弁608は閉じられる。その後チャンバは、真空弁606を開くことにより真空にパージされうる。
同様のプロセスは、還元ガスを供給するのに用いられうる。還元ガスを導入するために、例えば分配ライン613は、弁615を閉め、真空弁617を閉めることにより荷電される。弁615の開放は、チャンバへの還元ガスの供給を可能にする。
同様に、パージガスを導入するために、分配ライン625は、弁627を閉じ、真空弁623を閉じることにより荷電される。弁627の開放は、チャンバへのアルゴンまたは他の不活性パージガスの供給を可能にする。
ジボランまたは他の還元ガスは、プロセスの任意の時点で水素と混合でき、図6の配置に限定されない。例えば、保管された水素/ジボラン混合物が用いられてよい。あるいはそれは別のソースから調達されるが、チャンバへの供給前のある時点のプロセス中に混合されてよい、または、チャンバ内で生じる混合とは別にチャンバに供給されてよい。
図6は、弁606、617、および623がそれぞれシステムをパージするために開くことができる真空ポンプも示す。様々な分配ラインによるガスの供給は、マイクロプロセッサ、デジタル信号プロセッサなどによって制御されるマスフローコントローラなどのコントローラによって制御され、コントローラは、流量、流入期間、およびプロセスのシーケンスがプログラムされている。
上記のプロセスは、堆積中に弁およびマスフローコントローラ(MFC)が半導体基板に試薬のパルスを供給する正確なタイミングを必要としうることに注意されたい。これを可能にする1つの方法では、弁およびMFCのコマンドは、全てまたは一部の堆積シーケンスの全ての時間制約的なコマンドのための命令を含む情報の断続的なパケットで、埋め込みデジタル入出力コントローラ(IOC)に提供される。ラム・リサーチのALTUSシステムは、少なくとも1つのIOCシーケンスを提供する。IOCは、装置内(例えばプロセスモジュール内、または、プロセスモジュールからある程度離れて位置する独立型電力ラック上)の様々な地点に物理的に設置できる。各モジュールには複数のIOC(例えば、3個/モジュール)があってよい。シーケンスに含まれる実際の命令に対して、弁を制御し、MFCの流れ(全てのキャリアガスおよび反応ガスについて)を設定するための全てのコマンドは、単一IOCシーケンスに含まれてよい。これにより、全ての装置のタイミングが絶対的見地から厳密に制御され、相互にも関連することが確実になる。通常、任意の所定時間に行う複数のIOCシーケンスがある。これは、例えばステーション1~2でALD核生成層を堆積するために必要な全てのハードウェア構成要素について全てのタイミングが制御されたALDが、これらのステーションで行われることを可能にする。同じモジュールの他の堆積ステーションでバルク金属を堆積するために、第2シーケンスが同時に行われてよい。ステーション3~4への試薬の供給を制御する装置の相対的タイミングは、その装置群において重要だが、ステーション1~2におけるALDプロセスの相対的タイミングは、ステーション3~4の相対的タイミングからオフセットできる。IOCは、パケット化シーケンスで情報を変換し、デジタルまたはアナログのコマンド信号をMFC、または弁を制御する空気式ソレノイドバンクに直接提供する。
タングステン含有前駆体ガスのパルスは、以下のように生成されてよい。最初にシステムは、MFCまたは他の流量制御装置が安定している期間に、真空ポンプにWF6を流す。これは、一例では約0.5~5秒間行われてよい。次にシステムは、真空弁606および堆積チャンバへの弁608の両方を閉じることにより、タングステンガス供給マニホールドを加圧する。これは、例えば堆積チャンバへの弁が開いたときに試薬の初期バーストをもたらすように、約0.1~5秒間行われてよい。これは、一例では弁508を0.1~10秒間開くことにより実現される。
その後、タングステン含有ガスは、適したパージガスを用いて堆積チャンバからパージされる。上記と同様に、システムは、弁623および弁627を閉じることによりパージガス供給マニホールドを加圧してよい。蓄電池(図示せず)を加圧できるように、蓄電池への弁も閉じられる。これは、例えば堆積チャンバへの弁が開いたときに堆積チャンバから試薬を速やかに流すために、約0.1~5秒間行われてよい。堆積チャンバへの弁527が開いているときは、蓄電池の排出弁は、堆積チャンバへのパージガスの質量流量を増加させるために、同時にまたはそのすぐ後に開かれる。単パルス動作中に、加圧された同じ反応物またはパージガスを順次チャンバに流すために、複数の蓄電池が用いられてよい。これにより、全質量流量を増やすことができる。
上述の内容は、単一チャンバ半導体処理ツールまたはマルチチャンバ半導体処理ツールにおける開示の実施形態の実施を説明している。本明細書に記載の装置およびプロセスは、例えば、半導体デバイス、表示装置、LED、光発電パネルなどの製作または製造用のリソグラフィパターニングツールと併せて用いられてよい。通常、必ずしもではないが、かかるツール/プロセスは、共通の製作設備において一緒に用いられる、または行われるだろう。膜のリソグラフィパターニングは通常、(1)スピンオンまたはスプレイオンツールを用いてワークピース(すなわち、基板)にフォトレジストを塗布する工程、(2)ホットプレートまたは炉またはUV硬化ツールを用いてフォトレジストを硬化する工程、(3)ウエハステッパなどのツールによってフォトレジストを可視光またはUV光またはX線光に露光する工程、(4)ウェットベンチなどのツールを用いて、レジストを現像して選択的にレジストを除去し、それによりレジストをパターニングする工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下地膜またはワークピースにレジストパターンを転写する工程、および(6)RFまたはマイクロ波プラズマレジスト剥離剤などのツールを用いてレジストを除去する工程、のいくつかまたは全てを含み、各工程は、いくつかの可能なツールによって提供される。
前述の実施形態は、明確な理解のためにある程度詳細に説明されたが、特定の変更および修正が添付の特許請求の範囲内で行われてよいことは明らかだろう。本実施形態のプロセス、システム、および装置を実施する多くの他の方法があることに注意されたい。従って、本実施形態は、制限的ではなく例示的とみなされるべきであり、本明細書に記載の詳細に限定されるべきでない。
Claims (17)
- 方法であって、
上面、側壁、および底部に開口を有するフィーチャを備える基板をチャンバに提供する工程と、
前記フィーチャにタングステン核生成層を堆積する工程であって、
前記チャンバにホウ素含有還元剤パルスを流し、前記ホウ素含有還元剤は、前記フィーチャ側壁およびフィーチャ底部に吸着することと、
前記チャンバをパージすることと、
前記吸着したホウ素含有還元剤と反応させるために、前記チャンバにタングステン含有前駆体パルスを流すことと、
前記チャンバをパージすること、の複数サイクルを実施することにより堆積する工程と、を含み、
水素(H2)は、前記ホウ素含有還元剤パルスの間に流され、前記タングステン含有前駆体パルスの間は流されず、H2は、前記ホウ素含有還元剤の熱分解を抑制する、方法。 - 請求項1に記載の方法であって、
前記タングステン核生成層は、少なくとも10オングストロームの厚さであり、前記フィーチャ全体のステップカバレッジは、少なくとも90%であり、ステップカバレッジは、前記上面の前記タングステン核生成層の厚さに対する、前記フィーチャの任意の地点における前記タングステン核生成層の厚さの比率である、方法。 - 請求項1に記載の方法であって、
前記核生成層を堆積する工程は、さらに、前記チャンバにシランパルスを流し、前記チャンバをパージし、前記チャンバにタングステン含有前駆体パルスを流し、前記チャンバをパージすること、の少なくとも1サイクルを含み、
水素は、前記タングステン含有前駆体パルスの間は流されない、方法。 - 請求項3に記載の方法であって、
水素は、前記シランパルスの間は流されない、方法。 - 請求項3に記載の方法であって、
水素は、前記シランパルスの間に流される、方法。 - 請求項1に記載の方法であって、
前記タングステン核生成層は、10オングストロームから50オングストロームの厚さである、方法。 - 請求項1から6のいずれに記載の方法であって、
前記基板の温度は、350℃未満である、方法。 - 請求項1から7のいずれかに記載の方法であって、
前記基板の温度は、約250℃から300℃である、方法。 - 請求項1から8のいずれかに記載の方法であって、
水素は、前記ホウ素含有還元剤の分解副生成物と反応する、方法。 - 請求項1から9のいずれかに記載の方法であって、
前記チャンバ内の前記ホウ素含有還元剤パルスは、不活性キャリアガスと共に前記チャンバに流される、方法。 - 請求項1から10のいずれかに記載の方法であって、
前記ホウ素含有還元剤に対する前記H2の体積流量比は、20:1から400:1である、方法。 - 請求項1から11のいずれかに記載の方法であって、
前記ホウ素含有還元剤は、ジボランである、方法。 - 方法であって、
上面、側壁、および底部に開口を有するフィーチャを備える基板をチャンバに提供する工程と、
前記フィーチャにタングステン核生成層を堆積する工程であって、
前記チャンバにホウ素含有還元剤パルスを流すことと、
前記チャンバをパージすることと、
前記チャンバにタングステン含有前駆体パルスを流すことと、
前記チャンバをパージすること、の複数サイクルを実施することにより堆積する工程と、を含み、
水素は、前記ホウ素含有還元剤パルスの間に流され、前記タングステン含有前駆体パルスの間は流されない、方法。 - 装置であって、
(a)基板を保持するように構成された台座を有する少なくとも1つのステーションを備える処理チャンバと、
(b)真空に結合するための少なくとも1つの出口と、
(c)1つ以上の処理ガス源に結合された1つ以上の処理ガス入口と、
(d)前記装置における動作を制御するためのコントローラであって、
前記チャンバにホウ素含有還元剤パルスを流すことと、
前記チャンバをパージすることと、
前記チャンバにタングステン含有前駆体パルスを流すことと、
前記チャンバをパージすること、のための機械可読命令を含む、コントローラと、
を備え、
水素は、前記ホウ素含有還元剤パルスの間に流され、前記タングステン含有前駆体パルスの間は流されない、装置。 - 請求項14に記載の装置であって、
前記コントローラは、台座温度を350℃未満に維持するための命令を含む、装置。 - 請求項14に記載の装置であって、
前記コントローラは、台座温度を175℃から300℃に維持するための命令を含む、装置。 - 請求項14に記載の装置であって、
前記コントローラは、前記チャンバにシランパルスを流し、前記チャンバをパージし、前記チャンバにタングステン含有前駆体パルスを流し、前記チャンバをパージするための命令を含み、水素は、前記タングステン含有前駆体パルスの間は流されない、装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962832291P | 2019-04-11 | 2019-04-11 | |
US62/832,291 | 2019-04-11 | ||
PCT/US2020/027107 WO2020210260A1 (en) | 2019-04-11 | 2020-04-07 | High step coverage tungsten deposition |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2022522226A true JP2022522226A (ja) | 2022-04-14 |
Family
ID=72751976
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021559713A Pending JP2022522226A (ja) | 2019-04-11 | 2020-04-07 | 高ステップカバレッジのタングステン堆積 |
Country Status (7)
Country | Link |
---|---|
US (1) | US12002679B2 (ja) |
JP (1) | JP2022522226A (ja) |
KR (1) | KR20210141762A (ja) |
CN (1) | CN113710830A (ja) |
SG (1) | SG11202111277UA (ja) |
TW (1) | TW202104638A (ja) |
WO (1) | WO2020210260A1 (ja) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
JP7295749B2 (ja) * | 2019-09-13 | 2023-06-21 | キオクシア株式会社 | 半導体装置の製造方法 |
WO2024097068A1 (en) * | 2022-11-02 | 2024-05-10 | Lam Research Corporation | Dual nitrogen flow capability for low fluorine tungsten deposition |
Family Cites Families (314)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
JP2560028B2 (ja) | 1987-05-07 | 1996-12-04 | 新技術事業団 | ホウ化チタンの製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
JPH0266399A (ja) | 1988-08-30 | 1990-03-06 | Semiconductor Energy Lab Co Ltd | 気体充填用容器及びその作製方法 |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
EP1069610A2 (en) | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
JP3040177B2 (ja) | 1990-12-17 | 2000-05-08 | 沖電気工業株式会社 | 半導体素子の配線形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
EP0704551B1 (en) | 1994-09-27 | 2000-09-06 | Applied Materials, Inc. | Method of processing a substrate in a vacuum processing chamber |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US5545581A (en) | 1994-12-06 | 1996-08-13 | International Business Machines Corporation | Plug strap process utilizing selective nitride and oxide etches |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP3422345B2 (ja) | 1995-05-31 | 2003-06-30 | 日本電信電話株式会社 | タングステン膜の形成方法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (ja) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
KR100272523B1 (ko) | 1998-01-26 | 2000-12-01 | 김영환 | 반도체소자의배선형성방법 |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) * | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
JP5184731B2 (ja) | 2000-05-18 | 2013-04-17 | コーニング インコーポレイテッド | 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法 |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
AU2002214283A1 (en) | 2000-11-17 | 2002-05-27 | Tokyo Electron Limited | Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020190379A1 (en) | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
WO2003025243A2 (en) | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
TWI253478B (en) | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
KR20030050652A (ko) | 2001-12-19 | 2003-06-25 | 주식회사 하이닉스반도체 | 텅스텐막의 형성 방법 |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
CN1643179B (zh) | 2002-01-17 | 2010-05-26 | 松德沃技术公司 | Ald装置和方法 |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
US7311944B2 (en) | 2002-12-23 | 2007-12-25 | Applied Thin Films, Inc. | Aluminum phosphate coatings |
JP4429919B2 (ja) | 2002-12-27 | 2010-03-10 | 株式会社アルバック | 窒化タングステン膜の成膜方法 |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
CN1241251C (zh) | 2003-05-15 | 2006-02-08 | 上海集成电路研发中心有限公司 | 一种改进的钨插销结构的工艺流程 |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
KR20050054122A (ko) | 2003-12-04 | 2005-06-10 | 성명모 | 자외선 원자층 증착법을 이용한 박막 제조 방법 |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
KR100528030B1 (ko) | 2003-12-30 | 2005-11-15 | 주식회사 아이피에스 | 박막 증착 방법 |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
CN100370585C (zh) | 2004-04-12 | 2008-02-20 | 株式会社爱发科 | 隔离膜的形成方法及电极膜的形成方法 |
EP1741119B1 (en) | 2004-04-21 | 2019-04-03 | Lumileds Holding B.V. | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US7368394B2 (en) | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7956465B2 (en) | 2006-05-08 | 2011-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistivity in interconnect structures of integrated circuits |
US7828504B2 (en) | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
KR100874829B1 (ko) | 2006-12-26 | 2008-12-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속배선 형성방법 |
KR20080061978A (ko) | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
US8435898B2 (en) | 2007-04-05 | 2013-05-07 | Freescale Semiconductor, Inc. | First inter-layer dielectric stack for non-volatile memory |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
WO2008129508A2 (en) | 2007-04-20 | 2008-10-30 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Deposition of transition metal carbide containing films |
JP5277696B2 (ja) | 2008-04-07 | 2013-08-28 | パナソニック株式会社 | 圧電デバイスの製造方法 |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
KR100939777B1 (ko) | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US20090162681A1 (en) | 2007-12-21 | 2009-06-25 | Artur Kolics | Activation solution for electroless plating on dielectric layers |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
KR100919808B1 (ko) | 2008-01-02 | 2009-10-01 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
KR101015125B1 (ko) | 2008-03-21 | 2011-02-16 | 주식회사 하이닉스반도체 | 계면반응배리어를 구비한 반도체장치 제조 방법 |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US7830016B2 (en) | 2008-06-30 | 2010-11-09 | Intel Corporation | Seed layer for reduced resistance tungsten film |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
KR20100029952A (ko) | 2008-09-09 | 2010-03-18 | 주식회사 하이닉스반도체 | 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법 |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US20100120245A1 (en) | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
US8236691B2 (en) | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
KR101263856B1 (ko) | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20110020546A1 (en) | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
CN101572291B (zh) | 2009-06-12 | 2010-09-15 | 中国科学院上海微系统与信息技术研究所 | 一种实现多级存储的存储器单元结构及其制作方法 |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
WO2011062560A1 (en) | 2009-11-19 | 2011-05-26 | National University Of Singapore | Method for producing t cell receptor-like monoclonal antibodies and uses thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
CN101789369A (zh) | 2010-01-28 | 2010-07-28 | 上海宏力半导体制造有限公司 | 多金属钨栅极刻蚀方法 |
JP5729911B2 (ja) | 2010-03-11 | 2015-06-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | タングステン膜の製造方法およびタングステン膜を堆積させる装置 |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
KR101356332B1 (ko) | 2010-03-19 | 2014-02-04 | 노벨러스 시스템즈, 인코포레이티드 | 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법 |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
KR101340793B1 (ko) | 2010-07-09 | 2013-12-11 | 노벨러스 시스템즈, 인코포레이티드 | 고 종횡비 특징부 내부로 텅스텐 증착하기 |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
US20120199887A1 (en) * | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
JP5710529B2 (ja) | 2011-09-22 | 2015-04-30 | 株式会社東芝 | 半導体装置及びその製造方法 |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
JP5959991B2 (ja) | 2011-11-25 | 2016-08-02 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
CN102867953B (zh) | 2012-07-24 | 2015-01-21 | 龙能科技(苏州)有限公司 | 用氢氧化物或羟基氧化物生产锂离子电池正极材料的方法 |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR20140028992A (ko) | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법 |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
JP6494940B2 (ja) | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
JP5864503B2 (ja) | 2013-09-30 | 2016-02-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
CN105814677B (zh) | 2013-10-18 | 2019-06-18 | 布鲁克斯自动化公司 | 处理设备 |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9595470B2 (en) * | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
TW201700761A (zh) | 2015-05-13 | 2017-01-01 | 應用材料股份有限公司 | 經由基材的有機金屬或矽烷預處理而改良的鎢膜 |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
KR102397797B1 (ko) | 2015-05-27 | 2022-05-12 | 램 리써치 코포레이션 | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 |
JP6541438B2 (ja) | 2015-05-28 | 2019-07-10 | 東京エレクトロン株式会社 | 金属膜のストレス低減方法および金属膜の成膜方法 |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
TWI720106B (zh) | 2016-01-16 | 2021-03-01 | 美商應用材料股份有限公司 | Pecvd含鎢硬遮罩膜及製造方法 |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
TWI732846B (zh) | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | 透過控制前驅物混合來強化金屬的空間ald |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10410872B2 (en) | 2016-09-13 | 2019-09-10 | Applied Materials, Inc. | Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application |
KR101923301B1 (ko) | 2017-03-06 | 2018-11-28 | 한국전력공사 | 송전철탑 상향장치 및 방법 |
CN111095488A (zh) | 2017-08-14 | 2020-05-01 | 朗姆研究公司 | 三维竖直nand字线的金属填充过程 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR20200079339A (ko) | 2017-11-20 | 2020-07-02 | 램 리써치 코포레이션 | 자기 제한 성장 |
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
JP2022533834A (ja) | 2019-05-22 | 2022-07-26 | ラム リサーチ コーポレーション | 核生成のないタングステン堆積 |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US20230130557A1 (en) | 2020-03-04 | 2023-04-27 | Lam Research Corporation | Reactant gas pulse delivery |
CN115244666A (zh) | 2020-03-06 | 2022-10-25 | 朗姆研究公司 | 钼的原子层蚀刻 |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
KR20230043796A (ko) | 2020-07-29 | 2023-03-31 | 램 리써치 코포레이션 | 저저항 게이트 산화물 금속화 라이너 |
US11282711B2 (en) | 2020-07-31 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-assisted etching of metal oxides |
-
2020
- 2020-04-07 KR KR1020217036832A patent/KR20210141762A/ko active Search and Examination
- 2020-04-07 WO PCT/US2020/027107 patent/WO2020210260A1/en active Application Filing
- 2020-04-07 JP JP2021559713A patent/JP2022522226A/ja active Pending
- 2020-04-07 CN CN202080027971.9A patent/CN113710830A/zh active Pending
- 2020-04-07 US US17/601,918 patent/US12002679B2/en active Active
- 2020-04-07 SG SG11202111277UA patent/SG11202111277UA/en unknown
- 2020-04-09 TW TW109111977A patent/TW202104638A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
WO2020210260A1 (en) | 2020-10-15 |
TW202104638A (zh) | 2021-02-01 |
KR20210141762A (ko) | 2021-11-23 |
US20220181158A1 (en) | 2022-06-09 |
SG11202111277UA (en) | 2021-11-29 |
CN113710830A (zh) | 2021-11-26 |
US12002679B2 (en) | 2024-06-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6971539B2 (ja) | フッ素含有量が少ないタングステン膜 | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
JP6799903B2 (ja) | 基板上にタングステンを堆積する方法およびその装置 | |
JP2022510428A (ja) | ボイドフリーの低応力充填 | |
JP2022184943A (ja) | モリブデンを含有する低抵抗膜 | |
WO2020023790A1 (en) | Deposition of pure metal films | |
CN111357083A (zh) | 自限制生长 | |
JP2022522226A (ja) | 高ステップカバレッジのタングステン堆積 | |
US11972952B2 (en) | Atomic layer deposition on 3D NAND structures | |
US12077858B2 (en) | Tungsten deposition | |
TW201920748A (zh) | 氮化鎢阻障層沉積 | |
JP2022529056A (ja) | 原子層堆積中の急速フラッシュパージング | |
JP2024534326A (ja) | 半導体処理の間のプロセスガスランプ | |
TW202401671A (zh) | 高縱橫比3d nand結構中的鎢字元線填充 | |
KR20230155949A (ko) | 금속 충진 프로세스 동안 라인 벤딩 감소 |