TWI720106B - Pecvd含鎢硬遮罩膜及製造方法 - Google Patents

Pecvd含鎢硬遮罩膜及製造方法 Download PDF

Info

Publication number
TWI720106B
TWI720106B TW106100591A TW106100591A TWI720106B TW I720106 B TWI720106 B TW I720106B TW 106100591 A TW106100591 A TW 106100591A TW 106100591 A TW106100591 A TW 106100591A TW I720106 B TWI720106 B TW I720106B
Authority
TW
Taiwan
Prior art keywords
tungsten
layer
seed layer
boron
range
Prior art date
Application number
TW106100591A
Other languages
English (en)
Other versions
TW201736629A (zh
Inventor
蘇史密辛哈 羅伊
帕拉米特 曼納
程睿
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201736629A publication Critical patent/TW201736629A/zh
Application granted granted Critical
Publication of TWI720106B publication Critical patent/TWI720106B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Abstract

描述有形成鎢膜的方法,包含以下步驟:在氧化物表面上形成硼種子層、在硼種子層上的任選的鎢起始層及在硼種子層或鎢起始層上的含鎢膜。還描述有包含氧化物表面上的硼種子層及任選的鎢起始層和含鎢膜之膜堆疊。

Description

PECVD含鎢硬遮罩膜及製造方法
本揭露書一般涉及硬遮罩膜(hardmask film)和沉積硬遮罩膜的方法。具體地,本揭露書涉及含鎢的硬遮罩膜及其沉積製程。
隨著裝置圖案的特徵尺寸變小,特徵的臨界尺寸(CD)要求對穩定和可重複的裝置性能而言變成更重要的標準。可允許的跨基板之CD變化也隨著特徵CD的縮放而縮放。由於橫向尺寸縮放比垂直尺寸快(因為諸如裝置電容的問題),高深寬比(HAR)現在在產業中是普遍的。當這種要求的深寬比和CD控制與高蝕刻選擇性、側壁平滑度和高工具生產量的要求相結合時,用於任何硬體配置的製程窗口可變得非常小。在許多情況中,只有當將多種製程氣體結合到與極端的硬體設置(諸如非常高的RF偏壓功率)組合的複合蝕刻劑氣體混合物中時,才能發現小的製程窗口,以實現在側壁鈍化,蝕刻率和光罩選擇性之間的脆弱平衡。然而,這種小的製程窗口通常遭受性能限制,不能用已知手段調整出蝕刻製程。
製造技術現在通常採用包括硬遮罩的光罩堆疊。硬遮罩可採用於高深寬比特徵的蝕刻中。硬遮罩的使用可允許傳統光阻材料不能承受的更深的特徵。
碳化鎢(WC)膜已經實驗顯示為具有作為硬遮罩的高蝕刻選擇性。通常,WC膜藉由物理氣相沉積(PVD)而製造。這些PVD膜通常非常粗糙且具有高的擁有成本(COO)。
基於電漿增強化學氣相沉積(PECVD)的WC膜的製造通常涉及使用氫、烴源、氬、氦和鎢前驅物。六氟化鎢(WF6)是一種有前途的鎢前驅物,因為低成本、氣體本質和用於大規模生產的可擴展性。然而,由於在製程中存在類似HF和CF的氟基副產物,在前驅物中氟的存在實質地降低了對底層的黏著性。這些副產物導致膜甚至在中性大氣(如,在N2中550℃下30分鐘)的隨後的熱應力下分層。另外,非晶碳中之金屬的存在導致顆粒和粗糙的表面形態。
因此,在本領域中存在有用於沉積具有較低的表面粗糙度之含鎢硬遮罩膜的具成本效益的方法之需求。
本揭露書的一或多個實施例涉及形成含鎢膜的方法。方法包含提供具有氧化物表面的基板。硼種子層形成在氧化物表面上。鎢起始層形成在硼種子層上。含鎢膜形成在鎢起始層上。
本揭露書的額外的實施例涉及形成含鎢膜的方法。方法包含提供具有氧化矽表面的矽基板。硼種子層藉由第一PECVD製程而形成在氧化矽表面上。硼種子層具有在約10
Figure 106100591-A0305-001
至約200
Figure 106100591-A0305-001
的範圍中之厚度。 PECVD製程包含將氧化矽表面暴露於包含B2 H6 、H2 和Ar的第一反應氣體。PECVD製程包括以約13.56MHz的頻率、在約300W至約700W的範圍中之功率及在約2Torr至約10Torr的範圍中之壓力所產生的RF電漿。任選的鎢起始層藉由第二PECVD製程而形成在硼種子層上。鎢起始層具有在約10
Figure 106100591-A0305-001
至約200
Figure 106100591-A0305-001
的範圍中之厚度。第二PECVD製程包含將硼種子層暴露於包含WF6 、H2 和Ar的第二反應氣體。PECVD製程包括以約13.56MHz的頻率、在約300W至約700W的範圍中之功率及在約2至約10Torr的範圍中之壓力而產生的RF電漿。碳化鎢膜藉由第三PECVD製程而形成在硼種子層或鎢起始層上。碳化鎢膜具有大於約2000
Figure 106100591-A0305-001
的厚度。第三PECVD製程包含用RF電漿將鎢起始層暴露於包含WF6 、H2 和C3 H6 的第三反應氣體,RF電漿以約13.56MHz的頻率、在約300W到約700W的範圍中之功率及在約2至約10Torr的範圍中之壓力而產生。
本揭露書的進一步的實施例涉及包含具有氧化物表面的基板之堆疊。硼種子層在氧化物表面上。硼種子層具有在約10
Figure 106100591-A0305-001
至約200
Figure 106100591-A0305-001
的範圍中之厚度。任選的鎢起始層在硼種子層上。鎢起始層具有在約10
Figure 106100591-A0305-001
至200
Figure 106100591-A0305-001
範圍中之厚度。含鎢膜在硼種子層或任選的鎢起始層上。含鎢膜具有大於約2000
Figure 106100591-A0305-001
的厚度。
在描述本揭露書的幾個示例性實施例之前,應當理解本揭露書不限於以下的實施方式中所闡述的構造或製程步驟的細節。本揭露書能夠具有其他實施例且能夠以各種方式實施或執行。
「基板」當於此所使用時是指在製造製程期間在其上執行膜處理的任何基板或在基板上所形成的材料表面。例如,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上的矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料及諸如金屬、金屬氮化物、金屬合金和其它導電材料的任何其它材料,這取決於應用。基板包括(但不限於)半導體晶圓。基板可暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上的膜處理之外,在本揭露書中,所揭露的任何膜處理步驟也可在基板上所形成的底層上執行,如下面更詳細地揭露的,且術語「基板表面」意欲包括如上下文所指出的這種底層。因此,例如,當膜/層或部分膜/層已沉積到基板表面上時,新沉積的膜/層的暴露表面變成基板表面。
當在這份說明書和附隨的申請專利範圍中所使用時,術語「前驅物」、「反應物」、「反應氣體」及類似者可互換地使用,以指代可與基板表面反應的任何氣態物種。
本揭露書的實施例提供沉積黏著到底層之碳化鎢硬遮罩膜的方法。發明人已發現具有高達2μm之厚度的WC膜可黏著到具有超薄鎢起始層(<100Å)的裸矽基板上。本揭露書的一些實施例涉及沉積含鎢膜(如,WC、WB、WN、WCN、WBC、WBN和W)的方法。
鎢膜通常不直接在氧化物表面上生長。在一些實施例中,以其上的非晶硼種子層而處理氧化物表面。鎢起始層可在非晶硼種子層上生長。
一些實施例有利地提供具有對於20%的W為0.65nm和對於30%的W為0.97nm的低均方根(RMS)表面粗糙度之碳化鎢膜。一或多個實施例有利地提供用於 在圖案化製程中的光刻和蝕刻之具有更好性質的膜。本揭露書的實施例提供在氧化物表面上沉積WC硬遮罩膜的經濟且可靠的方法。
在一或多個實施例中,使用基於RF的電漿強化化學氣相沉積製程(PECVD)製造含鎢膜。參考第1和2圖,本揭露書的一或多個實施例涉及形成包括含鎢膜的堆疊200的方法100。本揭露書的許多實施例相對於碳化鎢(WC)膜的形成而討論。這僅僅是一種可能的配置和製程的代表。用於描述目的之碳化鎢的使用不應被視為將本揭露書的範圍限制成僅為WC。熟知本領域者將理解鎢膜可為(例如)WC,WB,WN,WBC,WBN,WCN或W。
在程序110處,提供包括氧化物表面222的基板220。氧化物表面222可為包括(但不限於)氧化矽之任何合適的氧化物。在一些實施例中,基板220包含矽且氧化物表面222包含氧化矽。氧化物表面222可為自然氧化物或生長氧化物。例如,表面可由於暴露於空氣而形成自然氧化物,或可被氧化以形成具有預定厚度的氧化物膜。
在程序120處,含鎢膜的形成包含在氧化物表面222上形成硼種子層240。非晶硼種子層240可藉由在RF電漿存在的情況中將硼前驅物與一或多個反應物共同流動而沉積。儘管非晶B膜也可在不存在RF電漿(亦即,藉由熱CVD)的情況中可形成,但是發明人已驚奇地發現使用RF電漿改善WC膜的黏著性,其中熱CVD硼膜為效果較差的。例如,當使用基於熱的B種子層時,觀察到隨後的WC膜在熱應力下分層。
合適的硼前驅物包括(但不限於)乙硼烷(B2 H6 )。
在一或多個實施例中,將氧化物表面暴露於反應氣體。反應氣體包含共同流入處理腔室的製程區域中的B2 H6 、H2 和Ar的混合物。用以形成硼種子層的反應氣體可稱為第一反應氣體,以將氣體成分和條件與其它反應氣體製程區分開。
用以沉積硼種子層的PECVD製程包括以預定的頻率、預定的功率和壓力所產生的RF電漿。電漿頻率可為包括(但不限於)2MHz、13.56MHz、40MHz、60MHz和100MHz之任何合適的頻率。在一些實施例中,電漿頻率為約13.56MHz。
在一些實施例中,在任何或所有製程階段中所使用的RF電漿的功率小於約1000W。在一些實施例中,任何PECVD製程階段使用在約100W到約900W的範圍中,或在約200W到約800W的範圍中,或在約300W到約700W的範圍中,或在約400W至約600W的範圍中之RF功率。在一些實施例中,RF功率為約500W。
RF電漿的壓力可為任何合適的製程壓力。例如,壓力可在約1Torr至約50Torr的範圍中。在一些實施例中,壓力在約2Torr至約10Torr的範圍中。在一或多個實施例中,壓力大於1Torr且小於50Torr,40Torr,30Torr或20Torr。
氧化物表面222可暴露於RF電漿任何合適的時間,取決於(例如)硼種子層240的製程條件和預定厚度。在一些實施例中,氧化物表面222暴露於PECVD製程,時間在約1秒至約20秒的範圍中。在一些實施例中,氧化物表面222暴露於PECVD製程小於約10秒。
硼種子層240的厚度可取決於(例如)待沉積的含鎢膜的預定厚度而變化。在一些實施例中,硼種子層240具有在約10Å至約200Å的範圍中之厚度。在一或多個實施例中,硼種子層具有在約10Å至約100Å的範圍中之厚度。在各種實施例中,硼種子層240具有小於約200Å,150Å,100Å或75Å的厚度。在一些實施例中,硼種子層240具有大於約10Å,20Å,30Å,40Å,50Å,60Å,70Å,80Å,90Å或100Å的厚度。
在程序130處,在形成硼種子層240之後,任選的鎢起始層260可形成在硼種子層240上。發明人已驚奇地發現硼種子層240允許形成鎢起始層260或含鎢的硬遮罩膜。觀察到在沒有硼種子層240的情況下,鎢起始層260未良好地形成在氧化物表面222上。
一些實施例的鎢起始層260包含在硼種子層240的頂部上之實質上純的鎢膜。熟知本領域者將理解鎢膜的底部可與硼種子層240的頂部一起擴散。當在本說明書和附隨的申請專利範圍中所使用時,術語「實質上純的鎢膜」是指鎢膜的上半部分大於約90原子%的鎢,95原子%的鎢或98原子%的鎢。
在一或多個實施例中,硼種子層240暴露於包含共同流入處理腔室的製程區域中的WF6 、H2 和Ar的混合物之反應氣體。用以形成鎢起始層260的反應氣體可被稱為第二反應氣體,以將氣體成分和條件與其它反應氣體製程區分開。在一些實施例中,反應氣體中的H2 和WF6 以約10:1至約50:1的範圍中,或約15:1至約30:1,或約20:1的範圍中之比例(H2 : WF6 )而呈現。
用以沉積鎢起始層260的PECVD製程包括以預定頻率、預定功率和壓力而產生的RF電漿。電漿頻率可為包括但不限於2MHz、13.56MHz、40MHz、60MHz和100MHz之任何合適的頻率。在一些實施例中,電漿頻率為約13.56MHz。
在一些實施例中,用以沉積鎢起始層260的RF電漿的功率小於約1000W。在一些實施例中,任何PECVD製程階段使用在約100W到約900W的範圍中,或在約200W到約800W的範圍中,或在約300W到約700W的範圍中,或在約400W至約600W的範圍中之RF功率。在一些實施例中,RF功率為約500W。
RF電漿的壓力可為任何合適的製程壓力。例如,壓力可在約1Torr至約50Torr的範圍中。在一些實施例中,壓力在約2Torr至約10Torr的範圍中。在一或多個實施例中,壓力大於1Torr且小於50Torr,40Torr,30Torr或20Torr。
硼種子層240可暴露於RF電漿任何合適的時間,這取決於(例如)製程條件和將沉積的鎢起始層260的預定厚度。在一些實施例中,將硼種子層240暴露於PECVD製程中約1秒至約30秒的範圍中之時間。在一些實施例中,將硼種子層240暴露於PECVD製程約10秒,以形成鎢起始層260。
鎢起始層260的厚度可取決於(例如)待沉積的WC膜的預定厚度而變化。在一些實施例中,鎢起始層260具有在約10Å至約200Å的範圍中之厚度。在一或多個實施例中,鎢起始層260具有在約10Å至約100Å的範圍中之厚度。在各種實施例中,鎢起始層260具有小於約200Å,150Å,100Å或75Å的厚度。在一些實施例中,鎢起始層260具有大於約10Å,20Å,30Å,40Å,50Å,60Å,70Å,8Å,90Å或100Å的厚度。
硼種子層240和鎢起始層260的組合厚度可取決於(例如)待沉積的硬遮罩的預定厚度而變化。在一些實施例中,硼種子層240和鎢起始層260的組合厚度小於約300Å。在各種實施例中,硼種子層240和鎢起始層260的組合厚度小於或等於約250Å,200Å,150Å或100Å。在一些實施例中,硼種子層240和鎢起始層260的組合厚度大於或等於約100Å,150Å,200Å,250Å,300Å,350Å,400Å或500Å。硼種子層240和鎢起始 層260的厚度的比例可在約1:10至約10:1的範圍中,或在約1:5至約5:1的範圍中,或在約1:2至約2:1的範圍中,或約1:1。
在一些實施例中,不存在鎢起始層260並且製程跳過程序130。在這種實施例中,在程序140中所形成的層直接形成在硼種子層240上。
在程序140處,在形成鎢起始層260之後,或若不存在鎢起始層260,則在形成硼種子層240之後,可形成含鎢膜280。含鎢膜280也稱為硬遮罩層。
在一些實施例中,含鎢膜280包含碳化鎢膜。硬遮罩層可藉由在RF電漿的存在下使WF6、H2、Ar和C3H6共同流動而製成的反應氣體所形成。在製程的最初幾秒鐘內,C3H6流量可從無流量上升到預定值。可藉由在製程期間改變C3H6:WF6的比率來調節硬遮罩中的原子百分比鎢。
在一些實施例中,含鎢膜280包含WC,WB,WN,WBC,WBN或WCN中的一或多個。熟知本領域者將理解反應氣體可具有與C3H6不同的反應物,以包括(例如)硼或氮原子。
在一些實施例中,反應氣體中的C3H6(或硼或氮反應物)的流量在約2秒至約10秒的範圍中之時間內上升至最終預定流速。在一些實施例中,C3H6的流量在約8秒,7秒,6秒,5秒,4秒或3秒內上升至預定值。
用以沉積含鎢膜280的PECVD製程包括以預定頻率、預定功率和壓力所產生的RF電漿。電漿頻率可為包括(但不限於)2MHz、13.56MHz、40MHz、60MHz和100MHz之任何合適的頻率。在一些實施例中,電漿頻率為約13.56MHz。
在一些實施例中,用以沉積含鎢膜280的RF電漿的功率小於約1000W。在一些實施例中,任何PECVD製程階段使用在約100W到約900W的範圍中,或在約200W到約800W的範圍中,或在約300W到約700W的範圍中,或在約400W至約600W的範圍中之RF功率。在一些實施例中,RF功率為約500W。
RF電漿的壓力可為任何合適的製程壓力。例如,壓力可在約1Torr至約50Torr的範圍中。在一些實施例中,壓力在約2Torr至約10Torr的範圍中。在一或多個實施例中,壓力大於或等於約1Torr且小於或等於約50Torr,40Torr,30Torr或20Torr。
若不使用鎢起始層260,則可將鎢起始層260或硼種子層240暴露於RF電漿任何合適的時間,這取決於(例如)製程條件和將沉積之含鎢膜280的預定厚度。在一些實施例中,鎢起始層260或硼種子層240暴露於PECVD製程中的時間在約1秒至約30秒的範圍中。在一些實施例中,將硼種子層240暴露於PECVD製程約10秒以形成含鎢膜280。
含鎢膜280的厚度可取決於(例如)含鎢膜沉積的製程條件而變化。在一些實施例中,含鎢膜280具有大於或等於約1000
Figure 106100591-A0305-001
,2000
Figure 106100591-A0305-001
,3000
Figure 106100591-A0305-001
,4000
Figure 106100591-A0305-001
,5000
Figure 106100591-A0305-001
,6000
Figure 106100591-A0305-001
,7000
Figure 106100591-A0305-001
,8000
Figure 106100591-A0305-001
,9000
Figure 106100591-A0305-001
或10000
Figure 106100591-A0305-001
的厚度。
每個製程階段發生的溫度可與任何其它製程階段相同或不同。溫度可取決於(例如)將形成的裝置的熱預算而變化。在一些實施例中,硼種子層240、鎢起始層260和含鎢膜280的形成以在約100℃至約700℃的範圍中,或在約200℃至約600℃的範圍中,或在約300℃至約500℃,或約400℃的範圍中之溫度而發生。
例子
具有約1000
Figure 106100591-A0305-001
之厚度的氧化矽層的矽基板位於處理腔室中。以500W的13.56MHz電漿在約400℃下將基板暴露於4800sccm的H2 、2000sccm的B3 H6 和1500sccm的Ar。基板在約4Torr的總壓力中暴露約6秒,以在基板上形成厚度小於100
Figure 106100591-A0305-001
的非晶硼種子層。
以500W的13.56MHz電漿在約400℃下將非晶硼種子層暴露於4800sccm的H2 、210sccm的WF6 和1500sccm的Ar約10秒,以沉積具有厚度小於約100
Figure 106100591-A0305-001
的鎢起始層在硼種子層上。
藉由以500W的13.56MHz電漿在約400℃下將基板暴露於4800sccm的H2 、1500sccm的C3 H6 、210sccm的WF6 和1500sccm的Ar約200秒而形成碳化鎢硬遮罩。C3H6的流量在約5秒內以300sccm/秒的速率上升至1500sccm。碳化鎢硬遮罩具有約2000Å的厚度和約20原子%的鎢成分。
藉由類似的製程沉積WC硬遮罩膜,以形成具有15原子%的W、25原子%的W和35原子%的W之硬遮罩膜。測定這些膜的碳、鎢和氫含量。觀察到硬遮罩中的氫的量隨著鎢的增加而降低。
使用WF6和W(CO)6作為鎢前驅物而形成具有15原子%和30原子%的W含量的WC膜。對於WF6製程而言,15原子%膜的RMS粗糙度為0.65nm,相對於W(CO)6製程為1.4nm;減少約50%。對於WF6製程而言,30原子%膜的RMS粗糙度為0.97nm,相對於W(CO)6製程為2.7nm;減少約70%。
根據一或多個實施例,在形成層之前及/或之後對該基板進行處理。這種處理可在相同的腔室中或在一或多個單獨的處理腔室中進行。在一些實施例中,基板從第一腔室移動到單獨的第二腔室以用於進一步處理。基板可直接從第一腔室移動到單獨的處理腔室,或其可從第一腔室移動到一或多個傳送腔室,且接著移動到單獨的處理腔室。因此,處理設備可包含與傳送站連通的多個腔室。這種設備可稱為「群集工具」或「群集系統」等。
通常,群集工具是包含多個腔室的模組系統,多個腔室執行包括基板中心尋找和定向、除氣、退火、沉積及/或蝕刻的各種功能。根據一或多個實施例,群集工具包括至少第一腔室和中央傳送腔室。中央傳送腔室可容納機器人,機器人可在處理腔室和負載鎖定腔室之間來回移動基板。傳送腔室通常保持在真空條件下,並提供用於將基板從一個腔室來回移動到另一個腔室及/或到位於群集工具的前端的負載鎖定腔室的中間階段。可適用於本揭露書的兩種已知的群集工具為Centura
Figure 106100591-A0305-002
和Endura
Figure 106100591-A0305-002
,皆可從加州聖克拉拉市的應用材料公司取得。然而,腔室的精確佈置和組合可為了執行如於此所述的製程之特定步驟之目的而改變。可使用的其它製程腔室包括(但不限於)循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP) 、電漿氮化、除氣、定向、羥基化和其它基板製程。藉由在群集工具上的腔室中進行製程,可在沉積後續膜之前無需氧化而避免基板與大氣雜質的表面污染。
根據一或多個實施例,基板連續地處於真空或「負載鎖定」條件下,且當從一個腔室移動到下一個腔室時不暴露於環境空氣。傳送腔室因此處於真空下並且在真空壓力下「抽真空」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,使用惰性氣體作為清除氣體以除去一些或全部反應物。根據一或多個實施例,清除氣體在沉積腔室的出口處注入,以防止反應物從沉積腔室移動到傳送腔室及/或額外的處理腔室。因此,惰性氣體流在腔室的出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中單一基板在另一基板被處理之前被加載、處理和卸載。基板也可以連續方式而處理,類似於輸送系統,其中多個基板被單獨地裝載到腔室的第一部分中,移動通過腔室且從腔室的第二部分卸載。腔室和相關聯的輸送系統的形狀可形成平直路徑或彎曲路徑。另外,處理腔室可為旋轉木馬式,其中多個基板圍繞中心軸線而移動且在整個旋轉木馬的路徑中暴露於沉積、蝕刻、退火、清潔等製程。
在處理期間,基板可加熱或冷卻。這種加熱或冷卻可藉由包括(但不限於)改變基板支撐件的溫度和將加熱或冷卻的氣體流到基板表面之任何合適的手段來完成。在一些實施例中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器可被控制以導電地改變基板溫度。在一或多個實施例中,將採用的氣體(無論是反應氣體或惰性氣體)被加熱或冷卻,以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位在鄰近基板表面的腔室內,以對流地改變基板溫度。
在處理期間,基板也可為靜止的或旋轉的。旋轉基板可連續地旋轉或以不連續的步驟而旋轉。例如,基板可在整個製程中旋轉,或基板可在暴露於不同的反應或清除氣體之間少量地旋轉。在處理期間旋轉基板(無論是連續地或分步驟地)可藉由最小化(例如)氣流幾何形狀的局部變化的影響而幫助產生更均勻的沉積或蝕刻。
在原子層沉積類型的腔室中,基板可在無論是空間上或時間上分離的製程暴露於第一和第二前驅物。時間ALD是傳統製程,其中第一前驅物流入腔室中以與表面反應。在流動第二前驅物之前,從腔室中清除第一前驅物。在空間ALD中,第一和第二前驅物都同時流到腔室,但是在空間上分離,使得在流之間存在防止前驅物混合的區域。在空間ALD中,基板相對於氣體分配板而移動,或反之亦然。
在方法的一或多個部分在一個腔室中進行的實施例中,製程可為空間ALD製程。儘管以上所述的一或多個化學性質可能不相容(亦即,導致除了在基板表面上及/或在腔室上的沉積之外的反應),但空間分離確保試劑不暴露於氣相中的每一種。例如,時間ALD涉及清除沉積腔室。然而,在實施中,有時不可能在流動額外的試劑之前將過量試劑從腔室中清除。因此,腔室中的任何剩餘試劑可能反應。藉由空間分離,不需要清除過量的試劑,且交叉污染受到限制。此外,可使用大量時間清除腔室,且因此產量可藉由消除清除步驟而增加。
遍及本說明書對「一個實施例」,「某些實施例」,「一或多個實施例」或「實施例」的引用意指結合實施例所描述的特定特徵,結構,材料或特性包括在本揭露書的至少一個實施例中。因此,遍及本說明書的各處中出現的片語,諸如「在一或多個實施例中」,「在某些實施例中」,「在一個實施例中」或「在實施例中」不一定指的引用本揭露書的相同實施例。此外,特定特徵,結構,材料或特性可以任何合適的方式而組合在一或多個實施例中。
儘管已經參考特定實施例而描述本揭露書,應當理解這些實施例僅僅是本揭露書的原理和應用的說明。對於熟悉本領域者而言,可對本揭露書的方法和設備做出各種修改和變化而不背離本揭露書的精神和範圍是顯而易見的。因此,本揭露書意欲包括在所附隨的申請專利範圍及其等效元件的範圍內之修改和變化。
100‧‧‧方法110120130140200‧‧‧堆疊220‧‧‧基板222‧‧‧氧化物表面240‧‧‧硼種子層260‧‧‧鎢起始層280‧‧‧含鎢膜
為了可詳細地理解本揭露書的以上所述的特徵的方式,可藉由參考實施例而獲得簡單地摘要於上之本揭露書的更具體的描述,一些實施例顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示本揭露書的通常實施例且因此不被認為是對本揭露書的範圍之限制,因為本揭露書可允許其他等效的實施例。
第1圖顯示根據本揭露書的一或多個實施例的製程方案;及
第2圖顯示根據第1圖的製程方案之膜堆疊的概要圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200:堆疊
220:基板
222:氧化物表面
240:硼種子層
260:鎢起始層
280:含鎢膜

Claims (20)

  1. 一種形成一含鎢膜的方法,該方法包含以下步驟:提供具有一氧化物表面的一基板;形成一硼種子層在該氧化物表面上,該硼種子層藉由在一RF電漿存在的情況中將一硼前驅物與一或多個反應物共同流動而沉積;形成一鎢起始層在該硼種子層上;及形成一含鎢膜形成在該鎢起始層上。
  2. 如請求項1所述之方法,其中該硼種子層具有在約10Å至約100Å的範圍中之一厚度。
  3. 如請求項1所述之方法,其中形成該硼種子層包含將該氧化物表面暴露於包含B2H6和H2的一反應氣體。
  4. 如請求項3所述之方法,其中該硼種子層藉由一PECVD製程而沉積,且該反應氣體進一步包含Ar,該PECVD製程包括以小於約1000W的一功率在約2至約10Torr的範圍中之一壓力所產生的該RF電漿。
  5. 如請求項1所述之方法,其中形成該鎢起始層包含在一PECVD製程中將該硼種子層暴露於包含WF6、H2和Ar的一反應氣體,該PECVD製程包括 以小於約1000W的一功率在約2至約10Torr的範圍中之一壓力所產生的一RF電漿。
  6. 如請求項5所述之方法,其中該反應氣體中的H2和WF6以約20:1的比例而存在。
  7. 如請求項5所述之方法,其中該鎢起始層具有在約10Å至約100Å的範圍中之一厚度。
  8. 如請求項5所述之方法,其中該鎢起始層包含實質上純的鎢。
  9. 如請求項1所述之方法,其中該硼種子層和該鎢起始層具有小於約300Å的一組合厚度。
  10. 如請求項1所述之方法,其中該含鎢膜包含碳化鎢,且形成該碳化鎢膜包含在一PECVD製程中將該鎢起始層暴露於包含WF6、H2和C3H6的一反應氣體,該PECVD製程包括以小於約1000W之一功率在約2至約10Torr的範圍之一壓力所產生的一RF電漿。
  11. 如請求項10所述之方法,其中該反應氣體中的C3H6在約2秒至約10秒的範圍中之一時間內上升至一最終預定流速。
  12. 如請求項10所述之方法,其中該碳化鎢膜具有大於約8000Å的一厚度。
  13. 如請求項1所述之方法,其中該氧化物表 面包含氧化矽。
  14. 如請求項1所述之方法,其中該含鎢膜包含鎢金屬、碳化鎢、氮化鎢、硼化鎢和硼氮化鎢的一或多者。
  15. 一種形成一含鎢膜的方法,該方法包含以下步驟:提供具有一氧化矽表面的一矽基板;藉由一第一PECVD製程形成一硼種子層在該氧化矽表面上,該硼種子層藉由在一RF電漿存在的情況中將一硼前驅物與一或多個反應物共同流動而沉積,該硼種子層具有在約10Å至約200Å的範圍中之一厚度,該第一PECVD製程包含將該氧化矽表面暴露於包含B2H6、H2和Ar的一第一反應氣體,該第一PECVD製程包括以約13.56MHz的一頻率、在約300W至約700W的範圍中之一功率及在約2Torr至約10Torr的範圍中之一壓力所產生的該RF電漿;藉由一第二PECVD製程而任選地形成一鎢起始層在該硼種子層上,該鎢起始層具有在約10Å至約200Å的範圍中之一厚度,該第二PECVD製程包含將該硼種子層暴露於包含WF6、H2和Ar的一第二反應氣體,該第二PECVD製程包括以約13.56MHz 的一頻率、在約300W至約700W的範圍中之一功率及在約2至約10Torr的範圍中之一壓力而產生的一RF電漿;及藉由一第三PECVD製程而形成一碳化鎢膜在該硼種子層或該鎢起始層上,該碳化鎢膜具有大於約2000Å的一厚度,該第三PECVD製程包含用一RF電漿將該鎢起始層暴露於包含WF6、H2和C3H6的一第三反應氣體,該RF電漿以約13.56MHz的一頻率、在約300W到約700W的範圍中之一功率及在約2至約10Torr的範圍中之一壓力而產生。
  16. 如請求項15所述之方法,其中該硼種子層和該鎢起始層具有小於約150Å的一組合厚度。
  17. 如請求項15所述之方法,其中該第三反應氣體中的C3H6在約2秒至約10秒的範圍中之一時間內上升至一最終預定流速。
  18. 一種堆疊,包含:一基板,具有一氧化物表面;一硼種子層,在該氧化物表面上,該硼種子層藉由在一RF電漿存在的情況中將一硼前驅物與一或多個反應物共同流動而沉積,該硼種子層具有在約10Å至約200Å的範圍中之一厚度;一任選的鎢起始層,在該硼種子層上,該鎢起始層 具有在約10Å至約200Å範圍中之一厚度;及一含鎢膜,在該硼種子層或該任選的鎢起始層上,該含鎢膜具有大於約2000Å的一厚度。
  19. 如請求項18所述之堆疊,其中該硼種子層和該鎢起始層具有小於約150Å的一組合厚度。
  20. 如請求項18所述之堆疊,其中該硼種子層具有約50Å的一厚度,該鎢起始層具有約50Å的一厚度,且該含鎢膜具有大於約8000Å的一厚度。
TW106100591A 2016-01-16 2017-01-09 Pecvd含鎢硬遮罩膜及製造方法 TWI720106B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662279741P 2016-01-16 2016-01-16
US62/279,741 2016-01-16

Publications (2)

Publication Number Publication Date
TW201736629A TW201736629A (zh) 2017-10-16
TWI720106B true TWI720106B (zh) 2021-03-01

Family

ID=59311911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100591A TWI720106B (zh) 2016-01-16 2017-01-09 Pecvd含鎢硬遮罩膜及製造方法

Country Status (5)

Country Link
US (2) US10529568B2 (zh)
KR (1) KR20180095946A (zh)
CN (2) CN116313773A (zh)
TW (1) TWI720106B (zh)
WO (1) WO2017123967A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6632470B2 (ja) * 2016-05-24 2020-01-22 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
JP7229929B2 (ja) * 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド ハードマスク応用向けのホウ素がドープされた炭化タングステン
KR20230127369A (ko) * 2017-05-12 2023-08-31 어플라이드 머티어리얼스, 인코포레이티드 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
TWI713961B (zh) * 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
KR20200117052A (ko) * 2018-03-01 2020-10-13 어플라이드 머티어리얼스, 인코포레이티드 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들
CN108411266B (zh) * 2018-04-04 2020-04-28 中国航发北京航空材料研究院 一种金属表面生长金属碳化物的方法
KR20200135547A (ko) * 2018-04-20 2020-12-02 엔테그리스, 아이엔씨. 붕소 핵생성 층을 이용하는 저온 몰리브데넘 막 증착
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
WO2021096914A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Reduced hydrogen deposition processes
US20220341034A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Metal-doped boron films

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1342215A (zh) * 1999-02-11 2002-03-27 哈迪德有限公司 碳化钨涂层及其制造方法
TW200421465A (en) * 2003-03-07 2004-10-16 Tokyo Electron Ltd Method of forming tungsten film

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0799495A4 (en) * 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
US6331483B1 (en) * 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
EP1219725B1 (en) * 2000-12-28 2005-08-17 AMI Semiconductor Belgium BVBA Method for tungsten chemical vapor deposition on a semiconductor substrate
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
KR100669141B1 (ko) 2005-01-17 2007-01-15 삼성전자주식회사 오믹막 및 이의 형성 방법, 오믹막을 포함하는 반도체장치 및 이의 제조 방법
JP4607645B2 (ja) * 2005-04-04 2011-01-05 株式会社東芝 半導体装置及びその製造方法
KR100735520B1 (ko) 2005-09-23 2007-07-04 삼성전자주식회사 텅스텐 실리사이드막 형성 방법 및 이를 이용한 반도체소자의 제조 방법
KR100713925B1 (ko) 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
FR2972304A1 (fr) * 2011-03-02 2012-09-07 Commissariat Energie Atomique Batterie avec gestion individuelle des cellules
JP5829926B2 (ja) 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
CN103474393B (zh) 2013-09-11 2015-07-08 华进半导体封装先导技术研发中心有限公司 免cmp的电镀面铜去除及阻挡层复用的工艺方法
JP6336866B2 (ja) * 2013-10-23 2018-06-06 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
CN104979291A (zh) * 2014-04-10 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1342215A (zh) * 1999-02-11 2002-03-27 哈迪德有限公司 碳化钨涂层及其制造方法
TW200421465A (en) * 2003-03-07 2004-10-16 Tokyo Electron Ltd Method of forming tungsten film

Also Published As

Publication number Publication date
CN108463870B (zh) 2023-03-28
US20200075333A1 (en) 2020-03-05
US20170207087A1 (en) 2017-07-20
WO2017123967A1 (en) 2017-07-20
CN108463870A (zh) 2018-08-28
CN116313773A (zh) 2023-06-23
TW201736629A (zh) 2017-10-16
KR20180095946A (ko) 2018-08-28
US11594415B2 (en) 2023-02-28
US10529568B2 (en) 2020-01-07

Similar Documents

Publication Publication Date Title
TWI720106B (zh) Pecvd含鎢硬遮罩膜及製造方法
TWI761636B (zh) 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
TWI737859B (zh) 金屬表面上之氧化鋁的選擇性沉積
TWI716511B (zh) 用於鎢原子層沉積製程作為成核層之正形非晶矽
CN109637926B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
TWI762805B (zh) 選擇性氧化鋁膜沉積
TW202401527A (zh) 用於達成無缺陷自組裝單層的晶圓處理
TW201327672A (zh) 乾蝕刻製程
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
US20200135480A1 (en) Workpiece processing method
TWI791508B (zh) 用於沉積低介電常數膜的方法與設備
TW201839897A (zh) 自對準接觸圖案化之臨界尺寸控制
KR102270458B1 (ko) 워드라인 저항을 낮추는 방법들
WO2021060111A1 (ja) 成膜方法
JP2021057563A (ja) 成膜方法
US20220375747A1 (en) Flowable CVD Film Defect Reduction
US20210351035A1 (en) Selective Deposition Of Silicon Using Deposition-Treat-Etch Process
TW202212612A (zh) 氮化矽硼膜的沉積
CN114107939A (zh) 金属薄膜沉积方法
KR20220038099A (ko) 유동성 cvd 막에 대한 표면 거칠기
KR20220058634A (ko) 성막 방법