KR20220058634A - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR20220058634A
KR20220058634A KR1020227012175A KR20227012175A KR20220058634A KR 20220058634 A KR20220058634 A KR 20220058634A KR 1020227012175 A KR1020227012175 A KR 1020227012175A KR 20227012175 A KR20227012175 A KR 20227012175A KR 20220058634 A KR20220058634 A KR 20220058634A
Authority
KR
South Korea
Prior art keywords
film
substrate
sam
forming
gas
Prior art date
Application number
KR1020227012175A
Other languages
English (en)
Other versions
KR102582899B1 (ko
Inventor
슈지 아즈모
마사히토 스기우라
다카시 마츠모토
유미코 가와노
신이치 이케
겐지 오우치
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220058634A publication Critical patent/KR20220058634A/ko
Application granted granted Critical
Publication of KR102582899B1 publication Critical patent/KR102582899B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4418Methods for making free-standing articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

SAM의 형상을 제어할 수 있는 기술을 제공한다. 기판 상에 대상막을 형성하는 성막 방법이며, 제1 영역의 표면에 형성된 제1 도전 재료의 층과, 제2 영역의 표면에 형성된 절연 재료의 층을 갖는 상기 기판을 준비하는 공정과, 상기 제1 도전 재료의 층의 표면에 카본 나노튜브를 형성하는 공정과, 자기 조직화 막의 원료 가스를 공급하여, 상기 제1 도전 재료의 층의 표면 중 상기 카본 나노튜브가 형성되어 있지 않은 영역에 자기 조직화 막을 형성하는 공정을 포함하는 성막 방법이 제공된다.

Description

성막 방법
본 개시는 성막 방법에 관한 것이다.
특허문헌 1에는, 포토리소그래피 기술을 사용하지 않고, 기판의 특정 영역에 선택적으로 대상막을 형성하는 기술이 개시되어 있다. 구체적으로는, 대상막의 형성을 저해하는 자기 조직화 단분자 막(Self-Assembled Monolayer: SAM)을 기판의 일부 영역에 형성하고, 기판의 나머지 영역에 대상막을 형성하는 기술이 개시되어 있다.
일본 특허 공표 제2007-501902호 공보
본 개시는, SAM의 형상을 제어할 수 있는 기술을 제공한다.
본 개시의 일 양태에 의하면, 기판 상에 대상막을 형성하는 성막 방법이며, 제1 영역의 표면에 형성된 제1 도전 재료의 층과, 제2 영역의 표면에 형성된 절연 재료의 층을 갖는 상기 기판을 준비하는 공정과, 상기 제1 도전 재료의 층의 표면에 카본 나노튜브를 형성하는 공정과, 자기 조직화 막의 원료 가스를 공급하여, 상기 제1 도전 재료의 층의 표면 중 상기 카본 나노튜브가 형성되어 있지 않은 영역에 자기 조직화 막을 형성하는 공정을 포함하는 성막 방법이 제공된다.
하나의 측면에 의하면, SAM의 형상을 제어할 수 있다.
도 1은 제1 실시 형태에 관한 성막 방법을 나타내는 흐름도이다.
도 2a는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 2b는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 2c는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 2d는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 2e는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 2f는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 3은 제2 실시 형태에 관한 성막 방법을 나타내는 흐름도이다.
도 4a는 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 4b는 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 4c는 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 4d는 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 4e는 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 5는 일 실시 형태에 관한 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도이다.
도 6은 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도이다.
이하, 본 개시를 실시하기 위한 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는 동일한 번호를 부여함으로써 중복된 설명을 생략하는 경우가 있다. 이하에서는, 도면 중에 있어서의 상하 방향 또는 관계를 사용해서 설명하는데, 보편적인 상하 방향 또는 관계를 나타내는 것은 아니다.
<제1 실시 형태>
도 1은, 제1 실시 형태에 관한 성막 방법을 나타내는 흐름도이다. 도 2a 내지 도 2f는, 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다. 도 2a 내지 도 2f는, 각각 도 1에 도시하는 공정 S101 내지 S106에 대응하는 기판(10)의 상태를 나타낸다.
성막 방법은, 도 2a에 도시하는 바와 같이, 기판(10)을 준비하는 공정 S101을 포함한다. 준비하는 것은, 예를 들어 성막 장치의 처리 용기(챔버)의 내부에 기판(10)을 반입하는 것을 포함한다. 기판(10)은, 도전막(11), 자연 산화막(11A), 절연막(12) 및 하지 기판(15)을 포함한다.
기판(10)은, 제1 영역(A1) 및 제2 영역(A2)을 갖는다. 여기에서는, 일례로서, 제1 영역(A1) 및 제2 영역(A2)은 평면에서 보아 인접하고 있다. 도전막(11)은, 제1 영역(A1) 내에서 하지 기판(15)의 상면측에 마련되고, 절연막(12)은, 제2 영역(A2) 내에서 하지 기판(15)의 상면측에 마련된다. 자연 산화막(11A)은, 제1 영역(A1) 내에서 도전막(11)의 상면에 마련된다. 도 2a에서는, 기판(10)의 표면에 자연 산화막(11A) 및 절연막(12)이 노출되어 있다.
제1 영역(A1)의 수는, 도 2a에서는 1개이지만, 복수이어도 된다. 예를 들어 2개의 제1 영역(A1)이 제2 영역(A2)을 개재시키도록 배치되어도 된다. 마찬가지로, 제2 영역(A2)의 수는, 도 2a에서는 1개이지만, 복수이어도 된다. 예를 들어 2개의 제2 영역(A2)이 제1 영역(A1)을 개재시키도록 배치되어도 된다.
또한, 도 2a에서는 제1 영역(A1) 및 제2 영역(A2)만이 존재하지만, 제3 영역이 더 존재해도 된다. 제3 영역은, 제1 영역(A1)의 도전막(11) 및 제2 영역(A2)의 절연막(12)과는 다른 재료의 층이 노출되는 영역이다. 제3 영역은, 제1 영역(A1)과 제2 영역(A2)의 사이에 배치되어도 되고, 제1 영역(A1) 및 제2 영역(A2)의 밖에 배치되어도 된다.
도전막(11)은, 제1 도전 재료의 층의 일례이다. 제1 도전 재료는, 예를 들어 구리(Cu), 코발트(Co), 또는 루테늄(Ru) 등의 금속이다. 이러한 금속의 표면은, 대기 중에서 시간의 경과와 함께 자연스럽게 산화된다. 그 산화물이 자연 산화막(11A)이다. 자연 산화막(11A)은, 환원 처리에 의해 제거 가능하다.
여기에서는, 일례로서, 도전막(11)이 구리(Cu)이며, 자연 산화막(11A)이 자연 산화에 의해 형성된 산화구리인 형태에 대해서 설명한다. 자연 산화막(11A)으로서의 산화구리는, CuO와 Cu2O를 포함할 수 있다.
절연막(12)은, 절연 재료의 층의 일례이며, 유전율이 낮은 소위 low-k 재료제의 절연막이어도 된다. 절연막(12)의 절연 재료는, 예를 들어 규소(Si)를 포함하는 절연 재료이며, 예를 들어 산화규소, 질화규소, 산질화규소, 탄화규소, 또는 산탄질화규소 등이다. 이하, 산화규소를, 산소와 규소의 조성비에 관계없이 SiO라고도 표기한다. 마찬가지로, 질화규소를 SiN이라고도 표기하고, 산질화규소를 SiON이라고도 표기하고, 탄화규소를 SiC라고도 표기하고, 산탄질화규소를 SiOCN이라고도 표기한다. 절연막(12)은, 본 실시 형태에서는 SiO막이다.
하지 기판(15)은, 예를 들어 실리콘 웨이퍼 등의 반도체 기판이다. 기판(10)은, 하지 기판(15)과 도전막(11)의 사이에, 하지 기판(15) 및 도전막(11)과는 다른 재료로 형성되는 하지막을 더 포함하고 있어도 된다. 마찬가지로, 기판(10)은, 하지 기판(15)과 절연막(12)의 사이에, 하지 기판(15) 및 절연막(12)과는 다른 재료로 형성되는 하지막을 더 갖고 있어도 된다.
이러한 하지막은, 예를 들어 SiN층 등이어도 된다. SiN층 등은, 예를 들어 에칭을 스톱시키는 에칭 스톱 레이어이어도 된다.
성막 방법은, 자연 산화막(11A)(도 2a 참조)을 환원함으로써, 도 2b에 도시하는 바와 같이 기판(10)을 제작하는 공정 S102를 포함한다. 자연 산화막(11A)을 환원하기 위해서는, 예를 들어 성막 장치의 처리 용기에서의 수소(H2) 및 아르곤(Ar)의 유량을 각각 100sccm 및 2500sccm으로 설정해서 처리 용기 내의 압력을 1torr 내지 10torr(약 133.32Pa 내지 약 1333.22Pa)로 설정한다. 그리고, 수소가 처리 용기 내의 분위기 가스의 0.5% 미만으로 되는 수소 분위기 하에서, 기판(10)이 150℃ 내지 200℃로 되도록 서셉터를 가열한다.
공정 S102에 의해, 자연 산화막(11A)으로서의 산화구리는 Cu로 환원되어 제거된다. 그 결과, 도 2b에 도시하는 바와 같이, 도전막(11), 절연막(12) 및 하지 기판(15)을 포함하는 기판(10)이 얻어진다. 기판(10)의 제1 영역(A1)의 표면에는, 도전막(11)으로서의 Cu가 노출되어 있다. 또한, 자연 산화막(11A)의 환원 처리는, 드라이 프로세스에 한하지 않고, 웨트 프로세스이어도 된다. 또한, 공정 S102는, 일례로서 공정 S101과 동일한 처리 용기에서 행하면 된다.
성막 방법은, 도 2c에 도시하는 바와 같이 도전막(11)의 표면에 촉매 금속 미립자(13A)를 형성하는 공정 S103을 포함한다. 촉매 금속 미립자(13A)는, 제2 도전 재료의 촉매 금속 미립자의 일례이며, 제2 도전 재료는, 예를 들어 코발트(Co) 또는 니켈(Ni) 등의 전이 금속, 또는 전이 금속을 포함하는 합금이다. 촉매 금속 미립자(13A)는, 후에 CNT(Carbon Nano Tube)를 형성할 때 촉매 작용을 일으켜서, CNT의 성장에 있어서의 핵 또는 종으로 되는 것이다. 촉매 금속 미립자(13A)의 크기는, 일례로서 1nm 내지 5nm이다. 본 실시 형태에서는, 촉매 금속 미립자(13A)가 Co 미립자인 형태에 대해서 설명한다.
촉매 금속 미립자(13A)는, 예를 들어 다음과 같이 해서 도전막(11)의 표면에 형성할 수 있다.
먼저, 열 CVD(Chemical Vapor Deposition)법으로 Co 박막을 도전막(11)의 표면에 형성한다. 성막 장치의 처리 용기 내의 압력을 1Torr 내지 10Torr(약 133.32Pa 내지 약 1333.22Pa)로 설정하고, 기판 온도가 300℃로 되도록 서셉터를 가열하고, 가스 공급 기구로 미리 가열해서 기화한 코발트카르보닐(Co2(CO)8) 가스를 희석 가스(예를 들어 Ar 가스 또는 N2 가스 등)와 함께 처리 용기 내에 공급해서 도전막(11)의 표면에 Co 박막을 형성하면 된다. 또한, 이 프로세스에서의 기판 온도는, Co의 응집이 생기지 않는 300℃ 이하이면 된다. 또한, Co 박막은, 열 CVD법에 한하지 않고, 스퍼터법이나 증착법 등으로 형성해도 된다.
이어서 기판(10)을 가열하고, 산소(O2) 가스와 아르곤(Ar) 가스의 마이크로파 플라스마를 발생시켜서 Co 박막에 산소 플라스마 처리를 행한다. 이렇게 산소 플라스마 처리를 행하면, Co 박막의 표면에 부착되어 있는 유기물 등을 제거해서 청정화할 수 있고, 그 결과 Co 박막의 표면에 가열에 의한 마이그레이션이 일어나기 쉬워져, Co의 응집이 생겨서 미립자화한다. 이와 같이 하여, 도전막(11)의 표면에 섬상의 촉매 금속 미립자(13A)를 형성할 수 있다.
산소 플라스마 처리의 프로세스 조건으로서는, 예를 들어 성막 장치의 처리 용기 내의 압력을 67Pa 내지 533Pa로 설정하고, 기판(10)이 300℃ 내지 600℃로 되도록 서셉터를 가열하고, O2 가스, Ar 가스를 각각 50sccm 내지 200sccm, 300sccm 내지 600sccm으로 흐르게 하고, 마이크로파의 출력을 250W 내지 2000W로 설정하면 된다. 또한, 산소 플라스마 처리에서는, O2 가스 대신에 H2O, O, O3, N2O 등의 산소를 포함하는 가스를 사용해도 된다.
또한, 산소 플라스마 처리 후에, 활성화 처리를 행한다. 활성화 처리에서는, 수소(H2) 가스와 Ar 가스의 마이크로파 플라스마를 발생시켜서, 촉매 금속 미립자(13A)의 표면을 환원하여 활성화한다. 활성화 처리에 의해, 산소 플라스마 처리로 형성된 촉매 금속 미립자(13A)의 표면의 산화막은 제거되어, 미립자의 상태를 유지하면서 촉매 금속 미립자(13A)를 더욱 고밀도화시킬 수 있다.
활성화 처리의 프로세스 조건으로서는, 예를 들어 성막 장치의 처리 용기 내의 압력을 67Pa 내지 533Pa로 설정하고, 기판(10)이 300℃ 내지 600℃로 되도록 서셉터를 가열하고, H2 가스, Ar 가스를 각각 100sccm 내지 1200sccm, 300sccm 내지 600sccm으로 흐르게 하고, 마이크로파의 출력을 250W 내지 2000W로 설정하면 된다. 또한, 활성화 처리에서는, H2 가스 대신에 암모니아(NH3) 가스 등의 수소를 함유하는 가스를 사용해도 된다.
공정 S103에 의해, 도 2c에 도시하는 바와 같이, 도전막(11)의 표면에 촉매 금속 미립자(13A)가 형성되어, 도전막(11), 절연막(12), 촉매 금속 미립자(13A) 및 하지 기판(15)을 포함하는 기판(10)이 얻어진다. 도 2c에서는, 기판(10)의 표면에 도전막(11), 촉매 금속 미립자(13A) 및 절연막(12)이 노출되어 있다. 또한, 공정 S103은, 일례로서 공정 S102와 동일한 처리 용기에서 행할 수 있다.
성막 방법은, 도 2d에 도시하는 바와 같이, CNT(13B)를 형성하는 공정 S104를 포함한다. CNT(13B)는, 촉매 금속 미립자(13A) 상에 형성되어, 제1 영역(A1) 내에서 기판(10) 및 도전막(11)의 표면에 대하여 수직으로 성장한다. CNT(13B)는, 후에 형성하는 SAM과 함께, 후술하는 대상막(14)의 형성을 저해한다. CNT(13B)는, 제2 영역(A2)에는 형성되지 않는다.
CNT(13B)는, 예를 들어 메탄(CH4), 에틸렌(C2H4), 에탄(C2H6), 프로필렌(C3H6) 등의 탄소를 포함하는 가스(탄소원 가스)를 플라스마에 의해 분해하고, 촉매 금속 미립자(13A)의 촉매 작용을 이용해서 성장시키면 된다. 이와 같이 하면, CNT(13B)를 촉매 금속 미립자(13A) 상에 성장시킬 수 있다. CNT(13B)는, 수직 배향성에 의해 도전막(11)의 표면에 대하여 수직으로 성장한다.
CNT(13B)의 형성의 프로세스 조건에서 성막은, 예를 들어 다음과 같다. 여기에서는, 탄소원 가스로서 C2H4 가스를 사용하는 형태에 대해서 설명한다. 성막 장치의 처리 용기 내의 압력을 67Pa 내지 533Pa로 설정하고, 기판(10)이 300℃ 내지 600℃로 되도록 서셉터를 가열하고, C2H4 가스, H2 가스, Ar 가스를 각각 5sccm 내지 150sccm, 100sccm 내지 1200sccm, 300sccm 내지 600sccm으로 흐르게 하고, 마이크로파의 출력을 250W 내지 2000W로 설정해서 마이크로파 플라스마를 발생시킴으로써 CNT(13B)를 성장시키면 된다. 또한, H2 가스 대신에 암모니아(NH3) 가스 등의 수소를 함유하는 가스를 사용해도 된다.
CNT(13B)의 높이는, 후에 형성하는 대상막(14)보다도 높은 것이 바람직하다. 대상막(14)의 제1 영역(A1)에의 침입을 억제하기 위함이다. CNT(13B)의 높이는, 일례로서 10nm 내지 25nm 정도이다.
공정 S104에 의해, 도 2d에 도시하는 바와 같이, 도전막(11)의 표면에 촉매 금속 미립자(13A) 및 CNT(13B)가 형성되어, 도전막(11), 절연막(12), 촉매 금속 미립자(13A), CNT(13B) 및 하지 기판(15)을 포함하는 기판(10)이 얻어진다. 도 2d에서는, 기판(10)의 표면에 도전막(11), 촉매 금속 미립자(13A), CNT(13B) 및 절연막(12)이 노출되어 있다. 촉매 금속 미립자(13A)는, 도전막(11)의 표면에 점재하고 있기 때문에, CNT(13B)끼리의 사이는 간극이 있어, 간극으로부터 도전막(11)이 노출된다. 또한, 공정 S104는, 일례로서 공정 S103과 동일한 처리 용기에서 행할 수 있다.
성막 방법은, 도 2e에 도시하는 바와 같이, SAM(13C)을 형성하는 공정 S105를 포함한다. SAM(13C)은, 제1 영역(A1)의 CNT(13B)의 간극으로부터 표출되는 도전막(11)의 표면에 흡착되어, CNT(13B)에 의해 수직 방향으로 교정되면서 도전막(11)의 표면에 대하여 수직으로 성장한다. SAM(13C)은, CNT(13B)와 협동해서 후술하는 대상막(14)의 형성을 저해한다. SAM(13C)은, 제2 영역(A2)에는 형성되지 않는다. 일반적으로 SAM은, 고밀도로 형성되면, 분자간의 반데르발스힘에 의해 높은 배향성을 나타내고, 막의 표면에 대하여 경사 방향으로 배향하는 경향이 있지만, 본 실시 형태에서는, SAM(13C)은, 도전막(11)의 표면에 수직으로 형성된 CNT(13B)에 의해 교정되기 때문에, 도전막(11)의 표면에 대하여 수직으로 성장한다.
SAM(13C)을 형성하기 위한 유기 화합물은, 티올계라면, 플루오로카본계(CFx) 혹은 알킬계(CHx) 중 어느 관능기를 갖고 있어도 되며, 예를 들어 CH3(CH2)[x]CH2SH[x=1 내지 18], CF3(CF2)[x]CH2CH2SH[x=0 내지 18]이면 된다. 또한, 플루오로카본계(CFx)에는, 플루오로벤젠티올도 포함된다.
예를 들어, 가스 상태의 티올계의 유기 화합물 및 아르곤(Ar)의 유량을 각각 100sccm 및 1500sccm으로 설정해서 성막 장치의 처리 용기 내의 압력을 1torr 내지 10torr(약 133.32Pa 내지 약 1333.22Pa)로 설정하고, 기판(10)이 150℃ 내지 200℃로 되도록 서셉터를 가열한다. 공정 S105는, 일례로서 공정 S104와는 다른 처리 용기에서 행하면 된다.
상술한 바와 같은 티올계의 유기 화합물은, 금속과의 전자 교환이 발생하기 쉬운 화합물이다. 따라서, SAM(13C)은, 도전막(11)의 표면에 흡착되고, 전자의 교환이 발생하기 어려운 절연막(12)의 표면에는 흡착되기 어려운 성질을 갖는다. 따라서, 처리 용기 내에 티올계의 유기 화합물을 흘리면서 성막을 행하면, 도전막(11)의 표면에만 SAM(13C)이 선택적으로 형성되게 된다. SAM(13C)의 막 두께(SAM(13C)의 분자의 높이)는, 일례로서 3nm 정도이다.
이 때문에, 공정 S105에 의해, 도전막(11)의 표면에 SAM(13C)이 형성되어, 도 2e에 도시하는 바와 같이, 제1 영역(A1)에 도전막(11), 촉매 금속 미립자(13A), CNT(13B) 및 SAM(13C), 제2 영역(A2)에 절연막(12)이 형성된 기판(10)이 얻어진다. SAM(13C)보다도 CNT(13B)쪽이 높이가 높기 때문에, SAM(13C)의 상면으로부터 CNT(13B)가 돌출된다. 도 2e에서는, 기판(10)의 표면에 CNT(13B), SAM(13C) 및 절연막(12)이 노출되어 있다. 공정 S105는, SAM(13C)을 형성하기 위한 티올계의 유기 화합물의 선택성을 이용하고 있다.
성막 방법은, 도 2f에 도시하는 바와 같이, CNT(13B) 및 SAM(13C)을 사용해서 제2 영역(A2)에 선택적으로 대상막(14)을 형성하는 공정 S106을 포함한다. 대상막(14)은, CNT(13B) 및 SAM(13C)과는 다른 재료, 예를 들어 금속, 금속 화합물 또는 반도체로 형성된다. SAM(13C)은 대상막(14)의 형성을 저해하므로, 대상막(14)은 제2 영역(A2)에 선택적으로 형성된다. 또한, 제1 영역(A1) 및 제2 영역(A2)에 더하여 제3 영역이 존재하는 경우, 제3 영역에는 대상막(14)이 형성되어도 되고, 형성되지 않아도 된다.
여기서, CNT(13B)가 알킬기 또는 플루오로기로 종단되어 있으면, CNT(13B)도 SAM(13C)과 마찬가지로 대상막(14)의 형성을 저해하는 성질을 갖는다고 생각되어, 대상막(14)의 형성의 저해에 있어서 SAM(13C)을 보조할 수 있다. 이 경우에는, CNT(13B) 및 SAM(13C)을 블로킹층으로서 이용해서 제1 영역(A1)에의 대상막(14)의 형성을 저해할 수 있다.
대상막(14)은, 예를 들어 CVD법 또는 ALD(Atomic Layer Deposition)법으로 형성된다. 대상막(14)은, 예를 들어 절연 재료로 형성된다. 제2 영역(A2)에 원래 존재하는 절연막(12) 상에, 또한 절연막인 대상막(14)을 선택적으로 적층할 수 있다.
대상막(14)은, 예를 들어 규소를 포함하는 절연 재료로 형성된다. 규소를 포함하는 절연 재료는, 예를 들어 산화규소(SiO), 질화규소(SiN), 산질화규소(SiON), 또는 탄화규소(SiC) 등이다.
이상 설명한 바와 같이, 본 실시 형태에 따르면, 도전막(11)의 표면에 존재하는 자연 산화막(11A)을 환원 처리로 제거하고 나서, 도전막(11)의 표면에 Co제의 촉매 금속 미립자(13A)를 형성한다. 그리고, Co를 촉매 금속으로서 이용해서 촉매 금속 미립자(13A) 상에 CNT(13B)를 수직으로 성장시킨다. 또한, SAM(13C)을 제작하기 위한 티올계의 유기 화합물의 선택성을 이용하여, CNT(13B)의 분자의 간극에 있어서 도전막(11)의 표면에 SAM(13C)을 형성한다.
CNT(13B)는, 수직 배향성에 의해 도전막(11)의 표면에 대하여 수직으로 성장하므로, CNT(13B)의 분자의 간극으로부터 도전막(11)의 표면에 흡착되는 SAM(13C)은, CNT(13B)에 의해 교정되어 수직 방향으로 성장한다. 이와 같이, CNT(13B)를 이용함으로써, SAM(13C)이 수직으로 성장하도록 형상을 제어할 수 있다.
이 때문에, 제1 영역(A1) 및 제2 영역(A2)의 경계에서의 SAM(13C)의 측면(막 두께 방향으로 생기는 측면)은, 도전막(11)의 표면에 대하여 수직으로 된다. 즉, 대상막(14)이 형성되는 제2 영역(A2)에 인접하는 경계가 기립된 형상을 갖도록 SAM(13C)의 형상을 제어할 수 있다.
따라서, SAM(13C)의 형상을 제어할 수 있는 성막 방법을 제공할 수 있다. 또한, 기립된 형상이란, SAM(13C)의 측면이, 도전막(11)의 표면에 대하여 엄밀하게 수직인 경우에 한하지 않고, 제조 오차 등에 의해 수직으로부터 어긋나 있는 경우도 포함하는 취지이다.
또한, 이러한 SAM(13C)의 측면에 인접해서 형성되는 대상막(14)의 제1 영역(A1) 및 제2 영역(A2)의 경계에서의 측면은, 도전막(11) 및 절연막(12)의 표면에 대하여 수직이다. 이렇게 대상막(14)이 수직인 측면을 갖는 것은, CNT(13B) 및 SAM(13C)을 에칭에서 제거한 후의 반도체 제조 공정 등에서, 나노미터 레벨의 미세화에 대응할 때 매우 효과적이다.
따라서, 본 실시 형태에 관한 성막 방법에 의하면, 나노미터 레벨의 미세화에 대응할 수 있어, 생산성이 높은 반도체 제조 프로세스를 실현할 수 있다.
또한, 이상에서는, 마이크로파 플라스마를 발생시켜서 CNT(13B)를 성장시키는 형태에 대해서 설명했지만, 마이크로파 플라스마에 한정되는 것은 아니다. CNT(13B)는, 열 CVD 등에 의해 성장시켜도 된다.
또한, 이상에서는, 공정 S101 내지 공정 S104의 처리를 동일한 처리 용기에서 행하고, 공정 S105의 SAM(13C)의 형성 처리와, 공정 S106의 대상막(14)의 형성 처리는, 다른 처리 용기에서 행하는 형태에 대해서 설명했다.
그러나, 공정 S101 및 S102와, 공정 S103 및 S014를 제각각의 처리 용기에서 행해도 된다.
또한, 공정 S104의 CNT(13B)의 형성 처리를 공정 S101 내지 S103의 처리와는 다른 처리 용기에서 행해도 된다. CNT(13B)를 형성하는 프로세스의 조건 등에 따라, 적절히 처리 용기를 나누어도 된다.
<제2 실시 형태>
도 3은, 제2 실시 형태에 관한 성막 방법을 나타내는 흐름도이다. 도 4a 내지 도 4e는, 도 3에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다. 도 4a 내지 도 4e는, 각각 도 3에 도시하는 공정 S201 내지 S205에 대응하는 기판(20)의 상태를 나타낸다.
성막 방법은, 도 4a에 도시하는 바와 같이 기판(20)을 준비하는 공정 S201을 포함한다. 준비하는 것은, 예를 들어 성막 장치의 처리 용기의 내부에 기판(20)을 반입하는 것을 포함한다. 기판(20)은, 도전막(21), 방청막(21A), 절연막(12) 및 하지 기판(15)을 포함한다.
기판(20)은, 도 2a에 도시하는 기판(10)의 구리(Cu)의 도전막(11)과 자연 산화막(11A)을, 코발트(Co)의 도전막(21)과 방청막(21A)으로 각각 치환한 구성을 갖는다.
기판(20)은, 제1 영역(A1) 및 제2 영역(A2)을 갖는다. 도전막(21)의 한쪽 면(도 4a에서의 상면)에는 방청막(21A)이 마련되어 있다. 즉, 도 4a에서는, 기판(20)의 표면에 방청막(21A) 및 절연막(12)이 노출되어 있다.
방청막(21A)은, 예를 들어 도전막(21)으로서의 Cu의 표면을 산화 및 황화로부터 보호하는 방청 실드를 도포한(방청 코팅을 실시한) 막이며, 구체예로서는 BAT(Benzotiriazole: 벤조트리아졸)를 들 수 있다. 방청막(21A)은, 도전막(21)의 표면에 형성되어 있다.
성막 방법은, 도 4b에 도시하는 바와 같이 도전막(21)의 표면으로부터 방청막(21A)을 제거하는 공정 S202를 포함한다. 예를 들어, 수소(H2)와 아르곤(Ar)을 포함하는 분위기 가스에 의한 수소 분위기 하에서, 기판(20)이 350℃ 정도가 되도록 서셉터를 가열함으로써 방청막(21A)을 제거할 수 있다. 방청막(21A)은, 수소에 의한 열처리로 제거된다.
성막 방법은, 도 4c에 도시하는 바와 같이, CNT(23A)를 형성하는 공정 S203을 포함한다. CNT(23A)는, 도전막(21)의 표면에 형성되어, 제1 영역(A1) 내에서 기판(10) 및 도전막(21)의 표면에 대하여 수직으로 성장한다. CNT(23A)는, 후에 형성하는 SAM(23B)과 함께, 후술하는 대상막(14)의 형성을 저해한다. CNT(23A)는, 제2 영역(A2)에는 형성되지 않는다.
본 실시 형태에서는, 도전막(21)이 촉매로서의 작용을 갖는 Co막이기 때문에, CNT(23A)는, 예를 들어 메탄(CH4), 에틸렌(C2H4), 에탄(C2H6), 프로필렌(C3H6) 등의 탄소를 포함하는 가스(탄소원 가스)를 플라스마에 의해 분해하여, 도전막(21)의 표면에 직접 성장시킬 수 있다. CNT(23A)는, 수직 배향성에 의해 도전막(21)의 표면에 대하여 수직으로 성장한다.
도전막(21)의 표면에 CNT(23A)를 직접 성장시키기 위해서는, 제1 실시 형태의 공정 S104와 마찬가지의 처리를 행하면 된다. 또한, CNT(23A)의 높이는, 대상막(14)보다도 높은 것이 바람직하고, 일례로서 10nm 내지 25nm 정도이다.
공정 S203에 의해, 도 4c에 도시하는 바와 같이, 도전막(21)의 표면에 CNT(23A)가 형성되어, 도전막(21), 절연막(12), CNT(23A) 및 하지 기판(15)을 포함하는 기판(20)이 얻어진다. 도 4c에서는, 기판(20)의 표면에 도전막(21), CNT(23A) 및 절연막(12)이 노출되어 있다. CNT(23A)끼리의 사이에는 간극이 있어, 간극으로부터 도전막(21)이 노출된다. 또한, 공정 S203은, 일례로서 공정 S202와 동일한 처리 용기에서 행할 수 있다.
성막 방법은, 도 4d에 도시하는 바와 같이, SAM(23B)을 형성하는 공정 S204와, 도 4e에 도시하는 바와 같이, CNT(23A) 및 SAM(23B)을 사용해서 제2 영역(A2)에 선택적으로 대상막(14)을 형성하는 공정 S205를 포함한다. 공정 S204 및 S205는, 각각 제1 실시 형태의 공정 S105 및 S106과 마찬가지이다.
이상 설명한 바와 같이, 본 실시 형태에 따르면, 도전막(21)의 표면의 방청막(21A)을 제거하고 나서, 도전막(21)의 표면에 CNT(23A)를 수직으로 성장시킨다. 또한, SAM(23B)을 제작하기 위한 티올계의 유기 화합물의 선택성을 이용하여, CNT(23A)의 분자의 간극에 있어서 도전막(21)의 표면에 SAM(23B)을 형성한다.
CNT(23A)는, 수직 배향성에 의해 도전막(21)의 표면에 대하여 수직으로 성장하므로, CNT(23A)의 분자의 간극으로부터 도전막(21)의 표면에 흡착되는 SAM(23B)은, CNT(23A)에 의해 수직 방향으로 교정되어 성장한다. 이와 같이, CNT(23A)를 이용함으로써, SAM(23B)이 수직으로 성장하도록 형상을 제어할 수 있다.
이 때문에, 제1 영역(A1) 및 제2 영역(A2)의 경계에서의 SAM(23B)의 측면(막 두께 방향으로 생기는 측면)은, 도전막(21)의 표면에 대하여 수직으로 된다. 즉, 대상막(14)이 형성되는 제2 영역(A2)에 인접하는 경계가 기립된 형상을 갖도록 SAM(23B)의 형상을 제어할 수 있다.
따라서, SAM(23B)의 형상을 제어할 수 있는 성막 방법을 제공할 수 있다.
또한, 이러한 SAM(23B)의 측면에 인접해서 형성되는 대상막(14)의 제1 영역(A1) 및 제2 영역(A2)의 경계에서의 측면은, 도전막(21) 및 절연막(12)의 표면에 대하여 수직이다. 이렇게 대상막(14)이 수직인 측면을 갖는 것은, CNT(23A) 및 SAM(23B)을 에칭에서 제거한 후의 반도체 제조 공정 등에서, 나노미터 레벨의 미세화에 대응할 때 매우 효과적이다.
따라서, 본 실시 형태에 관한 성막 방법에 의하면, 나노미터 레벨의 미세화에 대응할 수 있어, 생산성이 높은 반도체 제조 프로세스를 실현할 수 있다.
또한, 이상에서는, 도전막(21)의 표면에 방청막(21A)이 마련되어 있어, 방청막(21A)을 제거하고 나서 CNT(23A)를 형성하는 형태에 대해서 설명했다. 그러나, 방청막(21A)이 마련되어 있지 않을 경우에는, 도전막(21)의 표면의 산화막을 환원해서 제거해도 된다. 환원 처리는, 처리 용기 내에 H2 가스를 흐르게 하고, 예를 들어 350℃ 정도에서 어닐 처리를 행함으로써 실현 가능하다.
또한, 공정 S203에서 도전막(21)의 표면에 CNT(23A)를 형성할 때, 제1 실시 형태와 마찬가지로, 도전막(21)의 표면에 촉매 금속 미립자를 형성하고 나서 CNT(23A)를 형성해도 된다. 촉매 금속 미립자는, CNT(23A)의 형성에 있어서 촉매 작용을 갖는 금속제이면 되며, 예를 들어 Co제이면 된다.
<성막 시스템>
이어서, 본 개시의 일 실시 형태에 관한 성막 방법을 실시하기 위한 시스템에 대해서 설명한다.
본 개시의 일 실시 형태에 관한 성막 방법은, 배치(batch) 장치, 매엽 장치, 세미 배치 장치의 어느 형태이어도 된다. 단, 상기 각각의 스텝에서 최적의 온도가 다른 경우가 있고, 또한, 기판의 표면이 산화해서 표면 상태가 변화했을 때 각 스텝의 실시에 지장을 초래하는 경우가 있다. 그러한 점을 고려하면, 각 스텝을 최적의 온도로 설정하기 쉽고 또한 모든 스텝을 진공 중에서 행할 수 있는 멀티 챔버 타입의 매엽식 성막 시스템이 적합하다.
이하, 이러한 멀티 챔버 타입의 매엽식 성막 시스템에 대해서 설명한다.
도 5는, 일 실시 형태에 관한 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도이다. 여기에서는 특별히 정하지 않는 한, 기판(10)에 대하여 처리를 행하는 경우에 대해서 설명한다.
도 5에 도시한 바와 같이, 성막 시스템(100)은, 산화 환원 처리 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 처리 장치(500)를 갖고 있다. 이들 장치는, 평면 형상이 칠각형을 이루는 진공 반송실(101)의 4개의 벽부에 각각 게이트 밸브(G)를 통해서 접속되어 있다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다. 즉, 성막 시스템(100)은, 멀티 챔버 타입의 진공 처리 시스템이며, 상술한 성막 방법을, 진공을 파괴하지 않고 연속해서 행할 수 있는 것이다.
산화 환원 처리 장치(200)는, 기판(10)(도 2a 참조)에 대한 환원 처리를 촉매 금속 미립자(13A) 및 CNT(13B)와는 다른 처리 장치에서 행하는 경우에 사용하는 처리 장치이다.
SAM 형성 장치(300)은, 기판(10)(도 2d 참조)의 SAM(13C)과 기판(20)(도 4d 참조)의 SAM(23B)을 형성하기 위해서, SAM(13C, 23B)을 형성하기 위한 티올계의 유기 화합물의 가스를 공급하여, SAM(13C, 23B)을 선택적으로 형성하는 장치이다.
대상막 성막 장치(400)는, 기판(10)(도 2e 참조)과 기판(20)(도 4d 참조)의 대상막(14)으로서의 산화규소(SiO)막 등을 CVD 또는 ALD에 의해 성막하는 장치이다.
처리 장치(500)는, 기판(10)(도 2a 참조)에 대한 환원 처리, 기판(10)에 대한 촉매 금속 미립자(13A)의 형성 처리(도 2b, 도 2c 참조), CNT(13B, 23A)(도 2d, 도 4c 참조)의 형성 처리, 및 SAM(13C, 23B)(도 2e, 도 4d 참조)의 에칭에 의한 제거 처리를 행하는 처리 장치이다.
진공 반송실(101)의 다른 3개의 벽부에는 3개의 로드 로크실(102)이 게이트 밸브(G1)를 통해서 접속되어 있다. 로드 로크실(102)을 사이에 두고 진공 반송실(101)의 반대측에는 대기 반송실(103)이 마련되어 있다. 3개의 로드 로크실(102)은, 게이트 밸브(G2)를 통해서 대기 반송실(103)에 접속되어 있다. 로드 로크실(102)은, 대기 반송실(103)과 진공 반송실(101)의 사이에서 기판(10)을 반송할 때, 대기압과 진공의 사이에서 압력 제어하는 것이다.
대기 반송실(103)의 로드 로크실(102)의 설치 벽부와는 반대측의 벽부에는, 기판(10)을 수용하는 캐리어(FOUP 등)(C)를 설치하는 3개의 캐리어 설치 포트(105)를 갖고 있다. 또한, 대기 반송실(103)의 측벽에는, 기판(10)의 얼라인먼트를 행하는 얼라인먼트 챔버(104)가 마련되어 있다. 대기 반송실(103) 내에는 청정 공기의 다운 플로가 형성되도록 되어 있다.
진공 반송실(101) 내에는, 제1 반송 기구(106)가 마련되어 있다. 제1 반송 기구(106)는, 산화 환원 처리 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 처리 장치(500), 로드 로크실(102)에 대하여 기판(10)을 반송한다. 제1 반송 기구(106)는, 독립적으로 이동 가능한 2개의 반송 암(107a, 107b)을 갖고 있다.
대기 반송실(103) 내에는, 제2 반송 기구(108)가 마련되어 있다. 제2 반송 기구(108)는, 캐리어(C), 로드 로크실(102), 얼라인먼트 챔버(104)에 대하여 기판(10)을 반송하도록 되어 있다.
성막 시스템(100)은, 전체 제어부(110)를 갖고 있다. 전체 제어부(110)는, CPU(컴퓨터)를 갖는 주제어부와, 입력 장치(키보드, 마우스 등)와, 출력 장치(프린터 등)와, 표시 장치(디스플레이 등)와, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 산화 환원 처리 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 처리 장치(500), 진공 반송실(101) 및 로드 로크실(102)의 각 구성부 등을 제어한다. 전체 제어부(110)의 주제어부는, 예를 들어 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세트된 기억 매체에 기억된 처리 레시피에 기초하여, 성막 시스템(100)에, 제1 실시 형태 및 제2 실시 형태의 성막 방법을 행하기 위한 동작을 실행시킨다. 또한, 각 장치에 하위의 제어부를 마련하여, 전체 제어부(110)를 상위의 제어부로서 구성해도 된다.
이상과 같이 구성되는 성막 시스템에서는, 제2 반송 기구(108)에 의해 대기 반송실(103)에 접속된 캐리어(C)로부터 기판(10)을 꺼내어, 얼라인먼트 챔버(104)를 경유한 후에, 어느 것의 로드 로크실(102) 내에 반입한다. 그리고, 로드 로크실(102) 내를 진공 배기한 후, 제1 반송 기구(106)에 의해, 기판(10)을, 산화 환원 처리 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400) 및 처리 장치(500)에 반송하여, 제1 실시 형태 또는 제2 실시 형태의 성막 처리를 행한다. 그 후, 필요에 따라, 처리 장치(500)에 의해 SAM(13C)의 에칭 제거를 행한다.
이상의 처리가 종료된 후, 제1 반송 기구(106)에 의해 기판(10)을 어느 것의 로드 로크실(102)에 반송하고, 제2 반송 기구(108)에 의해 로드 로크실(102) 내의 기판(10)을 캐리어(C)로 되돌아 가게 한다.
이상과 같은 처리를, 복수의 기판(10)에 대해서 동시 병행적으로 행하여, 소정 매수의 기판(10)의 선택적 성막 처리가 완료된다.
이러한 각 처리를 독립된 매엽 장치에서 행하므로, 각 처리에 최적의 온도로 설정하기 쉽고, 또한, 일련의 처리를 진공을 파괴하지 않고 행할 수 있으므로, 처리 과정에서의 산화를 억제할 수 있다.
<성막 처리 및 SAM 형성 장치의 예>
이어서, 산화 환원 처리 장치(200), 대상막 성막 장치(400)와 같은 성막 장치 및 SAM 형성 장치(300)의 일례에 대해서 설명한다.
도 6은, 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도이다.
산화 환원 처리 장치(200), 대상막 성막 장치(400)와 같은 성막 장치 및 SAM 형성 장치(300)는, 마찬가지의 구성을 갖는 장치로 할 수 있어, 예를 들어 도 6에 도시하는 바와 같은 처리 장치(600)로서 구성할 수 있다.
처리 장치(600)는, 기밀하게 구성된 대략 원통상의 처리 용기(챔버)(601)를 갖고 있고, 그 안에는 기판(10)을 수평하게 지지하기 위한 서셉터(602)가, 처리 용기(601)의 저벽 중앙에 마련된 원통상의 지지 부재(603)에 의해 지지되어 배치되어 있다. 서셉터(602)에는 히터(605)가 매립되어 있고, 이 히터(605)는, 히터 전원(606)으로부터 급전됨으로써 기판(10)을 소정의 온도로 가열한다. 또한, 서셉터(602)에는, 기판(10)을 지지해서 승강시키기 위한 복수의 웨이퍼 승강 핀(도시하지 않음)이, 서셉터(602)의 표면에 대하여 돌출 및 함몰 가능하게 마련되어 있다.
처리 용기(601)의 천장벽에는, 성막 또는 SAM 형성을 위한 처리 가스를 처리 용기(601) 내에 샤워 형상으로 도입하기 위한 샤워 헤드(610)가, 서셉터(602)와 대향하도록 마련되어 있다. 샤워 헤드(610)는, 후술하는 가스 공급 기구(630)로부터 공급된 가스를 처리 용기(601) 내에 토출하기 위한 것이며, 그 상부에는 가스를 도입하기 위한 가스 도입구(611)가 형성되어 있다. 또한, 샤워 헤드(610)의 내부에는 가스 확산 공간(612)이 형성되어 있고, 샤워 헤드(610)의 저면에는, 가스 확산 공간(612)에 연통한 다수의 가스 토출 구멍(613)이 형성되어 있다.
처리 용기(601)의 저벽에는, 하방을 향해서 돌출되는 배기실(621)이 마련되어 있다. 배기실(621)의 측면에는 배기 배관(622)이 접속되어 있고, 이 배기 배관(622)에는, 진공 펌프나 압력 제어 밸브 등을 갖는 배기 장치(623)가 접속되어 있다. 그리고, 이 배기 장치(623)를 작동시킴으로써, 처리 용기(601) 내를 소정의 감압(진공) 상태로 하는 것이 가능하게 되어 있다.
처리 용기(601)의 측벽에는, 진공 반송실(101)과의 사이에서 기판(10)을 반출입하기 위한 반출입구(627)가 마련되어 있고, 반출입구(627)는, 게이트 밸브(G)에 의해 개폐되도록 되어 있다.
가스 공급 기구(630)는, 대상막(14)의 성막 또는 SAM(13C)의 형성에 필요한 가스의 공급원과, 각 공급원으로부터 가스를 공급하는 개별 배관, 개별 배관에 마련된 개폐 밸브 및 가스의 유량 제어를 행하는 매스 플로 컨트롤러와 같은 유량 제어기 등을 갖고, 또한, 개별 배관으로부터의 가스를, 가스 도입구(611)를 통해서 샤워 헤드(610)에 유도하는 가스 공급 배관(635)을 갖고 있다.
가스 공급 기구(630)는, 처리 장치(600)가 대상막(14)으로서 산화규소(SiO)의 ALD 성막을 행하는 경우, 유기 화합물 원료 가스와 반응 가스를 샤워 헤드(610)에 공급한다. 또한, 가스 공급 기구(630)는, 처리 장치(600)가 SAM의 형성을 행하는 경우, SAM을 형성하기 위한 화합물의 증기를 처리 용기(601) 내에 공급한다. 또한, 가스 공급 기구(630)는, 퍼지 가스나 전열 가스로서 N2 가스나 Ar 가스 등의 불활성 가스도 공급할 수 있도록 구성되어 있다.
이렇게 구성되는 처리 장치(600)에서는, 게이트 밸브(G)를 개방으로 해서 반출입구(627)로부터 기판(10)을 처리 용기(601) 내에 반입하여, 서셉터(602) 상에 적재한다. 서셉터(602)는, 히터(605)에 의해 소정 온도로 가열되어 있어, 처리 용기(601) 내에 불활성 가스가 도입됨으로써 웨이퍼가 가열된다. 그리고, 배기 장치(623)의 진공 펌프에 의해 처리 용기(601) 내를 배기하여, 처리 용기(601) 내의 압력을 소정 압력으로 조정한다.
이어서, 처리 장치(600)가 대상막(14)으로서 산화규소(SiO)의 ALD 성막을 행하는 경우, 가스 공급 기구(630)로부터, 유기 화합물 원료 가스와 반응 가스를, 처리 용기(601) 내의 퍼지를 개재시켜 교대로 처리 용기(601) 내에 공급한다. 또한, 처리 장치(600)가 SAM의 형성을 행하는 경우, 가스 공급 기구(630)로부터, SAM을 형성하기 위한 유기 화합물의 증기를 처리 용기(601) 내에 공급한다.
이상, 본 개시에 관한 성막 방법의 실시 형태에 대해서 설명했지만, 본 개시는 상기 실시 형태 등에 한정되지는 않는다. 특허 청구 범위에 기재된 범주 내에서, 각종 변경, 수정, 치환, 부가, 삭제 및 조합이 가능하다. 그것들에 대해서도 당연히 본 개시의 기술적 범위에 속한다.
또한, 본 국제 출원은, 2019년 9월 24일에 출원한 일본 특허 출원 2019-173471호에 기초하는 우선권을 주장하는 것이며, 그 전체 내용은 본 국제 출원에 여기에서의 참조에 의해 원용되는 것으로 한다.
10, 20: 기판
11, 21: 도전막
11A: 자연 산화막
12: 절연막
13A: 촉매 금속 미립자
13B, 23A: CNT
13C, 23B: SAM
14: 대상막
15: 하지 기판
21A: 방청막

Claims (9)

  1. 기판 상에 대상막을 형성하는 성막 방법이며,
    제1 영역의 표면에 형성된 제1 도전 재료의 층과, 제2 영역의 표면에 형성된 절연 재료의 층을 갖는 상기 기판을 준비하는 공정과,
    상기 제1 도전 재료의 층의 표면에 카본 나노튜브를 형성하는 공정과,
    자기 조직화 막의 원료 가스를 공급하여, 상기 제1 도전 재료의 층의 표면 중 상기 카본 나노튜브가 형성되어 있지 않은 영역에 자기 조직화 막을 형성하는 공정을
    포함하는, 성막 방법.
  2. 제1항에 있어서, 상기 기판을 준비하는 공정 후이며, 상기 카본 나노튜브를 형성하는 공정 전에, 상기 제1 도전 재료의 층의 표면의 산화층을 환원하는 공정을 더 포함하는, 성막 방법.
  3. 제1항에 있어서, 상기 기판을 준비하는 공정 후이며, 상기 카본 나노튜브를 형성하는 공정 전에, 상기 제1 도전 재료의 층의 표면의 방청제를 제거하는 공정을 더 포함하는, 성막 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 도전 재료의 층의 표면에, 상기 카본 나노튜브를 형성하는 공정에서 촉매 작용을 일으키는 제2 도전 재료의 입자를 형성하는 공정을 더 포함하고,
    상기 카본 나노튜브를 형성하는 공정은, 상기 제1 도전 재료의 층의 표면의 상기 입자 상에 카본 나노튜브를 형성하는 공정인, 성막 방법.
  5. 제4항에 있어서, 상기 제2 도전 재료는, 코발트 또는 니켈인, 성막 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 도전 재료는, 구리, 코발트, 또는 니켈인, 성막 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 절연 재료는, 규소를 포함하는 절연 재료인, 성막 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 자기 조직화 막의 재료는, 티올계의 자기 조직화 막의 재료인, 성막 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 절연 재료의 층의 표면에 상기 대상막을 형성하는 공정을 더 포함하는, 성막 방법.
KR1020227012175A 2019-09-24 2020-09-16 성막 방법 KR102582899B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019173471A JP2021052070A (ja) 2019-09-24 2019-09-24 成膜方法
JPJP-P-2019-173471 2019-09-24
PCT/JP2020/035097 WO2021060110A1 (ja) 2019-09-24 2020-09-16 成膜方法

Publications (2)

Publication Number Publication Date
KR20220058634A true KR20220058634A (ko) 2022-05-09
KR102582899B1 KR102582899B1 (ko) 2023-09-26

Family

ID=75158049

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012175A KR102582899B1 (ko) 2019-09-24 2020-09-16 성막 방법

Country Status (4)

Country Link
US (1) US20230148162A1 (ko)
JP (1) JP2021052070A (ko)
KR (1) KR102582899B1 (ko)
WO (1) WO2021060110A1 (ko)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007501902A (ja) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2007096129A (ja) * 2005-09-29 2007-04-12 Kyoto Univ 分子トランジスタおよびその製造方法、並びにそれを用いた不揮発性メモリおよび圧電センサ
JP2008545969A (ja) * 2005-06-03 2008-12-18 ハネウェル・インターナショナル・インコーポレーテッド カーボンナノチューブをベースとするグルコースセンサー
KR20090038326A (ko) * 2007-10-15 2009-04-20 고려대학교 산학협력단 플렉서블 투명전극 제조 방법
KR20150017035A (ko) * 2013-08-05 2015-02-16 (주) 파루 유전막 표면 개질용 저전압 트랜지스터 및 이의 제조 방법
KR20150108751A (ko) * 2014-03-18 2015-09-30 도쿄엘렉트론가부시키가이샤 구리 배선을 가진 기판을 구비하는 반도체 장치의 제조 방법
KR20170046591A (ko) * 2015-10-21 2017-05-02 울트라테크 인크. 자기 조립 모노레이어를 사용하여 원자층 피착 억제층을 형성하는 방법
KR20180093823A (ko) * 2017-02-14 2018-08-22 에이에스엠 아이피 홀딩 비.브이. 선택적 패시베이션 및 선택적 증착

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007119123A2 (en) * 2005-08-26 2007-10-25 Smoltek Ab Interconnects and heat dissipators based on nanostructures
JP2008233030A (ja) * 2007-03-23 2008-10-02 Horiba Ltd 可燃性ガスセンサ及びそれの製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007501902A (ja) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2008545969A (ja) * 2005-06-03 2008-12-18 ハネウェル・インターナショナル・インコーポレーテッド カーボンナノチューブをベースとするグルコースセンサー
JP2007096129A (ja) * 2005-09-29 2007-04-12 Kyoto Univ 分子トランジスタおよびその製造方法、並びにそれを用いた不揮発性メモリおよび圧電センサ
KR20090038326A (ko) * 2007-10-15 2009-04-20 고려대학교 산학협력단 플렉서블 투명전극 제조 방법
KR20150017035A (ko) * 2013-08-05 2015-02-16 (주) 파루 유전막 표면 개질용 저전압 트랜지스터 및 이의 제조 방법
KR20150108751A (ko) * 2014-03-18 2015-09-30 도쿄엘렉트론가부시키가이샤 구리 배선을 가진 기판을 구비하는 반도체 장치의 제조 방법
KR20170046591A (ko) * 2015-10-21 2017-05-02 울트라테크 인크. 자기 조립 모노레이어를 사용하여 원자층 피착 억제층을 형성하는 방법
KR20180093823A (ko) * 2017-02-14 2018-08-22 에이에스엠 아이피 홀딩 비.브이. 선택적 패시베이션 및 선택적 증착

Also Published As

Publication number Publication date
KR102582899B1 (ko) 2023-09-26
US20230148162A1 (en) 2023-05-11
WO2021060110A1 (ja) 2021-04-01
JP2021052070A (ja) 2021-04-01

Similar Documents

Publication Publication Date Title
US10790138B2 (en) Method and system for selectively forming film
US10892161B2 (en) Enhanced selective deposition process
KR102571409B1 (ko) 성막 방법
JP7486321B2 (ja) 成膜方法
JP2018041898A (ja) 成膜方法および成膜システム
JP2022033558A (ja) 成膜方法および成膜システム
WO2022070909A1 (ja) 成膜方法及び成膜装置
KR102589043B1 (ko) 성막 방법
KR102497066B1 (ko) 성막 방법
US20210087691A1 (en) Film forming method
WO2020184284A1 (ja) 成膜方法および成膜装置
KR102582899B1 (ko) 성막 방법
KR101812900B1 (ko) 패턴 형성 방법
US8551565B2 (en) Film forming method and film forming apparatus
WO2022190889A1 (ja) 成膜方法および成膜システム
WO2023282131A1 (ja) エッチング方法
WO2023153284A1 (ja) 成膜方法及び成膜装置
US20240030025A1 (en) Film formation method
WO2024070696A1 (ja) 成膜方法及び成膜装置
JP2007067015A (ja) 成膜方法及び電子デバイスの製造方法
JP2024019774A (ja) 成膜方法および成膜装置
KR20240022988A (ko) 성막 방법 및 성막 장치
JP2012092452A (ja) 成膜装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant