JP2021052070A - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
JP2021052070A
JP2021052070A JP2019173471A JP2019173471A JP2021052070A JP 2021052070 A JP2021052070 A JP 2021052070A JP 2019173471 A JP2019173471 A JP 2019173471A JP 2019173471 A JP2019173471 A JP 2019173471A JP 2021052070 A JP2021052070 A JP 2021052070A
Authority
JP
Japan
Prior art keywords
film
substrate
region
forming
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2019173471A
Other languages
English (en)
Inventor
秀司 東雲
Shuji Shinonome
秀司 東雲
杉浦 正仁
Masahito Sugiura
正仁 杉浦
貴士 松本
Takashi Matsumoto
貴士 松本
河野 有美子
Yumiko Kono
有美子 河野
進一 池
Chinichi Ike
進一 池
健次 大内
Kenji Ouchi
健次 大内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019173471A priority Critical patent/JP2021052070A/ja
Priority to PCT/JP2020/035097 priority patent/WO2021060110A1/ja
Priority to KR1020227012175A priority patent/KR102582899B1/ko
Priority to US17/762,230 priority patent/US20230148162A1/en
Publication of JP2021052070A publication Critical patent/JP2021052070A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4418Methods for making free-standing articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Abstract

【課題】SAMの形状を制御できる技術を提供する。【解決手段】基板上へ対象膜を形成する成膜方法であって、第1領域の表面に形成された第1導電材料の層と、第2領域の表面に形成された絶縁材料の層とを有する前記基板を準備する工程と、前記第1導電材料の層の表面にカーボンナノチューブを形成する工程と、自己組織化膜の原料ガスを供給し、前記第1導電材料の層の表面のうち前記カーボンナノチューブが形成されていない領域に自己組織化膜を形成する工程と、を含む、成膜方法が提供される。【選択図】図2

Description

本開示は、成膜方法に関する。
特許文献1には、フォトリソグラフィ技術を用いずに、基板の特定の領域に選択的に対象膜を形成する技術が開示されている。具体的には、対象膜の形成を阻害する自己組織化単分子膜(Self-Assembled Monolayer:SAM)を基板の一部の領域に形成し、基板の残りの領域に対象膜を形成する技術が開示されている。
特表2007−501902号公報
本開示は、SAMの形状を制御できる技術を提供する。
本開示の一の態様によれば、基板上へ対象膜を形成する成膜方法であって、第1領域の表面に形成された第1導電材料の層と、第2領域の表面に形成された絶縁材料の層とを有する前記基板を準備する工程と、前記第1導電材料の層の表面にカーボンナノチューブを形成する工程と、自己組織化膜の原料ガスを供給し、前記第1導電材料の層の表面のうち前記カーボンナノチューブが形成されていない領域に自己組織化膜を形成する工程と、を含む、成膜方法が提供される。
一の側面によれば、SAMの形状を制御できる。
第1実施形態に係る成膜方法を示すフローチャートである。 図1に示す各工程での基板の状態の一例を示す断面図である。 第2実施形態に係る成膜方法を示すフローチャートである。 図3に示す各工程での基板の状態の一例を示す断面図である。 一実施形態に係る成膜方法を実施するための成膜システムの一例を示す模式図である。 成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。
以下、本開示を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く場合がある。以下では図中における上下の方向又は関係を用いて説明するが、普遍的な上下の方向又は関係を表すものではない。
<第1実施形態>
図1は、第1実施形態に係る成膜方法を示すフローチャートである。図2は、図1に示す各工程での基板の状態の一例を示す断面図である。図2(A)〜図2(F)は、それぞれ、図1に示す工程S101〜S106に対応する基板10の状態を示す。
成膜方法は、図2(A)に示すように基板10を準備する工程S101を含む。準備することは、例えば、成膜装置の処理容器(チャンバ)の内部に基板10を搬入することを含む。基板10は、導電膜11、自然酸化膜11A、絶縁膜12、及び下地基板15を含む。
基板10は、第1領域A1及び第2領域A2を有する。ここでは、一例として、第1領域A1及び第2領域A2は平面視で隣り合っている。導電膜11は第1領域A1内で下地基板15の上面側に設けられ、絶縁膜12は第2領域A2内で下地基板15の上面側に設けられる。自然酸化膜11Aは、第1領域A1内で導電膜11の上面に設けられる。図2(A)では、基板10の表面に自然酸化膜11A及び絶縁膜12が露出している。
第1領域A1の数は、図2(A)では1つであるが、複数でもよい。例えば2つの第1領域A1が第2領域A2を挟むように配置されてもよい。同様に、第2領域A2の数は、図2(A)では1つであるが、複数でもよい。例えば2つの第2領域A2が第1領域A1を挟むように配置されてもよい。
なお、図2(A)では第1領域A1及び第2領域A2のみが存在するが、第3領域がさらに存在してもよい。第3領域は、第1領域A1の導電膜11及び第2領域A2の絶縁膜12とは異なる材料の層が露出する領域である。第3領域は、第1領域A1と第2領域A2との間に配置されてもよいし、第1領域A1及び第2領域A2の外に配置されてもよい。
導電膜11は、第1導電材料の層の一例である。第1導電材料は、例えば銅(Cu)、コバルト(Co)、又はルテニウム(Ru)等の金属である。これらの金属の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が自然酸化膜11Aである。自然酸化膜11Aは、還元処理によって除去可能である。
ここでは、一例として、導電膜11が銅(Cu)であり、自然酸化膜11Aが自然酸化によって形成された酸化銅である形態について説明する。自然酸化膜11Aとしての酸化銅は、CuOとCuOを含み得る。
絶縁膜12は、絶縁材料の層の一例であり、誘電率が低い所謂low-k材料製の絶縁膜であってもよい。絶縁膜12の絶縁材料は、例えばケイ素(Si)を含む絶縁材料であり、例えば酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、又は酸炭窒化ケイ素等である。以下、酸化ケイ素を、酸素とケイ素との組成比に関係なくSiOとも表記する。同様に、窒化ケイ素をSiNとも表記し、酸窒化ケイ素をSiONとも表記し、炭化ケイ素をSiCとも表記し、酸炭窒化ケイ素をSiOCNとも表記する。絶縁膜12は、本実施形態ではSiO膜である。
下地基板15は、例えばシリコンウェハ等の半導体基板である。基板10は、下地基板15と導電膜11との間に、下地基板15及び導電膜11とは異なる材料で形成される下地膜をさらに含んでいてもよい。同様に、基板10は、下地基板15と絶縁膜12との間に、下地基板15及び絶縁膜12とは異なる材料で形成される下地膜をさらに有していてもよい。
このような下地膜は、例えば、SiN層等であってもよい。SiN層等は、例えば、エッチングをストップさせるエッチストップレイヤであってもよい。
成膜方法は、自然酸化膜11A(図2(A)参照)を還元することにより、図2(B)に示すように基板10を作製する工程S102を含む。自然酸化膜11Aを還元するには、例えば、成膜装置の処理容器における水素(H)及びアルゴン(Ar)の流量をそれぞれ100sccm及び2500sccmに設定して処理容器内の圧力を1torr〜10torr(約133.32Pa〜約1333.22Pa)に設定する。そして、水素が処理容器内の雰囲気ガスの0.5%未満になる水素雰囲気下において、基板10が150℃〜200℃になるようにサセプタを加熱する。
工程S102により、自然酸化膜11Aとしての酸化銅はCuに還元されて除去される。この結果、図2(B)に示すように、導電膜11、絶縁膜12、及び下地基板15を含む基板10が得られる。基板10の第1領域A1の表面には、導電膜11としてのCuが露出している。なお、自然酸化膜11Aの還元処理は、ドライプロセスに限らず、ウェットプロセスであってもよい。また、工程S102は、一例として工程S101と同一の処理容器で行えばよい。
成膜方法は、図2(C)に示すように導電膜11の表面に触媒金属微粒子13Aを形成する工程S103を含む。触媒金属微粒子13Aは、第2導電材料の触媒金属微粒子の一例であり、第2導電材料は、例えばコバルト(Co)又はニッケル(Ni)等の遷移金属、又は、遷移金属を含む合金である。触媒金属微粒子13Aは、後にCNT(Carbon Nano Tube)を形成する際に触媒作用をもたらし、CNTの成長における核又は種になるものである。触媒金属微粒子13Aの大きさは、一例として、1nm〜5nmである。本実施形態では、触媒金属微粒子13AがCo微粒子である形態について説明する。
触媒金属微粒子13Aは、例えば、次のようにして導電膜11の表面に形成することができる。
まず、熱CVD(Chemical Vapor Deposition)法でCo薄膜を導電膜11の表面に形成する。成膜装置の処理容器内の圧力を1Torr〜10Torr(約133.32Pa〜約1333.22Pa)に設定し、基板温度が300℃になるようにサセプタを加熱して、ガス供給機構で予め加熱して気化したコバルトカルボニル(Co(CO))ガスを希釈ガス(例えばArガスまたはNガス等)とともに処理容器内に供給して導電膜11の表面にCo薄膜を形成すればよい。なお、このプロセスにおける基板温度は、Coの凝集が生じない300℃以下であればよい。また、Co薄膜は、熱CVD法に限らず、スパッタ法や蒸着法等で形成してもよい。
次に基板10を加熱し、酸素(O)ガスとアルゴン(Ar)ガスのマイクロ波プラズマを発生させてCo薄膜に酸素プラズマ処理を行う。このように酸素プラズマ処理を行うと、Co薄膜の表面に付着している有機物等を除去して清浄化することができ、この結果Co薄膜の表面に加熱によるマイグレーションが起こりやすくなり、Coの凝集が生じて微粒子化する。このようにして、導電膜11の表面に島状の触媒金属微粒子13Aを形成することができる。
酸素プラズマ処理のプロセス条件としては、例えば、成膜装置の処理容器内の圧力を67Pa〜533Paに設定し、基板10が300℃〜600℃になるようにサセプタを加熱し、Oガス、Arガスをそれぞれ50sccm〜200sccm、300sccm〜600sccmで流し、マイクロ波の出力を250W〜2000Wに設定すればよい。なお、酸素プラズマ処理では、Oガスの代わりに、HO、O、O、NO等の酸素を含むガスを用いてもよい。
また、酸素プラズマ処理の後に、活性化処理を行う。活性化処理では、水素(H)ガスとArガスのマイクロ波プラズマを発生させて、触媒金属微粒子13Aの表面を還元して活性化する。活性化処理により、酸素プラズマ処理で形成された触媒金属微粒子13Aの表面の酸化膜は除去され、微粒子の状態を保ちながら触媒金属微粒子13Aをさらに高密度化させることができる。
活性化処理のプロセス条件としては、例えば、成膜装置の処理容器内の圧力を67Pa〜533Paに設定し、基板10が300℃〜600℃になるようにサセプタを加熱し、Hガス、Arガスをそれぞれ100sccm〜1200sccm、300sccm〜600sccmで流し、マイクロ波の出力を250W〜2000Wに設定すればよい。なお、活性化処理では、Hガスの代わりに、アンモニア(NH)ガス等の水素を含有するガスを用いてもよい。
工程S103により、図2(C)に示すように、導電膜11の表面に触媒金属微粒子13Aが形成され、導電膜11、絶縁膜12、触媒金属微粒子13A、及び下地基板15を含む基板10が得られる。図2(C)では、基板10の表面に導電膜11、触媒金属微粒子13A、及び絶縁膜12が露出している。なお、工程S103は、一例として工程S102と同一の処理容器で行うことができる。
成膜方法は、図2(D)に示すように、CNT13Bを形成する工程S104を含む。CNT13Bは、触媒金属微粒子13Aの上に形成され、第1領域A1内で基板10及び導電膜11の表面に対して垂直に成長する。CNT13Bは、後に形成するSAMとともに、後述する対象膜14の形成を阻害する。CNT13Bは、第2領域A2には形成されない。
CNT13Bは、例えば、メタン(CH)、エチレン(C)、エタン(C)、プロピレン(C)等の炭素を含むガス(炭素源ガス)をプラズマにより分解し、触媒金属微粒子13Aの触媒作用を利用して成長させればよい。このようにすれば、CNT13Bを触媒金属微粒子13Aの上に成長させることができる。CNT13Bは、垂直配向性により導電膜11の表面に対して垂直に成長する。
CNT13Bの形成のプロセス条件で成膜は、例えば、次の通りである。ここでは、炭素源ガスとしてCガスを用いる形態について説明する。成膜装置の処理容器内の圧力を67Pa〜533Paに設定し、基板10が300℃〜600℃になるようにサセプタを加熱し、Cガス、Hガス、Arガスをそれぞれ5sccm〜150sccm、100sccm〜1200sccm、300sccm〜600sccmで流し、マイクロ波の出力を250W〜2000Wに設定してマイクロ波プラズマを発生させることでCNT13Bを成長させればよい。なお、Hガスの代わりに、アンモニア(NH)ガス等の水素を含有するガスを用いてもよい。
CNT13Bの高さは、後に形成する対象膜14よりも高いことが好ましい。対象膜14の第1領域A1への侵入を抑制するためである。CNT13Bの高さは、一例として10nm〜25nm程度である。
工程S104により、図2(D)に示すように、導電膜11の表面に触媒金属微粒子13A及びCNT13Bが形成され、導電膜11、絶縁膜12、触媒金属微粒子13A、CNT13B、及び下地基板15を含む基板10が得られる。図2(D)では、基板10の表面に導電膜11、触媒金属微粒子13A、CNT13B、及び絶縁膜12が露出している。触媒金属微粒子13Aは導電膜11の表面に点在しているため、CNT13B同士の間は隙間があり、隙間から導電膜11が露出する。なお、工程S104は、一例として工程S103と同一の処理容器で行うことができる。
成膜方法は、図2(E)に示すように、SAM13Cを形成する工程S105を含む。SAM13Cは、第1領域A1のCNT13Bの隙間から表出する導電膜11の表面に吸着し、CNT13Bによって垂直方向に矯正されながら導電膜11の表面に対して垂直に成長する。SAM13Cは、CNT13Bと協働して後述する対象膜14の形成を阻害する。SAM13Cは、第2領域A2には形成されない。一般に、SAMは、高密度に形成されると、分子間のファンデルワールス力により高い配向性を示し、膜の表面に対して斜め方向に配向する傾向があるが、本実施の形態では、SAM13Cは、導電膜11の表面に垂直に形成されたCNT13Bによって矯正されるため、導電膜11の表面に対して垂直に成長する。
SAM13Cを形成するための有機化合物は、チオール系であれば、フルオロカーボン系(CFx)あるいはアルキル系(CHx)のいずれの官能基を有していてもよく、例えば、CH3(CH2)[x]CH2SH [x=1~18]、CF3(CF2)[x]CH2CH2SH [x=0~18]でよい。また、フルオロカーボン系(CFx)には、フルオロベンゼンチオールも含まれる。
例えば、ガス状態のチオール系の有機化合物及びアルゴン(Ar)の流量をそれぞれ100sccm及び1500sccmに設定して成膜装置の処理容器内の圧力を1torr〜10torr(約133.32Pa〜約1333.22Pa)に設定し、基板10が150℃〜200℃になるようにサセプタを加熱する。工程S105は、一例として工程S104とは異なる処理容器で行えばよい。
上述のようなチオール系の有機化合物は、金属との電子の授受が発生しやすい化合物である。よって、SAM13Cは、導電膜11の表面に吸着し、電子の授受が発生し難い絶縁膜12の表面には吸着し難い性質を有する。したがって、処理容器内にチオール系の有機化合物を流しながら成膜を行うと、導電膜11の表面のみにSAM13Cが選択的に形成されることになる。SAM13Cの膜厚(SAM13Cの分子の高さ)は、一例として3nm程度である。
このため、工程S105により、導電膜11の表面にSAM13Cが形成され、図2(E)に示すように、第1領域A1に導電膜11、触媒金属微粒子13A、CNT13B、及びSAM13C、第2領域A2に絶縁膜12が形成された基板10が得られる。SAM13CよりもCNT13Bの方が高さが高いため、SAM13Cの上面からCNT13Bが突出する。図2(E)では、基板10の表面にCNT13B、SAM13C、及び絶縁膜12が露出している。工程S105は、SAM13Cを形成するためのチオール系の有機化合物の選択性を利用している。
成膜方法は、図2(F)に示すように、CNT13B及びSAM13Cを用いて第2領域A2に選択的に対象膜14を形成する工程S106を含む。対象膜14は、CNT13B及びSAM13Cとは異なる材料、例えば金属、金属化合物又は半導体で形成される。SAM13Cは対象膜14の形成を阻害するので、対象膜14は第2領域A2に選択的に形成される。なお、第1領域A1及び第2領域A2に加えて第3領域が存在する場合、第3領域には対象膜14が形成されてもよいし、形成されなくてもよい。
ここで、CNT13Bがアルキル基又はフルオロ基で終端されていれば、CNT13BもSAM13Cと同様に対象膜14の形成を阻害する性質を有すると考えられ、対象膜14の形成の阻害において、SAM13Cを補助することができる。この場合には、CNT13B及びSAM13Cをブロッキング層として用いて第1領域A1への対象膜14の形成を阻害することができる。
対象膜14は、例えばCVD法又はALD(Atomic Layer Deposition)法で形成される。対象膜14は、例えば絶縁材料で形成される。第2領域A2に元々存在する絶縁膜12の上に、さらに絶縁膜である対象膜14を選択的に積層できる。
対象膜14は、例えば、ケイ素を含む絶縁材料で形成される。ケイ素を含む絶縁材料は、例えば酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、又は炭化ケイ素(SiC)等である。
以上説明したように、本実施形態によれば、導電膜11の表面に存在する自然酸化膜11Aを還元処理で除去してから、導電膜11の表面にCo製の触媒金属微粒子13Aを形成する。そして、Coを触媒金属として利用して触媒金属微粒子13Aの上にCNT13Bを垂直に成長させる。さらに、SAM13Cを作製するためのチオール系の有機化合物の選択性を利用して、CNT13Bの分子の隙間において導電膜11の表面にSAM13Cを形成する。
CNT13Bは、垂直配向性により導電膜11の表面に対して垂直に成長するので、CNT13Bの分子の隙間から導電膜11の表面に吸着するSAM13Cは、CNT13Bによって矯正されて垂直方向に成長する。このように、CNT13Bを利用することで、SAM13Cが垂直に成長するように形状を制御することができる。
このため、第1領域A1及び第2領域A2の境界におけるSAM13Cの側面(膜厚方向に生じる側面)は、導電膜11の表面に対して垂直になる。すなわち、対象膜14が形成される第2領域A2に隣接する境界が起立した形状を有するようにSAM13Cの形状を制御することができる。
したがって、SAM13Cの形状を制御できる成膜方法を提供することができる。なお、起立した形状とは、SAM13Cの側面が、導電膜11の表面に対して厳密に垂直である場合に限らず、製造誤差等によって垂直からずれている場合も含む趣旨である。
また、このようなSAM13Cの側面に隣接して形成される対象膜14の第1領域A1及び第2領域A2の境界における側面は、導電膜11及び絶縁膜12の表面に対して垂直である。このように対象膜14が垂直な側面を有することは、CNT13B及びSAM13Cをエッチングで除去した後の半導体製造工程等において、ナノメートルレベルの微細化に対応する際に非常に有効的である。
したがって、本実施形態に係る成膜方法によれば、ナノメートルレベルの微細化に対応することができ、生産性の高い半導体製造プロセスを実現することができる。
なお、以上では、マイクロ波プラズマを発生させてCNT13Bを成長させる形態について説明したが、マイクロ波プラズマに限られるものではない。CNT13Bは、熱CVD等によって成長させてもよい。
また、以上では、工程S101から工程S104の処理を同一の処理容器で行い、工程S105のSAM13Cの形成処理と、工程S106の対象膜14の形成処理とは、別の処理容器で行う形態について説明した。
しかしながら、工程S101及びS102と、工程S103及びS014とを別々の処理容器で行ってもよい。
また、工程S104のCNT13Bの形成処理を工程S101からS103の処理とは別の処理容器で行ってもよい。CNT13Bを形成するプロセスの条件等に応じて、適宜処理容器を分けてもよい。
<第2実施形態>
図3は、第2実施形態に係る成膜方法を示すフローチャートである。図4は、図3に示す各工程での基板の状態の一例を示す断面図である。図4(A)〜図4(E)は、それぞれ、図3に示す工程S201〜S205に対応する基板20の状態を示す。
成膜方法は、図4(A)に示すように基板20を準備する工程S201を含む。準備することは、例えば、成膜装置の処理容器の内部に基板20を搬入することを含む。基板20は、導電膜21、防錆膜21A、絶縁膜12、及び下地基板15を含む。
基板20は、図2(A)に示す基板10の銅(Cu)の導電膜11と自然酸化膜11Aをコバルト(Co)の導電膜21と防錆膜21Aにそれぞれ置き換えた構成を有する。
基板20は、第1領域A1及び第2領域A2を有する。導電膜21の一方の面(図4(A)における上面)には防錆膜21Aが設けられている。すなわち、図4(A)では、基板20の表面に防錆膜21A及び絶縁膜12が露出している。
防錆膜21Aは、例えば、導電膜21としてのCuの表面を酸化及び硫化から保護する防錆シールドを塗布した(防錆コーティングを施した)膜であり、具体例としてはBAT(Benzotiriazole:ベンゾトリアゾール)が挙げられる。防錆膜21Aは、導電膜21の表面に形成されている。
成膜方法は、図4(B)に示すように導電膜21の表面から防錆膜21Aを除去する工程S202を含む。例えば、水素(H)とアルゴン(Ar)を含む雰囲気ガスによる水素雰囲気下において、基板20が350℃程度になるようにサセプタを加熱することによって防錆膜21Aを除去することができる。防錆膜21Aは、水素による熱処理で除去される。
成膜方法は、図4(C)に示すように、CNT23Aを形成する工程S203を含む。CNT23Aは、導電膜21の表面に形成され、第1領域A1内で基板10及び導電膜21の表面に対して垂直に成長する。CNT23Aは、後に形成するSAM23Bとともに、後述する対象膜14の形成を阻害する。CNT23Aは、第2領域A2には形成されない。
本実施形態では、導電膜21が触媒としての作用を有するCo膜であるため、CNT23Aは、例えば、メタン(CH)、エチレン(C)、エタン(C)、プロピレン(C)等の炭素を含むガス(炭素源ガス)をプラズマにより分解し、導電膜21の表面に直接成長させることができる。CNT23Aは、垂直配向性により導電膜21の表面に対して垂直に成長する。
導電膜21の表面にCNT23Aを直接成長させるには、第1実施形態の工程S104と同様の処理を行えばよい。また、CNT23Aの高さは、対象膜14よりも高いことが好ましく、一例として10nm〜25nm程度である。
工程S203により、図4(C)に示すように、導電膜21の表面にCNT23Aが形成され、導電膜21、絶縁膜12、CNT23A、及び下地基板15を含む基板20が得られる。図4(C)では、基板20の表面に導電膜21、CNT23A、及び絶縁膜12が露出している。CNT23A同士の間には隙間があり、隙間から導電膜21が露出する。なお、工程S203は、一例として工程S202と同一の処理容器で行うことができる。
成膜方法は、図4(D)に示すように、SAM23Bを形成する工程S204と、図4(E)に示すように、CNT23A及びSAM23Bを用いて第2領域A2に選択的に対象膜14を形成する工程S205を含む。工程S204及びS205は、それぞれ、第1実施形態の工程S105及びS106と同様である。
以上説明したように、本実施形態によれば、導電膜21の表面の防錆膜21Aを除去してから、導電膜21の表面にCNT23Aを垂直に成長させる。さらに、SAM23Bを作製するためのチオール系の有機化合物の選択性を利用して、CNT23Aの分子の隙間において導電膜21の表面にSAM23Bを形成する。
CNT23Aは、垂直配向性により導電膜21の表面に対して垂直に成長するので、CNT23Aの分子の隙間から導電膜21の表面に吸着するSAM23Bは、CNT23Aによって垂直方向に矯正されて成長する。このように、CNT23Aを利用することで、SAM23Bが垂直に成長するように形状を制御することができる。
このため、第1領域A1及び第2領域A2の境界におけるSAM23Bの側面(膜厚方向に生じる側面)は、導電膜21の表面に対して垂直になる。すなわち、対象膜14が形成される第2領域A2に隣接する境界が起立した形状を有するようにSAM23Bの形状を制御することができる。
したがって、SAM23Bの形状を制御できる成膜方法を提供することができる。
また、このようなSAM23Bの側面に隣接して形成される対象膜14の第1領域A1及び第2領域A2の境界における側面は、導電膜21及び絶縁膜12の表面に対して垂直である。このように対象膜14が垂直な側面を有することは、CNT23A及びSAM23Bをエッチングで除去した後の半導体製造工程等において、ナノメートルレベルの微細化に対応する際に非常に有効的である。
したがって、本実施形態に係る成膜方法によれば、ナノメートルレベルの微細化に対応することができ、生産性の高い半導体製造プロセスを実現することができる。
なお、以上では、導電膜21の表面に防錆膜21Aが設けられており、防錆膜21Aを除去してからCNT23Aを形成する形態について説明した。しかしながら、防錆膜21Aが設けられていない場合には、導電膜21の表面の酸化膜を還元して除去してもよい。還元処理は、処理容器内にH2ガスを流して、例えば350℃程度でアニール処理を行うことによって実現可能である。
また、工程S203で導電膜21の表面にCNT23Aを形成する際に、第1実施形態と同様に、導電膜21の表面に触媒金属微粒子を形成してからCNT23Aを形成してもよい。触媒金属微粒子は、CNT23Aの形成において触媒作用を有する金属製であればよく、例えばCo製であればよい。
<成膜システム>
次に、本開示の一実施形態に係る成膜方法を実施するためのシステムについて説明する。
本開示の一実施形態に係る成膜方法は、バッチ装置、枚葉装置、セミバッチ装置のいずれの形態であってもよい。ただし、上記それぞれのステップにおいて最適な温度が異なる場合があり、また、基板の表面が酸化して表面状態が変化したときに各ステップの実施に支障をきたす場合がある。そのような点を考慮すると、各ステップを最適な温度に設定しやすく、かつ全てのステップを真空中で行うことができるマルチチャンバータイプの枚葉式成膜システムが好適である。
以下、このようなマルチチャンバータイプの枚葉式成膜システムについて説明する。
図5は、一実施形態に係る成膜方法を実施するための成膜システムの一例を示す模式図である。ここでは特に断らない限り、基板10に対して処理を行う場合について説明する。
図5に示すように、成膜システム100は、酸化還元処理装置200、SAM形成装置300、対象膜成膜装置400、処理装置500を有している。これら装置は、平面形状が七角形をなす真空搬送室101の4つの壁部にそれぞれゲートバルブGを介して接続されている。真空搬送室101内は、真空ポンプにより排気されて所定の真空度に保持される。すなわち、成膜システム100は、マルチチャンバータイプの真空処理システムであり、上述した成膜方法を、真空を破ることなく連続して行えるものである。
酸化還元処理装置200は、基板10(図2(A)参照)に対する還元処理を触媒金属微粒子13A及びCNT13Bとは別の処理装置で行う場合に用いる処理装置である。
SAM形成装置300は、基板10(図2(D)参照)のSAM13Cと基板20(図4(D)参照)のSAM23Bを形成するために、SAM13C、23Bを形成するためのチオール系の有機化合物のガスを供給して、SAM13C、23Bを選択的に形成する装置である。
対象膜成膜装置400は、基板10(図2(E)参照)と基板20(図4(D)参照)の対象膜14としての酸化ケイ素(SiO)膜等をCVD又はALDにより成膜する装置である。
処理装置500は、基板10(図2(A)参照)に対する還元処理、基板10に対する触媒金属微粒子13Aの形成処理(図2(B)、(C)参照)、CNT13B、23A(図2(D)、図4(C)参照)の形成処理、及び、SAM13C、23B(図2(E)、図4(D)参照)のエッチングによる除去処理を行う処理装置である。
真空搬送室101の他の3つの壁部には3つのロードロック室102がゲートバルブG1を介して接続されている。ロードロック室102を挟んで真空搬送室101の反対側には大気搬送室103が設けられている。3つのロードロック室102は、ゲートバルブG2を介して大気搬送室103に接続されている。ロードロック室102は、大気搬送室103と真空搬送室101との間で基板10を搬送する際に、大気圧と真空との間で圧力制御するものである。
大気搬送室103のロードロック室102の取り付け壁部とは反対側の壁部には基板10を収容するキャリア(FOUP等)Cを取り付ける3つのキャリア取り付けポート105を有している。また、大気搬送室103の側壁には、基板10のアライメントを行うアライメントチャンバ104が設けられている。大気搬送室103内には清浄空気のダウンフローが形成されるようになっている。
真空搬送室101内には、第1の搬送機構106が設けられている。第1の搬送機構106は、酸化還元処理装置200、SAM形成装置300、対象膜成膜装置400、処理装置500、ロードロック室102に対して基板10を搬送する。第1の搬送機構106は、独立に移動可能な2つの搬送アーム107a,107bを有している。
大気搬送室103内には、第2の搬送機構108が設けられている。第2の搬送機構108は、キャリアC、ロードロック室102、アライメントチャンバ104に対して基板10を搬送するようになっている。
成膜システム100は、全体制御部110を有している。全体制御部110は、CPU(コンピュータ)を有する主制御部と、入力装置(キーボード、マウス等)と、出力装置(プリンタ等)と、表示装置(ディスプレイ等)と、記憶装置(記憶媒体)とを有している。主制御部は、酸化還元処理装置200、SAM形成装置300、対象膜成膜装置400、処理装置500、真空搬送室101、およびロードロック室102の各構成部等を制御する。全体制御部110の主制御部は、例えば、記憶装置に内蔵された記憶媒体、または記憶装置にセットされた記憶媒体に記憶された処理レシピに基づいて、成膜システム100に、第1実施形態及び第2実施形態の成膜方法を行うための動作を実行させる。なお、各装置に下位の制御部を設け、全体制御部110を上位の制御部として構成してもよい。
以上のように構成される成膜システムにおいては、第2の搬送機構108により大気搬送室103に接続されたキャリアCから基板10を取り出し、アライメントチャンバ104を経由した後に、いずれかのロードロック室102内に搬入する。そして、ロードロック室102内を真空排気した後、第1の搬送機構106により、基板10を、酸化還元処理装置200、SAM形成装置300、対象膜成膜装置400、および処理装置500に搬送して、第1実施形態又は第2実施形態の成膜処理を行う。その後、必要に応じて、処理装置500によりSAM13Cのエッチング除去を行う。
以上の処理が終了した後、第1の搬送機構106により基板10をいずれかのロードロック室102に搬送し、第2の搬送機構108によりロードロック室102内の基板10をキャリアCに戻す。
以上のような処理を、複数の基板10について同時並行的に行って、所定枚数の基板10の選択的成膜処理が完了する。
これらの各処理を独立した枚葉装置で行うので、各処理に最適な温度に設定しやすく、また、一連の処理を真空を破ることなく行えるので、処理の過程での酸化を抑制することができる。
<成膜処理およびSAM形成装置の例>
次に、酸化還元処理装置200、対象膜成膜装置400のような成膜装置、およびSAM形成装置300の一例について説明する。
図6は、成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。
酸化還元処理装置200、対象膜成膜装置400のような成膜装置、およびSAM形成装置300は、同様の構成を有する装置とすることができ、例えば図6に示すような処理装置600として構成することができる。
処理装置600は、気密に構成された略円筒状の処理容器(チャンバ)601を有しており、その中には基板10を水平に支持するためのサセプタ602が、処理容器601の底壁中央に設けられた円筒状の支持部材603により支持されて配置されている。サセプタ602にはヒーター605が埋め込まれており、このヒーター605はヒーター電源606から給電されることにより基板10を所定の温度に加熱する。なお、サセプタ602には、基板10を支持して昇降させるための複数のウエハ昇降ピン(図示せず)がサセプタ602の表面に対して突没可能に設けられている。
処理容器601の天壁には、成膜またはSAM形成のための処理ガスを処理容器601内にシャワー状に導入するためのシャワーヘッド610がサセプタ602と対向するように設けられている。シャワーヘッド610は、後述するガス供給機構630から供給されたガスを処理容器601内に吐出するためのものであり、その上部にはガスを導入するためのガス導入口611が形成されている。また、シャワーヘッド610の内部にはガス拡散空間612が形成されており、シャワーヘッド610の底面にはガス拡散空間612に連通した多数のガス吐出孔613が形成されている。
処理容器601の底壁には、下方に向けて突出する排気室621が設けられている。排気室621の側面には排気配管622が接続されており、この排気配管622には真空ポンプや圧力制御バルブ等を有する排気装置623が接続されている。そして、この排気装置623を作動させることにより処理容器601内を所定の減圧(真空)状態とすることが可能となっている。
処理容器601の側壁には、真空搬送室101との間で基板10を搬入出するための搬入出口627が設けられており、搬入出口627はゲートバルブGにより開閉されるようになっている。
ガス供給機構630は、対象膜14の成膜、またはSAM13Cの形成に必要なガスの供給源と、各供給源からガスを供給する個別配管、個別配管に設けられた開閉バルブおよびガスの流量制御を行うマスフローコントローラのような流量制御器等を有し、さらに、個別配管からのガスをガス導入口611を介してシャワーヘッド610に導くガス供給配管635を有している。
ガス供給機構630は、処理装置600が対象膜14として酸化ケイ素(SiO)のALD成膜を行う場合、有機化合物原料ガスと反応ガスをシャワーヘッド610に供給する。さらに、ガス供給機構630は、処理装置600がSAMの形成を行う場合、SAMを形成するための化合物の蒸気を処理容器601内に供給する。また、ガス供給機構630は、パージガスや伝熱ガスとしてNガスやArガス等の不活性ガスも供給できるように構成されている。
このように構成される処理装置600においては、ゲートバルブGを開にして搬入出口627から基板10を処理容器601内に搬入し、サセプタ602上に載置する。サセプタ602はヒーター605により所定温度に加熱されており、処理容器601内に不活性ガスが導入されることによりウエハが加熱される。そして、排気装置623の真空ポンプにより処理容器601内を排気して、処理容器601内の圧力を所定圧力に調整する。
次いで、処理装置600が対象膜14として酸化ケイ素(SiO)のALD成膜を行う場合、ガス供給機構630から、有機化合物原料ガスと反応ガスを、処理容器601内のパージを挟んで交互に処理容器601内に供給する。さらに、処理装置600がSAMの形成を行う場合、ガス供給機構630から、SAMを形成するための有機化合物の蒸気を処理容器601内に供給する。
以上、本開示に係る成膜方法の実施形態について説明したが、本開示は上記実施形態等に限定されない。特許請求の範囲に記載された範疇内において、各種の変更、修正、置換、付加、削除、及び組合わせが可能である。それらについても当然に本開示の技術的範囲に属する。
10、20 基板
11、21 導電膜
11A 自然酸化膜
12 絶縁膜
13A 触媒金属微粒子
13B、23A CNT
13C、23B SAM
14 対象膜
15 下地基板
21A 防錆膜

Claims (9)

  1. 基板上へ対象膜を形成する成膜方法であって、
    第1領域の表面に形成された第1導電材料の層と、第2領域の表面に形成された絶縁材料の層とを有する前記基板を準備する工程と、
    前記第1導電材料の層の表面にカーボンナノチューブを形成する工程と、
    自己組織化膜の原料ガスを供給し、前記第1導電材料の層の表面のうち前記カーボンナノチューブが形成されていない領域に自己組織化膜を形成する工程と、
    を含む、成膜方法。
  2. 前記基板を準備する工程の後で、前記カーボンナノチューブを形成する工程の前に、前記第1導電材料の層の表面の酸化層を還元する工程をさらに含む、請求項1に記載の成膜方法。
  3. 前記基板を準備する工程の後で、前記カーボンナノチューブを形成する工程の前に、前記第1導電材料の層の表面の防錆剤を除去する工程をさらに含む、請求項1に記載の成膜方法。
  4. 前記第1導電材料の層の表面に、前記カーボンナノチューブを形成する工程において触媒作用をもたらす第2導電材料の粒子を形成する工程をさらに含み、
    前記カーボンナノチューブを形成する工程は、前記第1導電材料の層の表面の前記粒子の上にカーボンナノチューブを形成する工程である、請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記第2導電材料は、コバルト又はニッケルである、請求項4に記載の成膜方法。
  6. 前記第1導電材料は、銅、コバルト、又はニッケルである、請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記絶縁材料は、ケイ素を含む絶縁材料である、請求項1乃至6のいずれか一項に記載の成膜方法。
  8. 前記自己組織化膜の材料は、チオール系の自己組織化膜の材料である、請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記絶縁材料の層の表面に前記対象膜を形成する工程をさらに含む、請求項1乃至8のいずれか一項に記載の成膜方法。
JP2019173471A 2019-09-24 2019-09-24 成膜方法 Withdrawn JP2021052070A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019173471A JP2021052070A (ja) 2019-09-24 2019-09-24 成膜方法
PCT/JP2020/035097 WO2021060110A1 (ja) 2019-09-24 2020-09-16 成膜方法
KR1020227012175A KR102582899B1 (ko) 2019-09-24 2020-09-16 성막 방법
US17/762,230 US20230148162A1 (en) 2019-09-24 2020-09-16 Film-forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019173471A JP2021052070A (ja) 2019-09-24 2019-09-24 成膜方法

Publications (1)

Publication Number Publication Date
JP2021052070A true JP2021052070A (ja) 2021-04-01

Family

ID=75158049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019173471A Withdrawn JP2021052070A (ja) 2019-09-24 2019-09-24 成膜方法

Country Status (4)

Country Link
US (1) US20230148162A1 (ja)
JP (1) JP2021052070A (ja)
KR (1) KR102582899B1 (ja)
WO (1) WO2021060110A1 (ja)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955483B2 (en) * 2002-03-18 2011-06-07 Honeywell International Inc. Carbon nanotube-based glucose sensor
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2007024186A2 (en) * 2005-08-26 2007-03-01 Smoltek Ab Interconnects and heat dissipators based on nanostructures
JP2007096129A (ja) * 2005-09-29 2007-04-12 Kyoto Univ 分子トランジスタおよびその製造方法、並びにそれを用いた不揮発性メモリおよび圧電センサ
JP2008233030A (ja) * 2007-03-23 2008-10-02 Horiba Ltd 可燃性ガスセンサ及びそれの製造方法
KR100963204B1 (ko) * 2007-10-15 2010-06-10 고려대학교 산학협력단 플렉시블 투명전극 제조 방법
KR101499075B1 (ko) * 2013-08-05 2015-03-09 (주) 파루 유전막 표면 개질용 저전압 트랜지스터 및 이의 제조 방법
JP6318744B2 (ja) * 2014-03-18 2018-05-09 東京エレクトロン株式会社 半導体装置の製造方法
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition

Also Published As

Publication number Publication date
WO2021060110A1 (ja) 2021-04-01
US20230148162A1 (en) 2023-05-11
KR20220058634A (ko) 2022-05-09
KR102582899B1 (ko) 2023-09-26

Similar Documents

Publication Publication Date Title
US10790138B2 (en) Method and system for selectively forming film
US11598001B2 (en) Film forming method
WO2021161830A1 (ja) 成膜方法
US20210087691A1 (en) Film forming method
WO2021060111A1 (ja) 成膜方法
WO2021060110A1 (ja) 成膜方法
KR102497066B1 (ko) 성막 방법
WO2020184284A1 (ja) 成膜方法および成膜装置
JP5339397B2 (ja) 電子デバイスの製造方法
WO2023153284A1 (ja) 成膜方法及び成膜装置
WO2023176535A1 (ja) 成膜方法及び成膜装置
WO2022070909A1 (ja) 成膜方法及び成膜装置
WO2023276795A1 (ja) 成膜方法及び成膜装置
WO2021060109A1 (ja) 成膜方法
WO2024070696A1 (ja) 成膜方法及び成膜装置
WO2023132245A1 (ja) 成膜方法及び成膜装置
WO2022190889A1 (ja) 成膜方法および成膜システム
JP2024019774A (ja) 成膜方法および成膜装置
JP2022099153A (ja) 成膜方法、成膜装置、及び自己組織化単分子膜の原料

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220628

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20221227