WO2020184284A1 - 成膜方法および成膜装置 - Google Patents

成膜方法および成膜装置 Download PDF

Info

Publication number
WO2020184284A1
WO2020184284A1 PCT/JP2020/008869 JP2020008869W WO2020184284A1 WO 2020184284 A1 WO2020184284 A1 WO 2020184284A1 JP 2020008869 W JP2020008869 W JP 2020008869W WO 2020184284 A1 WO2020184284 A1 WO 2020184284A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
region
forming
substrate
gas
Prior art date
Application number
PCT/JP2020/008869
Other languages
English (en)
French (fr)
Inventor
秀司 東雲
進一 池
河野 有美子
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US17/593,074 priority Critical patent/US11788185B2/en
Priority to KR1020217032228A priority patent/KR102651431B1/ko
Publication of WO2020184284A1 publication Critical patent/WO2020184284A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Definitions

  • the present disclosure relates to a film forming method and a film forming apparatus.
  • Patent Document 1 discloses a technique for selectively forming a target film in a specific region of a substrate without using a photolithography technique. Specifically, there is a technique of forming a self-assembled monolayer (SAM) that inhibits the formation of a target film in a part of the substrate and forming the target film in the remaining region of the substrate. It is disclosed.
  • SAM self-assembled monolayer
  • One aspect of the present disclosure provides a technique capable of removing a SAM that inhibits the formation of a target film from a specific region of a substrate before the formation of the target film.
  • the film forming method of one aspect of the present disclosure is A step of preparing a substrate having a first region where the first material is exposed and a second region where a second material different from the first material is exposed. A step of selectively forming an interlayer film in the second region of the first region and the second region by supplying a processing gas to the substrate. After forming the interlayer film, a step of forming a self-assembled monolayer in the first region and the second region, and A step of sublimating the interlayer film by heating the substrate and removing the interlayer film and the self-assembled monolayer from the second region. After the sublimation of the interlayer film, the target film is selectively formed in the second region of the first region and the second region while the self-assembled monolayer remains in the first region. Includes steps.
  • the SAM that inhibits the formation of the target film can be removed from a specific region of the substrate before the formation of the target film.
  • FIG. 1 is a flowchart showing a film forming method according to the first embodiment.
  • FIG. 2 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 3 is a flowchart showing a film forming method according to the second embodiment.
  • FIG. 4 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 5 is a flowchart showing a film forming method according to the third embodiment.
  • FIG. 6 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 7 is a flowchart showing a film forming method according to the fourth embodiment.
  • FIG. 8 is a side view showing an example of the state of the substrate in each step shown in FIG. 7.
  • FIG. 9 is a cross-sectional view showing an example of a film forming apparatus that implements the film forming method shown in FIGS. 1 to 8.
  • FIG. 1 is a flowchart showing a film forming method according to the first embodiment.
  • FIG. 2 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 2A shows the state of the substrate prepared in step S101
  • FIG. 2B shows the state of the substrate obtained in step S102
  • FIG. 2C shows the state of the substrate obtained in step S103.
  • 2 (d) shows the state of the substrate obtained in step S104
  • FIG. 2 (e) shows the state of the substrate obtained in step S105.
  • the film forming method includes a step S101 for preparing the substrate 10 as shown in FIG. 2A.
  • the preparation includes, for example, carrying the substrate 10 into the processing container 120 (see FIG. 9) described later.
  • the substrate 10 has a first region A1 where the first material is exposed and a second region A2 where a second material different from the first material is exposed.
  • the first region A1 and the second region A2 are provided on one side of the substrate 10 in the plate thickness direction.
  • the number of the first region A1 is one in FIG. 2A, but it may be plural.
  • two first regions A1 may be arranged so as to sandwich the second region A2.
  • the number of the second region A2 is one in FIG. 2A, but may be plural.
  • two second regions A2 may be arranged so as to sandwich the first region A1.
  • the third region is a region where a third material different from the first material and the second material is exposed.
  • the third region may be arranged between the first region A1 and the second region A2, or may be arranged outside the first region A1 and the second region A2.
  • the first material is, for example, an oxide formed by surface oxidation of a conductive material.
  • the conductive material is, for example, a metal such as Cu, W, Co, or Ru. The surfaces of these metals are naturally oxidized over time in the atmosphere.
  • the oxide is the first material.
  • the first material is an oxide formed by surface oxidation of the conductive material in the present embodiment, it may be a conductive material.
  • the oxide film can be removed by a reduction treatment.
  • the second material is, for example, an insulating material containing silicon (Si), such as silicon oxide, silicon nitride, silicon oxynitride, or silicon carbide.
  • silicon oxide is also referred to as "SiO" regardless of the composition ratio of oxygen and silicon.
  • silicon nitride is also referred to as SiN
  • silicon nitride is also referred to as SiON
  • silicon carbide is also referred to as SiC.
  • the second material is SiO in this embodiment.
  • the substrate 10 has, for example, a conductive film 11 formed of the above conductive material and an insulating film 12 formed of the above insulating material.
  • a metal oxide film 13 is naturally formed on the surface of the conductive film 11 with the passage of time in the atmosphere. The metal oxide film 13 can be removed by a reduction treatment.
  • the substrate 10 has a base substrate 14 on which the conductive film 11 and the insulating film 12 are formed.
  • the base substrate 14 is a semiconductor substrate such as a silicon wafer.
  • the base substrate 14 may be a glass substrate or the like.
  • the substrate 10 may further have a base film formed of a material different from that of the base substrate 14 and the conductive film 11 between the base substrate 14 and the conductive film 11. Similarly, the substrate 10 may further have a base film formed of a material different from the base substrate 14 and the insulating film 12 between the base substrate 14 and the insulating film 12.
  • the interlayer film 20 is selectively applied to the second region A2 of the first region A1 and the second region A2 by supplying the processing gas to the substrate 10.
  • the step S102 for forming is included.
  • the interlayer film 20 is heated and sublimated after the formation of the SAM (self-assembled monolayer) 30 described later.
  • the intermediate film 20 may or may not be formed in the third region.
  • the interlayer film 20 is, for example, a film of ammonium silicate ((NH 4 ) 2 SiF 6 ). Since the ammonium silicate film sublimates at a relatively low temperature (for example, 90 ° C. or higher and 120 ° C. or lower), it can be easily sublimated and SAM30 can be removed from the second region A2.
  • a relatively low temperature for example, 90 ° C. or higher and 120 ° C. or lower
  • the processing gas used for forming the interlayer film 20 includes, for example, hydrogen fluoride (HF) gas and ammonia (NH 3 ) gas.
  • HF hydrogen fluoride
  • NH 3 ammonia
  • the processing gas containing the HF gas and the NH 3 gas chemically reacts with SiO to form a film of ammonium silicate.
  • the processing gas used for forming the interlayer film 20 may contain an inert gas such as nitrogen (N 2 ) gas or argon (Ar) gas in addition to the HF gas and NH 3 gas.
  • an inert gas such as nitrogen (N 2 ) gas or argon (Ar) gas in addition to the HF gas and NH 3 gas.
  • the inert gas to dilute the HF gas and NH 3 gas.
  • the conductive film 11 is formed of a metal and does not contain silicon (Si) which is a semimetal, SiO is not exposed in the first region A1 even if the conductive film 11 is naturally oxidized. That is, the first material is not SiO. Therefore, a film of ammonium silicate is not formed in the first region A1. This is because the ammonium silicate film is formed in the exposed region of SiO.
  • the second material is SiO
  • SiO is exposed in the second region A2. Therefore, a film of ammonium silicate is selectively formed in the second region A2.
  • the second material is SiC, SiON, SiC or the like will be described later.
  • ammonium silicate is performed in a reduced pressure atmosphere.
  • the formation of ammonium silicate is carried out at, for example, 50 ° C. or lower, preferably 30 ° C. or lower, in order to suppress its sublimation.
  • the film forming method includes a step S103 of forming the SAM 30 in the first region A1 and the second region A2 after the interlayer film 20 is formed.
  • the SAM 30 is formed by chemically adsorbing an organic compound on the substrate 10 and inhibits the formation of the target film 40 described later.
  • the organic compound forming the SAM 30 is not particularly limited, but is, for example, a silane compound.
  • Coupling agent R and R'are functional groups such as an alkyl group or a group in which at least a part of hydrogen of the alkyl group is substituted with fluorine.
  • the terminal group of the functional group may be either CH type or CF type.
  • OR is a hydrolyzable functional group such as a methoxy group or an ethoxy group.
  • An example of a silane coupling agent is octamethyltrimethoxysilane (OTS).
  • the silane compound is supplied to the substrate 10 in the form of gas, for example, and is chemically adsorbed on the substrate 10. Since a silane compound is easily chemically adsorbed on a surface having an OH group, it is more easily chemically adsorbed on a metal compound than a metal, and particularly easily on a metal oxide. Therefore, in order to chemically adsorb the silane compound to the first region A1, an oxide formed by surface oxidation of the conductive material is used as the material exposed to the first region A1.
  • the film forming method includes a step S104 of sublimating the interlayer film 20 by heating the substrate 10 in order to remove the interlayer film 20 and SAM 30 from the second region A2.
  • the sublimation of the interlayer film 20 causes the SAM 30 to be lifted off.
  • the SAM 30 is selectively removed from the second region A2. Since the interlayer film 20 is not formed in the first region A1, the SAM 30 remains in the first region A1.
  • the interlayer film 20 is, for example, an ammonium silicate film. Since the ammonium silicate film sublimates at a relatively low temperature (for example, 90 ° C. or higher and 120 ° C. or lower), the SAM30 can be removed from the second region A2 while suppressing the thermal decomposition of the SAM30 in the first region A1.
  • the SAM 30 can be removed by the dry treatment, the occurrence of watermarks and the like can be suppressed. Further, since the SAM 30 can be removed without plasma, damage to the substrate 10 can be suppressed.
  • the film forming method uses the SAM 30 remaining in the first region A1 after the sublimation of the interlayer film 20 to form the second region A2 of the first region A1 and the second region A2.
  • the step S105 for selectively forming the target film 40 is included.
  • the target film 40 is formed of a material different from that of the SAM 30, such as a metal, a metal compound, or a semiconductor. Since the SAM 30 inhibits the formation of the target film 40, the target film 40 is selectively formed in the second region A2.
  • the target film 40 may or may not be formed in the third region.
  • the target film 40 is formed by, for example, a CVD (Chemical Vapor Deposition) method or an ALD (Atomic Layer Deposition) method.
  • the target film 40 is formed of, for example, an insulating material.
  • the target film 40 which is an insulating film, can be further laminated on the insulating film 12 originally existing in the second region A2.
  • the target film 40 is formed of, for example, an insulating material containing silicon.
  • the insulating material containing silicon is, for example, silicon oxide (SiO), silicon nitride (SiN), silicon nitride (SiON), or silicon carbide (SiC).
  • the target film 40 is an insulating material containing silicon
  • the target film 40 is SiO
  • the SAM 30 is formed in both the first region A1 and the second region A2. Therefore, an oxidation treatment for selectively forming the SAM 30 or Surface modification treatment such as reduction treatment becomes unnecessary. It is difficult to apply the oxidation treatment or the reduction treatment to only one of the first region A1 and the second region A2, but such a surface modification treatment becomes unnecessary.
  • the selective formation of the interlayer film 20 can be easily carried out because it utilizes the difference in the exposed materials.
  • the interlayer film 20 is selectively formed in the second region A2, and the SAM 30 is removed from the second region A2 by sublimating the interlayer film 20. That is, according to the present embodiment, the lift-off of the SAM 30 is carried out by the sublimation of the interlayer film 20. Therefore, before the formation of the target film 40, the SAM 30 that inhibits the formation of the target film 40 can be removed from the second region A2. As a result, the region where the SAM 30 is formed can be limited to the first region A1, and the region where the target film 40 is formed can be limited to the second region A2.
  • the film forming method may include another step between the preparation of the substrate 10 (step S101) and the formation of the interlayer film 20 (step S102).
  • step S101 preparation of the substrate 10
  • step S102 formation of the interlayer film 20
  • a step of growing the metal oxide film 13 or a step of increasing the density of OH groups of the metal oxide film 13 can be mentioned.
  • the silane compound can be chemisorbed densely in the first region A1, and the target film 40 can be selectively formed by the second region A2.
  • the growth of the metal oxide film 13 is carried out by actively oxidizing the surface of the conductive film 11. Further, the density of OH groups is increased, for example, by exposing the metal oxide film 13 to hydrogen plasma. Although hydrogen plasma can increase the density of OH groups, it thins the metal oxide film 13, so it is effective to grow the metal oxide film 13 in advance. The growth of the metal oxide film 13 is, in other words, an increase in the film thickness of the metal oxide film 13.
  • FIG. 3 is a flowchart showing a film forming method according to the second embodiment.
  • FIG. 4 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 4A shows the state of the substrate prepared in step S101
  • FIG. 4B shows the state of the substrate obtained in step S201
  • FIG. 4C shows the state of the substrate obtained in step S102.
  • 4 (d) shows the state of the substrate obtained in step S103
  • FIG. 4 (e) shows the state of the substrate obtained in step S104
  • FIG. 4 (f) shows the state of the substrate obtained in step S105. Is shown.
  • the differences between the film forming method of the present embodiment and the film forming method of the first embodiment will be mainly described.
  • the film forming method includes a step S101 for preparing the substrate 10.
  • the substrate 10 has a first region A1 where the first material is exposed and a second region A2 where a second material different from the first material is exposed.
  • the second material is an insulating material containing silicon other than SiO, such as SiN, SiON or SiC. Since SiO is not exposed in the second region A2, the interlayer film 20 is not formed in the second region A2 as it is. This is because the ammonium silicate film, which is the intermediate film 20, is formed in the exposed region of SiO.
  • the film forming method forms the silicon oxide film 15 exposed to the second region A2 by surface-oxidizing the second region A2 before forming the intermediate film 20.
  • the silicon oxide film 15 oxidizes the surface of the insulating film 12 formed of, for example, SiN, SiON, or SiC by oxygen (O 2 ) gas, ozone (O 3 ) gas, or water vapor (H 2 O) gas. Formed by exposure to gas. Oxidation may be carried out at room temperature or at a high temperature to promote oxidation. Further, in order to promote oxidation, the oxidation gas may be turned into plasma. Oxidation may be performed by a wet treatment instead of a dry treatment.
  • the first region A1 can also be surface-oxidized, and the metal oxide film 13 can grow. Since the surface of the conductive film 11 is oxidized in the metal oxide film 13, SiO is not exposed in the first region A1.
  • the interlayer film 20 is selectively applied to the second region A2 of the first region A1 and the second region A2 by supplying the processing gas to the substrate 10.
  • the step S102 for forming is included.
  • the interlayer film 20 is, for example, an ammonium silicate film.
  • the ammonium silicate film is not formed in the first region A1 where the SiO is not exposed, but is selectively formed in the second region A2 where the SiO is exposed.
  • FIG. 4C all of the silicon oxide film 15 shown in FIG. 4B is replaced with the intermediate film 20, and the silicon oxide film 15 does not remain between the intermediate film 20 and the insulating film 12.
  • the silicon oxide film 15 may remain. This is because even if the silicon oxide film 15 remains, the SAM 30 can be lifted off by sublimation of the intermediate film 20.
  • the film forming method includes forming the SAM 30 shown in FIG. 4 (d) (step S103), sublimating the intermediate film 20 shown in FIG. 4 (e) (step S104), and forming the target film 40 shown in FIG. 4 (f).
  • the formation step S105 is included. Since these steps S103 to S105 are the same as the steps S103 to S105 of the first embodiment, the description thereof will be omitted.
  • the SAM 30 is formed in both the first region A1 and the second region A2. Since the interlayer film 20 is selectively formed in the two regions A2 and the SAM 30 is removed from the second region A2 by sublimating the interlayer film 20, the same effect as that of the first embodiment can be obtained.
  • the silicon oxide film 15 exposed to the second region A2 is formed by surface-oxidizing the second region A2 before the intermediate film 20 is formed.
  • the silicon oxide film 15 is formed by, for example, oxidizing the surface of an insulating film 12 formed of SiN, SiON, SiC, or the like. Even when the insulating film 12 is formed of an insulating material containing silicon other than SiO, SiO can be exposed in the second region A2, and as a result, a film of ammonium silicate can be formed in the second region A2.
  • FIG. 5 is a flowchart showing a film forming method according to the third embodiment.
  • FIG. 6 is a side view showing an example of the state of the substrate in each step shown in FIG.
  • FIG. 6A shows the state of the substrate prepared in step S101
  • FIG. 6B shows the state of the substrate obtained in step S301
  • FIG. 6C shows the state of the substrate obtained in step S102.
  • 6 (d) shows the state of the substrate obtained in step S103
  • FIG. 6 (e) shows the state of the substrate obtained in step S104
  • FIG. 6 (f) shows the state of the substrate obtained in step S105. Is shown.
  • the differences between the film forming method of the present embodiment and the film forming method of the first embodiment will be mainly described.
  • the film forming method includes a step S101 for preparing the substrate 10.
  • the substrate 10 has a first region A1 where the first material is exposed and a second region A2 where a second material different from the first material is exposed.
  • the first material is an oxide formed by surface oxidation of a conductive material.
  • the conductive material is, for example, a metal such as Cu, W, Co, or Ru. The surfaces of these metals are naturally oxidized over time in the atmosphere.
  • the oxide is the first material.
  • the second material is SiO.
  • a thiol compound is used as the material of the SAM 30 instead of the silane compound.
  • the thiol-based compound is, for example, a compound represented by the general formula R-SH.
  • R is an aliphatic hydrocarbon group, a carbon atom or a hydrogen atom of an aliphatic hydrocarbon group substituted with a heteroatom, an aromatic hydrocarbon group, or a carbon atom or a hydrogen atom of an aromatic hydrocarbon group. Is replaced with a heteroatom.
  • the thiol compound is supplied to the substrate 10 in a gas state and chemisorbs on the substrate 10. Unlike silane compounds, thiol compounds are basically chemisorbed on metals without being chemisorbed on metal compounds. Since the first material exposed in the first region A1 is an oxide formed by surface oxidation of the conductive material, SAM30 is not formed in the first region A1 as it is.
  • the film forming method includes a step S301 of removing the metal oxide film 13 exposed in the first region A1 before forming the interlayer film 20.
  • the metal oxide film 13 is, for example, one in which the surface of the conductive film 11 is naturally oxidized. By removing the metal oxide film 13, the conductive film 11 can be exposed and the thiol compound can be chemically adsorbed on the conductive film 11.
  • the removal of the metal oxide film 13 is carried out, for example, by exposing the metal oxide film 13 to a reducing gas such as formic acid (CH 2 O 2 ) gas or hydrogen (H 2 ) gas. Hydrogen gas is usually turned into plasma.
  • a reducing gas such as formic acid (CH 2 O 2 ) gas or hydrogen (H 2 ) gas. Hydrogen gas is usually turned into plasma.
  • the removal of the metal oxide film 13 may be carried out by a wet treatment instead of a dry treatment, and may be carried out by, for example, washing with dilute hydrofluoric acid.
  • the insulating film 12 is hardly reduced. This is because the SiO forming the insulating film 12 is superior in stability to the oxide of a metal such as Cu, W, Co or Ru. Therefore, after the metal oxide film 13 is removed, SiO remains exposed in the second region A2.
  • the film forming method includes forming the interlayer film 20 shown in FIG. 6 (c) (step S102), forming the SAM 30 shown in FIG. 6 (d) (step S103), and forming the interlayer film 20 shown in FIG. 6 (e). Sublimation (step S104) and formation of the target film 40 (step S105) shown in FIG. 6 (f) are included. Since these steps S102 to S105 are the same as the steps S102 to S105 of the first embodiment, the description thereof will be omitted.
  • the thiol-based compound basically chemically adsorbs to the metal without chemically adsorbing to the metal compound.
  • the selectivity is not perfect, the thiol-based compound is slightly adsorbed on the metal compound. Therefore, in step S103, the SAM 30 is formed not only in the first region A1 but also in the second region A2. Such a break in selectivity can be eliminated by the lift-off of the SAM 30 accompanying the sublimation of the interlayer film 20, and the SAM 30 can be left only in the first region A1.
  • the SAM 30 is formed in both the first region A1 and the second region A2. Since the interlayer film 20 is selectively formed in the two regions A2 and the SAM 30 is removed from the second region A2 by sublimating the interlayer film 20, the same effect as that of the first embodiment can be obtained.
  • the metal oxide film 13 exposed to the first region A1 is removed before the intermediate film 20 is formed.
  • the metal oxide film 13 is, for example, one in which the surface of the conductive film 11 is naturally oxidized. By removing the metal oxide film 13, the conductive film 11 can be exposed and the thiol compound can be chemically adsorbed on the conductive film 11.
  • FIG. 7 is a flowchart showing a film forming method according to the fourth embodiment.
  • FIG. 8 is a side view showing an example of the state of the substrate in each step shown in FIG. 7.
  • FIG. 8A shows the state of the substrate prepared in step S101
  • FIG. 8B shows the state of the substrate obtained in step S401
  • FIG. 8C shows the state of the substrate obtained in step S402.
  • 8 (d) shows the state of the substrate obtained in step S102
  • FIG. 8 (e) shows the state of the substrate obtained in step S103
  • FIG. 8 (f) shows the state of the substrate obtained in step S104.
  • 8 (g) shows the state of the substrate obtained in step S105.
  • the differences between the film forming method of the present embodiment and the film forming method of the first embodiment will be mainly described.
  • the film forming method includes a step S101 for preparing the substrate 10.
  • the substrate 10 has a first region A1 where the first material is exposed and a second region A2 where a second material different from the first material is exposed.
  • the first material is an oxide formed by surface oxidation of a conductive material.
  • the conductive material is, for example, a metal such as Cu, W, Co, or Ru. The surfaces of these metals are naturally oxidized over time in the atmosphere.
  • the oxide is the first material.
  • the second material is an insulating material containing silicon other than SiO, as in the second embodiment, and is, for example, SiN, SiON, or SiC. Since SiO is not exposed in the second region A2, the interlayer film 20 is not formed in the second region A2 as it is. This is because the ammonium silicate film, which is the intermediate film 20, is formed in the exposed region of SiO.
  • the film forming method forms the silicon oxide film 15 exposed to the second region A2 by surface-oxidizing the second region A2 before forming the intermediate film 20.
  • the step S401 is included. Since this step S401 is the same as the step S201 of the second embodiment, the description thereof will be omitted.
  • a thiol compound is used as the material of the SAM 30 instead of the silane compound, as in the third embodiment.
  • thiol compounds are basically chemisorbed on metals without being chemisorbed on metal compounds. Since the first material exposed in the first region A1 is an oxide formed by surface oxidation of the conductive material, SAM30 is not formed in the first region A1 as it is.
  • the film forming method is a step of removing the metal oxide film 13 exposed in the first region A1 after the formation of the silicon oxide film 15 and before the formation of the intermediate film 20.
  • the reason why the metal oxide film 13 is removed after the formation of the silicon oxide film 15 is that the silicon oxide film 15 is superior in stability to the metal oxide film 13. That is, the silicon oxide film 15 is also thinned when the metal oxide film 13 is removed, but the thinning rate of the silicon oxide film 15 is slower than the thinning rate of the metal oxide film 13, so the silicon oxide film 15 is left. This is because the metal oxide film 13 can be removed as it is.
  • the film forming method includes the formation of the interlayer film 20 shown in FIG. 8 (d) (step S102), the formation of the SAM 30 shown in FIG. 8 (e) (step S103), and the forming of the interlayer film 20 shown in FIG. 8 (f).
  • Sublimation (step S104) and formation of the target film 40 (step S105) shown in FIG. 8 (g) are included. Since these steps S102 to S105 are the same as the steps S102 to S105 of the first embodiment, the description thereof will be omitted.
  • the SAM 30 is formed in both the first region A1 and the second region A2. Since the interlayer film 20 is selectively formed in the two regions A2 and the SAM 30 is removed from the second region A2 by sublimating the interlayer film 20, the same effect as that of the first embodiment can be obtained.
  • the second region A2 is surface-oxidized by surface-oxidizing the second region A2 before the interlayer film 20 is formed, as in the second embodiment. Since the silicon oxide film 15 exposed to the surface is formed, the same effect as that of the second embodiment can be obtained.
  • the metal oxide film 13 exposed to the first region A1 is removed before the intermediate film 20 is formed, as in the third embodiment.
  • the same effect as that of the third embodiment can be obtained.
  • the reason why the metal oxide film 13 is removed after the formation of the silicon oxide film 15 is that the silicon oxide film 15 is superior in stability to the metal oxide film 13.
  • FIG. 9 is a cross-sectional view showing an example of a film forming apparatus that implements the film forming method shown in FIGS. 1 to 8.
  • the film forming apparatus 100 includes a processing unit 110, a transport device 170, and a control device 180.
  • the processing unit 110 includes a processing container 120, a substrate holding unit 130, a heater 140, a gas supply device 150, and a gas discharge device 160.
  • the plurality of processing units 110 form a so-called multi-chamber system.
  • the plurality of processing units 110 are arranged so as to surround the vacuum transfer chamber 101.
  • the vacuum transfer chamber 101 is exhausted by a vacuum pump and maintained at a preset degree of vacuum.
  • the transfer device 170 is arranged so as to be movable in the vertical direction and the horizontal direction and rotatably around the vertical axis.
  • the transport device 170 transports the substrate 10 to the plurality of processing containers 120.
  • the processing chamber 121 inside the processing container 120 and the vacuum transfer chamber 101 communicate with each other when the atmospheric pressure is lower than the atmospheric pressure, and the substrate 10 is carried in and out.
  • the air transport chamber is provided instead of the vacuum transport chamber 101, it is possible to prevent the air from flowing from the air transport chamber into the inside of the processing chamber 121 when the substrate 10 is carried in and out.
  • the waiting time for lowering the air pressure in the processing chamber 121 can be reduced, and the processing speed of the substrate 10 can be improved.
  • the processing container 120 has a carry-in outlet 122 through which the substrate 10 passes.
  • the carry-in outlet 122 is provided with a gate G that opens and closes the carry-in outlet 122.
  • the gate G basically closes the carry-in outlet 122, and opens the carry-in outlet 122 when the substrate 10 passes through the carry-in outlet 122.
  • the substrate holding unit 130 holds the substrate 10 inside the processing container 120.
  • the substrate holding portion 130 holds the substrate 10 horizontally from below with the surface of the substrate 10 exposed to the processing gas facing upward.
  • the substrate holding portion 130 is a single-wafer type and holds one substrate 10.
  • the substrate holding unit 130 may be a batch type or may hold a plurality of substrates 10 at the same time.
  • the batch type substrate holding unit 130 may hold a plurality of substrates 10 at intervals in the vertical direction or at intervals in the horizontal direction.
  • the heater 140 heats the substrate 10 held by the substrate holding portion 130.
  • the heater 140 is, for example, an electric heater, and generates heat by supplying electric power.
  • the heater 140 is embedded in the substrate holding portion 130, for example, and heats the substrate holding portion 130 to heat the substrate 10 to a desired temperature.
  • the heater 140 may include a lamp that heats the substrate holding portion 130 through the quartz window. In this case, in order to prevent the quartz window from becoming opaque due to deposits, an inert gas such as argon gas is generated between the substrate holding portion 130 and the quartz window while the heater 140 heats the substrate holding portion 130. It may be supplied. Further, the heater 140 may heat the substrate 10 arranged inside the processing container 120 from the outside of the processing container 120.
  • the processing unit 110 may further include not only a heater 140 that heats the substrate 10 but also a cooler that cools the substrate 10. Not only can the temperature of the substrate 10 be raised at high speed, but the temperature of the substrate 10 can be lowered at high speed. On the other hand, when the processing of the substrate 10 is performed at room temperature, the processing unit 110 does not have to have a heater 140 and a cooler.
  • the gas supply device 150 supplies a preset processing gas to the substrate 10.
  • the processing gas is prepared for each of the above steps S102, S103, S104, S105, S201, S301, S401, and S402.
  • the processing gas used for forming the interlayer film 20 includes, for example, hydrogen fluoride (HF) gas and ammonia (NH 3 ) gas, and may further contain an inert gas that dilutes these gases.
  • HF hydrogen fluoride
  • NH 3 ammonia
  • the treatment gas used for forming the SAM 30 may contain, for example, a gas of a silane compound or a thiol compound, and may further contain an inert gas that dilutes these gases.
  • the processing gas used for the sublimation of the interlayer film 20 is, for example, an inert gas such as nitrogen gas or argon gas.
  • the inert gas is supplied as a purge gas, and the gas generated by the sublimation of the interlayer film 20 is expelled from the inside of the processing container 120 to the outside.
  • the inert gas may be supplied as a heat transfer gas that regulates the temperature of the substrate 10.
  • the processing gas does not have to be supplied to the inside of the processing container 120. That is, the interlayer film 20 may be sublimated in a vacuum.
  • the processing gas used for forming the target film 40 is the material gas of the target film 40.
  • the method for forming the target film 40 is, for example, a CVD method or an ALD method.
  • the material gas of the target membrane 40 may be heated to a high temperature in order to promote a chemical reaction. Further, the material gas of the target membrane 40 may be turned into plasma in order to promote the chemical reaction.
  • a Si-containing gas such as dichlorosilane (SiH 2 Cl 2 ) gas and an oxidizing gas such as ozone (O 3 ) gas are used as the processing gas. It is supplied alternately with respect to 10.
  • a reforming gas such as hydrogen (H 2 ) gas may be supplied to the substrate 10.
  • a Si-containing gas such as dichlorosilane (SiH 2 Cl 2 ) gas and a nitride gas such as ammonia (NH 3 ) gas are used as the processing gas on the substrate. It is supplied alternately with respect to 10.
  • a reforming gas such as hydrogen (H 2 ) gas may be supplied to the substrate 10.
  • the processing gas used for forming the silicon oxide film 15 is, for example, an oxidizing gas such as oxygen (O 2 ) gas, ozone (O 3 ) gas, or water vapor (H 2 O) gas.
  • Oxidation may be carried out at room temperature or at a high temperature to promote oxidation. Further, in order to promote oxidation, the oxidation gas may be turned into plasma. Oxidation may be performed by a wet treatment instead of a dry treatment.
  • the processing gas used for removing the metal oxide film 13 is, for example, a reducing gas such as formic acid (CH 2 O 2 ) gas or hydrogen (H 2 ) gas. Hydrogen gas is usually turned into plasma.
  • the removal of the metal oxide film 13 may be carried out by a wet treatment instead of a dry treatment, and may be carried out by, for example, washing with dilute hydrofluoric acid.
  • the gas supply device 150 supplies a preset processing gas to the substrate 10.
  • the processing gas is prepared for each of steps S102, S103, S104, S105, S201, S301, S401, and S402, for example. These steps may be carried out inside different processing containers 120, or two or more of any combinations may be carried out continuously inside the same processing container 120. In the latter case, the gas supply device 150 supplies a plurality of types of processing gases to the substrate 10 in a preset order according to the order of the steps.
  • the gas supply device 150 is connected to the processing container 120 via, for example, the gas supply pipe 151.
  • the gas supply device 150 includes a processing gas supply source, individual pipes individually extending from each supply source to the gas supply pipe 151, an on-off valve provided in the middle of the individual pipes, and a flow rate controller provided in the middle of the individual pipes. And have.
  • the on-off valve opens the individual pipes, the processing gas is supplied from the supply source to the gas supply pipe 151.
  • the amount of supply is controlled by the flow controller.
  • the on-off valve closes the individual pipe, the supply of the processing gas from the supply source to the gas supply pipe 151 is stopped.
  • the gas supply pipe 151 supplies the processing gas supplied from the gas supply device 150 to the inside of the processing container 120, for example, the shower head 152.
  • the shower head 152 is provided above the substrate holding portion 130.
  • the shower head 152 has a space 153 inside, and discharges the processing gas stored in the space 153 vertically downward from a large number of gas discharge holes 154.
  • a shower-like processing gas is supplied to the substrate 10.
  • the gas discharge device 160 discharges gas from the inside of the processing container 120.
  • the gas discharge device 160 is connected to the processing container 120 via the exhaust pipe 161.
  • the gas discharge device 160 has an exhaust source such as a vacuum pump and a pressure controller. When the exhaust source is operated, gas is discharged from the inside of the processing container 120.
  • the air pressure inside the processing container 120 is controlled by a pressure controller.
  • the control device 180 is composed of, for example, a computer, and includes a CPU (Central Processing Unit) 181 and a storage medium 182 such as a memory.
  • the storage medium 182 stores programs that control various processes executed by the film forming apparatus 100.
  • the control device 180 controls the operation of the film forming apparatus 100 by causing the CPU 181 to execute the program stored in the storage medium 182.
  • the control device 180 includes an input interface 183 and an output interface 184.
  • the control device 180 receives a signal from the outside through the input interface 183 and transmits the signal to the outside through the output interface 184.
  • the control device 180 controls the heater 140, the gas supply device 150, the gas discharge device 160, and the transfer device 170 so as to carry out any of the film forming methods shown in FIGS. 1 to 8.
  • the control device 180 also controls the gate G.
  • the temperature of the substrate 10 is lower than that of the sublimation of the interlayer film 20 (step S104), for example, 50 ° C. or lower, preferably 30 ° C. It is as follows. As a result, it is possible to prevent the interlayer film 20 from disappearing before the formation of the SAM 30 is completed.
  • the temperature of the substrate 10 is relatively high, for example, 90 ° C. or higher in order to eliminate the interlayer film 20.
  • the temperature of the substrate 10 is, for example, 120 ° C. or lower so that the SAM 30 having a sufficient film thickness remains in the first region A1 after the sublimation of the interlayer film 20.
  • the temperature of the substrate 10 is different between the formation of the interlayer film 20 (step S102) and the formation of the SAM 30 (step S103) and the sublimation of the interlayer film 20 (step S104).
  • control device 180 performs the formation of the interlayer film 20 (step S102) and the formation of the SAM 30 (step S103) inside one processing container 120, and sublimates the interlayer film 20 (step S104). It may be carried out inside one processing container 120. Since the temperature of the substrate 10 can be changed by transporting the substrate 10 between the two processing containers 120 having different internal temperatures, the time required for adjusting the internal temperature of the processing container 120 can be reduced.
  • the temperature of the substrate 10 may be room temperature.
  • the processing unit 110 that performs the formation of the interlayer film 20 (step S102) and the formation of the SAM 30 (step S103) does not have to have the heater 140.
  • the formation of the target film 40 is carried out at a high temperature, similarly to the sublimation of the intermediate film 20 (step S104).
  • the formation of the target film 40 is carried out at a high temperature from the viewpoint of the film formation rate of the target film 40.
  • control device 180 may carry out the sublimation of the interlayer film (step S104) and the formation of the target film 40 (step S105) inside the same processing container 120.
  • the time for transporting the substrate 10 between the plurality of processing containers 120 can be reduced, and the processing speed of the substrate 10 can be improved. Further, the number of processing units 110 can be reduced, and the film forming apparatus 100 can be miniaturized.
  • the control device 180 processes the formation of the interlayer film 20 (step S102), the formation of the SAM 30 (step S103), the sublimation of the interlayer film 20 (step S104), and the formation of the target film 40 (step S105) differently from each other. It may be carried out inside the container 120. Since the processing container 120 is changed according to the type of processing gas, it is possible to suppress an unintended chemical reaction between a plurality of types of processing gases and suppress the generation of unintended products.
  • the formation of the interlayer film 20 (step S102), the formation of the SAM 30 (step S103), the sublimation of the interlayer film 20 (step S104), and the formation of the target film 40 (step S105) are the same. It may be carried out inside the processing container 120 of. The time for transporting the substrate 10 between the plurality of processing containers 120 can be reduced, and the processing speed of the substrate 10 can be improved. Further, the number of processing units 110 can be reduced, and the film forming apparatus 100 can be miniaturized.
  • Substrate 11 Conductive film 12 Insulating film 13 Metal oxide film 14 Base substrate 15 Silicon oxide film 20 Intermediate film 30 SAM (Self-assembled monolayer) 40 Target film 100 Film forming device 110 Processing unit 120 Processing container 130 Substrate holder 140 Heater 150 Gas supply device 160 Gas discharge device 170 Conveyor device 180 Control device

Abstract

第1材料が露出する第1領域、および前記第1材料とは異なる第2材料が露出する第2領域を有する基板を準備する工程と、前記基板に処理ガスを供給することにより、前記第1領域および前記第2領域のうちの前記第2領域に選択的に中間膜を形成する工程と、前記中間膜の形成後に、前記第1領域および前記第2領域に自己組織化単分子膜を形成する工程と、前記基板を加熱することにより前記中間膜を昇華させ、前記第2領域から前記中間膜および前記自己組織化単分子膜を除去する工程と、前記中間膜の昇華後に、前記第1領域に前記自己組織化単分子膜を残した状態で、前記第1領域および前記第2領域のうちの前記第2領域に選択的に対象膜を形成する工程とを含む、成膜方法。

Description

成膜方法および成膜装置
 本開示は、成膜方法および成膜装置に関する。
 特許文献1には、フォトリソグラフィ技術を用いずに、基板の特定の領域に選択的に対象膜を形成する技術が開示されている。具体的には、対象膜の形成を阻害する自己組織化単分子膜(Self-Assembled Monolayer:SAM)を基板の一部の領域に形成し、基板の残りの領域に対象膜を形成する技術が開示されている。
日本国特表2007-501902号公報
 本開示の一態様は、対象膜の形成前に、対象膜の形成を阻害するSAMを基板の特定の領域から除去できる、技術を提供する。
 本開示の一態様の成膜方法は、
 第1材料が露出する第1領域、および前記第1材料とは異なる第2材料が露出する第2領域を有する基板を準備する工程と、
 前記基板に処理ガスを供給することにより、前記第1領域および前記第2領域のうちの前記第2領域に選択的に中間膜を形成する工程と、
 前記中間膜の形成後に、前記第1領域および前記第2領域に自己組織化単分子膜を形成する工程と、
 前記基板を加熱することにより前記中間膜を昇華させ、前記第2領域から前記中間膜および前記自己組織化単分子膜を除去する工程と、
 前記中間膜の昇華後に、前記第1領域に前記自己組織化単分子膜を残した状態で、前記第1領域および前記第2領域のうちの前記第2領域に選択的に対象膜を形成する工程とを含む。
 本開示の一態様によれば、対象膜の形成前に、対象膜の形成を阻害するSAMを基板の特定の領域から除去できる。
図1は、第1実施形態に係る成膜方法を示すフローチャートである。 図2は、図1に示す各工程での基板の状態の一例を示す側面図である。 図3は、第2実施形態に係る成膜方法を示すフローチャートである。 図4は、図3に示す各工程での基板の状態の一例を示す側面図である。 図5は、第3実施形態に係る成膜方法を示すフローチャートである。 図6は、図5に示す各工程での基板の状態の一例を示す側面図である。 図7は、第4実施形態に係る成膜方法を示すフローチャートである。 図8は、図7に示す各工程での基板の状態の一例を示す側面図である。 図9は、図1~図8に示す成膜方法を実施する成膜装置の一例を示す断面図である。
 以下、本開示の実施形態について図面を参照して説明する。なお、各図面において同一の又は対応する構成には同一の符号を付し、説明を省略することがある。
 図1は、第1実施形態に係る成膜方法を示すフローチャートである。図2は、図1に示す各工程での基板の状態の一例を示す側面図である。図2(a)は工程S101で準備される基板の状態を示し、図2(b)は工程S102で得られる基板の状態を示し、図2(c)は工程S103で得られる基板の状態を示し、図2(d)は工程S104で得られる基板の状態を示し、図2(e)は工程S105で得られる基板の状態を示す。
 成膜方法は、図2(a)に示すように基板10を準備する工程S101を含む。準備することは、例えば、後述する処理容器120(図9参照)の内部に基板10を搬入することを含む。基板10は、第1材料が露出する第1領域A1と、第1材料とは異なる第2材料が露出する第2領域A2とを有する。第1領域A1と第2領域A2とは、基板10の板厚方向片側に設けられる。
 第1領域A1の数は、図2(a)では1つであるが、複数でもよい。例えば2つの第1領域A1が第2領域A2を挟むように配置されてもよい。同様に、第2領域A2の数は、図2(a)では1つであるが、複数でもよい。例えば2つの第2領域A2が第1領域A1を挟むように配置されてもよい。
 なお、図2(a)では第1領域A1および第2領域A2のみが存在するが、第3領域がさらに存在してもよい。第3領域は、第1材料および第2材料とは異なる第3材料が露出する領域である。第3領域は、第1領域A1と第2領域A2との間に配置されてもよいし、第1領域A1および第2領域A2の外に配置されてもよい。
 第1材料は、例えば導電材料の表面酸化によって形成される酸化物である。導電材料は、例えばCu、W、Co、またはRu等の金属である。これらの金属の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が第1材料である。なお、第1材料は、本実施形態では導電材料の表面酸化によって形成される酸化物であるが、導電材料であってもよい。酸化物の膜は、還元処理によって除去可能である。
 第2材料は、例えばケイ素(Si)を含む絶縁材料であり、例えば酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または炭化ケイ素などである。以下、酸化ケイ素を、酸素とケイ素との組成比に関係なく「SiO」とも表記する。同様に、窒化ケイ素をSiNとも表記し、酸窒化ケイ素をSiONとも表記し、炭化ケイ素をSiCとも表記する。第2材料は、本実施形態ではSiOである。
 基板10は、例えば、上記の導電材料で形成される導電膜11と、上記の絶縁材料で形成される絶縁膜12とを有する。導電膜11の表面には、大気中で、金属酸化膜13が時間の経過と共に自然に形成される。金属酸化膜13は、還元処理によって除去可能である。
 また、基板10は、導電膜11と絶縁膜12が形成される下地基板14を有する。下地基板14は、例えばシリコンウェハなどの半導体基板である。なお、下地基板14は、ガラス基板などであってもよい。
 なお、基板10は、下地基板14と導電膜11との間に、下地基板14および導電膜11とは異なる材料で形成される下地膜をさらに有してもよい。同様に、基板10は、下地基板14と絶縁膜12との間に、下地基板14および絶縁膜12とは異なる材料で形成される下地膜をさらに有してもよい。
 成膜方法は、図2(b)に示すように、基板10に処理ガスを供給することにより、第1領域A1および第2領域A2のうちの第2領域A2に選択的に中間膜20を形成する工程S102を含む。中間膜20は、後述するSAM(自己組織化単分子膜)30の形成後に加熱され、昇華される。なお、第1領域A1および第2領域A2に加えて第3領域が存在する場合、第3領域には中間膜20が形成されてもよいし、形成されなくてもよい。
 中間膜20は、例えばケイフッ化アンモニウム((NHSiF)の膜である。ケイフッ化アンモニウムの膜は、比較的低温(例えば90℃以上120℃以下)で昇華するので、容易に昇華でき、第2領域A2からSAM30を除去できる。
 中間膜20の形成(工程S102)に用いる処理ガスは、例えば、フッ化水素(HF)ガスとアンモニア(NH)ガスとを含む。HFガスとNHガスとを含む処理ガスは、SiOと化学反応することにより、ケイフッ化アンモニウムの膜を形成する。
 中間膜20の形成(工程S102)に用いる処理ガスは、HFガスとNHガスとの他に、窒素(N)ガスまたはアルゴン(Ar)ガスなどの不活性ガスを含んでもよい。不活性ガスは、HFガスおよびNHガスを希釈する。
 導電膜11は金属で形成され、半金属であるケイ素(Si)を含まないので、導電膜11が自然に酸化されたとしても、第1領域A1にはSiOが露出しない。つまり、第1材料はSiOではない。従って、第1領域A1には、ケイフッ化アンモニウムの膜が形成されない。ケイフッ化アンモニウムの膜は、SiOの露出する領域に形成されるからである。
 一方、第2材料がSiOである場合、第2領域A2ではSiOが露出する。従って、第2領域A2に選択的にケイフッ化アンモニウムの膜が形成される。なお、第2材料がSiN、SiON、またはSiCなどである場合については後述する。
 ケイフッ化アンモニウムの形成は、減圧雰囲気で行われる。ケイフッ化アンモニウムの形成は、その昇華を抑制すべく、例えば50℃以下で行われ、好ましくは30℃以下で行われる。
 成膜方法は、図2(c)に示すように中間膜20の形成後に、第1領域A1および第2領域A2にSAM30を形成する工程S103を含む。SAM30は、基板10に有機化合物を化学吸着することにより形成され、後述する対象膜40の形成を阻害する。
 SAM30を形成する有機化合物は、特に限定されないが、例えば、シラン系化合物である。シラン系化合物は、例えば、一般式R-SiH3-xCl(x=1、2、3)で表される化合物、またはR´-Si(O-R)で表される化合物(シランカップリング剤)である。ここで、R、R´は、アルキル基またはアルキル基の水素の少なくとも一部をフッ素に置換した基等の官能基である。その官能基の末端基は、CH系、CF系のいずれでもよい。また、O-Rは、加水分解可能な官能基、例えばメトキシ基、エトキシ基である。シランカップリング剤の一例として、オクタメチルトリメトキシシラン(OTS)が挙げられる。
 シラン系化合物は、例えばガスの状態で基板10に対して供給され、基板10に化学吸着する。シラン系化合物は、OH基を有する表面に化学吸着しやすいので、金属よりも金属化合物に化学吸着しやすく、特に酸化金属に化学吸着しやすい。そこで、シラン系化合物を第1領域A1に化学吸着すべく、第1領域A1に露出する材料としては、導電材料の表面酸化によって形成される酸化物が用いられる。
 成膜方法は、図2(d)に示すように、第2領域A2から中間膜20およびSAM30を除去すべく、基板10を加熱することにより中間膜20を昇華させる工程S104を含む。中間膜20の昇華によって、SAM30のリフトオフが実施される。
 中間膜20は第2領域A2に選択的に形成されているので、SAM30は第2領域A2から選択的に除去される。中間膜20は第1領域A1には形成されないので、第1領域A1にはSAM30が残る。
 中間膜20は、例えばケイフッ化アンモニウムの膜である。ケイフッ化アンモニウムの膜は、比較的低温(例えば90℃以上120℃以下)で昇華するので、第1領域A1におけるSAM30の熱分解を抑制しつつ、第2領域A2からSAM30を除去できる。
 本実施形態によれば、ドライ処理でSAM30を除去できるので、ウォーターマーク等の発生を抑制できる。また、プラズマレスでSAM30を除去できるので、基板10のダメージを抑制できる。
 成膜方法は、図2(e)に示すように、中間膜20の昇華後に、第1領域A1に残るSAM30を用いて、第1領域A1および第2領域A2のうちの第2領域A2に選択的に対象膜40を形成する工程S105を含む。対象膜40は、SAM30とは異なる材料、例えば金属、金属化合物または半導体で形成される。SAM30は対象膜40の形成を阻害するので、対象膜40は第2領域A2に選択的に形成される。なお、第1領域A1および第2領域A2に加えて第3領域が存在する場合、第3領域には対象膜40が形成されてもよいし、形成されなくてもよい。
 対象膜40は、例えばCVD(Chemical Vapor Deposition)法またはALD(Atomic Layer Deposition)法で形成される。対象膜40は、例えば絶縁材料で形成される。第2領域A2に元々存在する絶縁膜12に、さらに絶縁膜である対象膜40を積層できる。
 対象膜40は、例えば、ケイ素を含む絶縁材料で形成される。ケイ素を含む絶縁材料は、例えば酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、または炭化ケイ素(SiC)などである。
 対象膜40がケイ素を含む絶縁材料である場合、例えば対象膜40がSiOである場合、対象膜40の表面に再び中間膜20を形成することが可能である。従って、中間膜20の形成(工程S102)と、SAM30の形成(工程S103)と、中間膜20の昇華(工程S104)と、対象膜40の形成(工程S105)とを繰り返し実施できる。その結果、厚さの厚い対象膜40を形成できる。
 以上説明したように、本実施形態によれば、中間膜20の形成後に、第1領域A1および第2領域A2の両方にSAM30を形成するので、SAM30を選択的に形成するための酸化処理または還元処理などの表面改質処理が不要になる。酸化処理または還元処理は第1領域A1および第2領域A2の片方のみに施すのは困難であるが、そのような表面改質処理が不要になる。中間膜20の選択的な形成は、露出する材料の違いを利用するので、簡単に実施できる。
 また、本実施形態によれば、第2領域A2に選択的に中間膜20を形成し、中間膜20を昇華させることにより第2領域A2からSAM30を除去する。つまり、本実施形態によれば、中間膜20の昇華によって、SAM30のリフトオフを実施する。よって、対象膜40の形成前に、対象膜40の形成を阻害するSAM30を第2領域A2から除去できる。その結果、SAM30の形成される領域を第1領域A1に限定でき、対象膜40の形成される領域を第2領域A2に限定できる。
 なお、成膜方法は、基板10の準備(工程S101)と、中間膜20の形成(工程S102)との間に、別の工程を含んでもよい。別の工程として、例えば、金属酸化膜13を成長する工程、または金属酸化膜13のOH基の密度を上げる工程が挙げられる。これらの工程によって、シラン系化合物を第1領域A1に密に化学吸着でき、対象膜40を第2領域A2により選択的に形成できる。
 金属酸化膜13の成長は、導電膜11の表面を積極的に酸化することによって実施される。また、OH基の密度増加は、例えば金属酸化膜13を水素プラズマに曝すことによって実施される。水素プラズマは、OH基の密度を増加できる反面、金属酸化膜13を薄化するので、予め金属酸化膜13を成長しておくことが有効である。金属酸化膜13の成長は、言い換えると、金属酸化膜13の膜厚増加である。
 図3は、第2実施形態に係る成膜方法を示すフローチャートである。図4は、図3に示す各工程での基板の状態の一例を示す側面図である。図4(a)は工程S101で準備される基板の状態を示し、図4(b)は工程S201で得られる基板の状態を示し、図4(c)は工程S102で得られる基板の状態を示し、図4(d)は工程S103で得られる基板の状態を示し、図4(e)は工程S104で得られる基板の状態を示し、図4(f)は工程S105で得られる基板の状態を示す。以下、本実施形態の成膜方法と上記第1実施形態の成膜方法との相違点について主に説明する。
 成膜方法は、図4(a)に示すように、基板10を準備する工程S101を含む。基板10は、第1材料が露出する第1領域A1と、第1材料とは異なる第2材料が露出する第2領域A2とを有する。第2材料は、SiO以外のケイ素を含む絶縁材料であり、例えばSiN、SiONまたはSiCなどである。第2領域A2にSiOが露出しないので、このままでは第2領域A2に中間膜20が形成されない。中間膜20であるケイフッ化アンモニウムの膜は、SiOの露出する領域に形成されるからである。
 そこで、成膜方法は、図4(b)に示すように、中間膜20の形成前に、第2領域A2を表面酸化することにより、第2領域A2に露出する酸化ケイ素膜15を形成する工程S201を含む。酸化ケイ素膜15は、例えば、SiN、SiONまたはSiCなどで形成される絶縁膜12の表面を、酸素(O)ガス、オゾン(O)ガス、または水蒸気(HO)ガスなどの酸化ガスに曝すことにより形成される。酸化は、室温で行われてもよいし、酸化を促進すべく高温で行われてもよい。また、酸化を促進すべく、酸化ガスをプラズマ化してもよい。なお、酸化は、ドライ処理ではなく、ウェット処理で行われてもよい。
 第2領域A2を表面酸化する時に、第1領域A1も一緒に表面酸化でき、金属酸化膜13を成長できる。金属酸化膜13は導電膜11の表面が酸化したものであるので、第1領域A1にはSiOが露出しない。
 成膜方法は、図4(c)に示すように、基板10に処理ガスを供給することにより、第1領域A1および第2領域A2のうちの第2領域A2に選択的に中間膜20を形成する工程S102を含む。中間膜20は例えばケイフッ化アンモニウムの膜である。ケイフッ化アンモニウムの膜は、SiOが露出しない第1領域A1には形成されずに、SiOが露出する第2領域A2に選択的に形成される。
 なお、図4(c)では、図4(b)に示す酸化ケイ素膜15の全てが中間膜20に置換され、中間膜20と絶縁膜12との間に酸化ケイ素膜15が残存しないが、酸化ケイ素膜15が残存してもよい。酸化ケイ素膜15が残存しても、中間膜20の昇華によってSAM30のリフトオフを実施できるからである。
 成膜方法は、図4(d)に示すSAM30の形成(工程S103)と、図4(e)に示す中間膜20の昇華(工程S104)と、図4(f)に示す対象膜40の形成(工程S105)とを含む。これらの工程S103~S105は、上記第1実施形態の工程S103~S105と同様であるので、説明を省略する。
 以上説明したように、本実施形態によれば、上記第1実施形態と同様に、中間膜20の形成後に、第1領域A1および第2領域A2の両方にSAM30を形成するので、また、第2領域A2に選択的に中間膜20を形成し、中間膜20を昇華させることにより第2領域A2からSAM30を除去するので、上記第1実施形態と同様の効果が得られる。
 また、本実施形態によれば、上記第1実施形態とは異なり、中間膜20の形成前に、第2領域A2を表面酸化することにより、第2領域A2に露出する酸化ケイ素膜15を形成する。酸化ケイ素膜15は、例えば、SiN、SiONまたはSiCなどで形成される絶縁膜12の表面を酸化することにより形成される。絶縁膜12がSiO以外のケイ素を含む絶縁材料で形成される場合にも、第2領域A2にSiOを露出でき、その結果、第2領域A2にケイフッ化アンモニウムの膜を形成できる。
 図5は、第3実施形態に係る成膜方法を示すフローチャートである。図6は、図5に示す各工程での基板の状態の一例を示す側面図である。図6(a)は工程S101で準備される基板の状態を示し、図6(b)は工程S301で得られる基板の状態を示し、図6(c)は工程S102で得られる基板の状態を示し、図6(d)は工程S103で得られる基板の状態を示し、図6(e)は工程S104で得られる基板の状態を示し、図6(f)は工程S105で得られる基板の状態を示す。以下、本実施形態の成膜方法と上記第1実施形態の成膜方法との相違点について主に説明する。
 成膜方法は、図6(a)に示すように、基板10を準備する工程S101を含む。基板10は、第1材料が露出する第1領域A1と、第1材料とは異なる第2材料が露出する第2領域A2とを有する。第1材料は、導電材料の表面酸化によって形成される酸化物である。導電材料は、例えばCu、W、Co、またはRu等の金属である。これらの金属の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が第1材料である。一方、第2材料はSiOである。
 本実施形態では、上記第1実施形態とは異なり、SAM30の材料として、シラン系化合物に代えて、チオール系化合物が用いられる。チオール系化合物は、例えば一般式R-SHで表される化合物である。ここで、Rは、脂肪族炭化水素基、脂肪族炭化水素基の炭素原子もしくは水素原子がヘテロ原子と置換されたもの、芳香族炭化水素基、または芳香族炭化水素基の炭素原子もしくは水素原子がヘテロ原子と置換されたものである。
 チオール系化合物は、シラン系化合物と同様に、ガスの状態で基板10に対して供給され、基板10に化学吸着する。チオール系化合物は、シラン系化合物とは異なり、基本的には、金属化合物に化学吸着することなく、金属に化学吸着する。第1領域A1に露出する第1材料は導電材料の表面酸化によって形成される酸化物であるので、このままでは第1領域A1にSAM30が形成されない。
 そこで、成膜方法は、図6(b)に示すように、中間膜20の形成前に、第1領域A1に露出する金属酸化膜13を除去する工程S301を含む。金属酸化膜13は、例えば導電膜11の表面が自然に酸化されたものである。金属酸化膜13を除去することにより、導電膜11を露出でき、導電膜11にチオール系化合物を化学吸着できる。
 金属酸化膜13の除去は、例えば、金属酸化膜13をギ酸(CH)ガスまたは水素(H)ガスなどの還元ガスに曝すことにより実施される。水素ガスは、通常、プラズマ化される。金属酸化膜13の除去は、ドライ処理ではなく、ウェット処理で行われてもよく、例えば希フッ酸で洗浄することにより実施されてもよい。
 金属酸化膜13の除去時に、絶縁膜12はほとんど還元されない。絶縁膜12を形成するSiOは、Cu、W、CoまたはRu等の金属の酸化物に比べて、安定性に優れるからである。それゆえ、金属酸化膜13の除去後に、第2領域A2にはSiOが露出したままである。
 成膜方法は、図6(c)に示す中間膜20の形成(工程S102)と、図6(d)に示すSAM30の形成(工程S103)と、図6(e)に示す中間膜20の昇華(工程S104)と、図6(f)に示す対象膜40の形成(工程S105)とを含む。これらの工程S102~S105は、上記第1実施形態の工程S102~S105と同様であるので、説明を省略する。
 チオール系化合物は、上記の通り、基本的には、金属化合物に化学吸着することなく、金属に化学吸着する。但し、その選択性は完全ではないので、金属化合物にも僅かにチオール系化合物が吸着する。従って、工程S103において第1領域A1だけではなく第2領域A2にもSAM30が形成されてしまう。このような選択性の破れを、中間膜20の昇華に伴うSAM30のリフトオフによって解消でき、SAM30を第1領域A1のみに残すことができる。
 以上説明したように、本実施形態によれば、上記第1実施形態と同様に、中間膜20の形成後に、第1領域A1および第2領域A2の両方にSAM30を形成するので、また、第2領域A2に選択的に中間膜20を形成し、中間膜20を昇華させることにより第2領域A2からSAM30を除去するので、上記第1実施形態と同様の効果が得られる。
 また、本実施形態によれば、上記第1実施形態とは異なり、中間膜20の形成前に、第1領域A1に露出する金属酸化膜13を除去する。金属酸化膜13は、例えば導電膜11の表面が自然に酸化されたものである。金属酸化膜13を除去することにより、導電膜11を露出でき、導電膜11にチオール系化合物を化学吸着できる。
 図7は、第4実施形態に係る成膜方法を示すフローチャートである。図8は、図7に示す各工程での基板の状態の一例を示す側面図である。図8(a)は工程S101で準備される基板の状態を示し、図8(b)は工程S401で得られる基板の状態を示し、図8(c)は工程S402で得られる基板の状態を示し、図8(d)は工程S102で得られる基板の状態を示し、図8(e)は工程S103で得られる基板の状態を示し、図8(f)は工程S104で得られる基板の状態を示し、図8(g)は工程S105で得られる基板の状態を示す。以下、本実施形態の成膜方法と上記第1実施形態の成膜方法との相違点について主に説明する。
 成膜方法は、図8(a)に示すように、基板10を準備する工程S101を含む。基板10は、第1材料が露出する第1領域A1と、第1材料とは異なる第2材料が露出する第2領域A2とを有する。第1材料は、導電材料の表面酸化によって形成される酸化物である。導電材料は、例えばCu、W、Co、またはRu等の金属である。これらの金属の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が第1材料である。
 一方、第2材料は、上記第1実施形態とは異なり、上記第2実施形態と同様に、SiO以外のケイ素を含む絶縁材料であり、例えばSiN、SiONまたはSiCなどである。第2領域A2にSiOが露出しないので、このままでは第2領域A2に中間膜20が形成されない。中間膜20であるケイフッ化アンモニウムの膜は、SiOの露出する領域に形成されるからである。
 そこで、成膜方法は、図8(b)に示すように、中間膜20の形成前に、第2領域A2を表面酸化することにより、第2領域A2に露出する酸化ケイ素膜15を形成する工程S401を含む。この工程S401は、上記第2実施形態の工程S201と同様であるので、説明を省略する。
 ところで、本実施形態では、上記第1実施形態とは異なり、上記第3実施形態と同様に、SAM30の材料として、シラン系化合物に代えて、チオール系化合物が用いられる。チオール系化合物は、シラン系化合物とは異なり、基本的には、金属化合物に化学吸着することなく、金属に化学吸着する。第1領域A1に露出する第1材料は導電材料の表面酸化によって形成される酸化物であるので、このままでは第1領域A1にSAM30が形成されない。
 そこで、成膜方法は、図8(c)に示すように、酸化ケイ素膜15の形成後であって中間膜20の形成前に、第1領域A1に露出する金属酸化膜13を除去する工程S402を含む。この工程S402は、上記第3実施形態の工程S301と同様であるので、説明を省略する。
 ここで、酸化ケイ素膜15の形成後に金属酸化膜13を除去するのは、酸化ケイ素膜15は、金属酸化膜13に比べて、安定性に優れるからである。つまり、金属酸化膜13の除去時に酸化ケイ素膜15も薄化されるが、酸化ケイ素膜15の薄化速度は金属酸化膜13の薄化速度に比べて遅いので、酸化ケイ素膜15を残したまま、金属酸化膜13を除去できるからである。
 成膜方法は、図8(d)に示す中間膜20の形成(工程S102)と、図8(e)に示すSAM30の形成(工程S103)と、図8(f)に示す中間膜20の昇華(工程S104)と、図8(g)に示す対象膜40の形成(工程S105)とを含む。これらの工程S102~S105は、上記第1実施形態の工程S102~S105と同様であるので、説明を省略する。
 以上説明したように、本実施形態によれば、上記第1実施形態と同様に、中間膜20の形成後に、第1領域A1および第2領域A2の両方にSAM30を形成するので、また、第2領域A2に選択的に中間膜20を形成し、中間膜20を昇華させることにより第2領域A2からSAM30を除去するので、上記第1実施形態と同様の効果が得られる。
 また、本実施形態によれば、上記第1実施形態とは異なり、上記第2実施形態と同様に、中間膜20の形成前に、第2領域A2を表面酸化することにより、第2領域A2に露出する酸化ケイ素膜15を形成するので、上記第2実施形態と同様の効果が得られる。
 また、本実施形態によれば、上記第1実施形態とは異なり、上記第3実施形態と同様に、中間膜20の形成前に、第1領域A1に露出する金属酸化膜13を除去するので、上記第3実施形態と同様の効果が得られる。ここで、酸化ケイ素膜15の形成後に金属酸化膜13を除去するのは、酸化ケイ素膜15は、金属酸化膜13に比べて、安定性に優れるからである。
 図9は、図1~図8に示す成膜方法を実施する成膜装置の一例を示す断面図である。成膜装置100は、処理ユニット110と、搬送装置170と、制御装置180とを備える。処理ユニット110は、処理容器120と、基板保持部130と、加熱器140と、ガス供給装置150と、ガス排出装置160とを有する。
 処理ユニット110は、図9には1つのみ図示するが、複数であってもよい。複数の処理ユニット110は、いわゆるマルチチャンバーシステムを形成する。複数の処理ユニット110は、真空搬送室101を囲むように配置される。真空搬送室101は、真空ポンプによって排気され、予め設定された真空度に保持される。真空搬送室101には、搬送装置170が鉛直方向および水平方向に移動可能に、且つ鉛直軸周りに回転可能に配置される。搬送装置170は、複数の処理容器120に対して基板10を搬送する。処理容器120の内部の処理室121と、真空搬送室101とは、これらの気圧がいずれも大気圧よりも低い気圧である時に連通し、基板10の搬入出が行われる。真空搬送室101の代わりに大気搬送室が設けられる場合とは異なり、基板10の搬入出時に大気が大気搬送室から処理室121の内部に流れ込むのを防止できる。処理室121の気圧を下げるための待ち時間を削減でき、基板10の処理速度を向上できる。
 処理容器120は、基板10が通過する搬入出口122を有する。搬入出口122には、搬入出口122を開閉するゲートGが設けられる。ゲートGは、基本的に搬入出口122を閉じており、基板10が搬入出口122を通る時に搬入出口122を開く。搬入出口122の開放時に、処理容器120の内部の処理室121と、真空搬送室101とが連通する。搬入出口122の開放前に、処理室121と真空搬送室101とは、いずれも、真空ポンプによって排気され、予め設定された気圧に維持される。
 基板保持部130は、処理容器120の内部で基板10を保持する。基板保持部130は、基板10の処理ガスに曝される表面を上に向けて、基板10を下方から水平に保持する。基板保持部130は、枚葉式であって、一枚の基板10を保持する。なお、基板保持部130は、バッチ式でもよく、同時に複数枚の基板10を保持してもよい。バッチ式の基板保持部130は、複数枚の基板10を、鉛直方向に間隔をおいて保持してもよいし、水平方向に間隔をおいて保持してもよい。
 加熱器140は、基板保持部130で保持されている基板10を加熱する。加熱器140は、例えば電気ヒータであり、電力供給によって発熱する。加熱器140は、例えば、基板保持部130の内部に埋め込まれ、基板保持部130を加熱することにより、基板10を所望の温度に加熱する。なお、加熱器140は、石英窓を介して基板保持部130を加熱するランプを含んでもよい。この場合、石英窓が堆積物で不透明になるのを防止すべく、加熱器140が基板保持部130を加熱する間、基板保持部130と石英窓との間にアルゴンガスなどの不活性ガスが供給されてもよい。また、加熱器140は、処理容器120の外部から処理容器120の内部に配置される基板10を加熱してもよい。
 なお、処理ユニット110は、基板10を加熱する加熱器140だけではなく、基板10を冷却する冷却器をさらに有してもよい。基板10の温度を高速で昇温できるだけではなく、基板10の温度を高速で降温できる。一方、基板10の処理が室温で行われる場合、処理ユニット110は加熱器140および冷却器を有しなくてもよい。
 ガス供給装置150は、基板10に対して予め設定された処理ガスを供給する。処理ガスは、上記の工程S102、S103、S104、S105、S201、S301、S401、S402毎に用意される。
 中間膜20の形成(工程S102)に用いる処理ガスは、例えば、フッ化水素(HF)ガスとアンモニア(NH)ガスとを含み、これらのガスを希釈する不活性ガスをさらに含んでもよい。
 SAM30の形成(工程S103)に用いる処理ガスは、例えば、シラン系化合物またはチオール系化合物のガスを含み、これらのガスを希釈する不活性ガスをさらに含んでもよい。
 中間膜20の昇華(工程S104)に用いる処理ガスは、例えば、窒素ガスまたはアルゴンガスなどの不活性ガスである。不活性ガスは、パージガスとして供給され、中間膜20の昇華に伴って発生するガスを処理容器120の内部から外部に追い出す。不活性ガスは、基板10の温度を調節する伝熱ガスとして供給されてもよい。なお、中間膜20の昇華時に、処理ガスは処理容器120の内部に供給されなくてもよい。つまり、真空中で、中間膜20の昇華が実施されてもよい。
 対象膜40の形成(工程S105)に用いる処理ガスは、対象膜40の材料ガスである。対象膜40の形成方法は、例えばCVD法またはALD法である。対象膜40の材料ガスは、化学反応を促進すべく、高温に加熱されてもよい。また、対象膜40の材料ガスは、化学反応を促進すべく、プラズマ化されてもよい。
 例えば、対象膜40としてSiO膜をALD法で形成する場合、処理ガスとして、ジクロロシラン(SiHCl)ガスなどのSi含有ガスと、オゾン(O)ガスなどの酸化ガスとが、基板10に対して交互に供給される。Si含有ガスおよび酸化ガスの他に、水素(H)ガスなどの改質ガスが基板10に対して供給されてもよい。
 また、対象膜40としてSiN膜をALD法で形成する場合、処理ガスとして、ジクロロシラン(SiHCl)ガスなどのSi含有ガスと、アンモニア(NH)ガスなどの窒化ガスとが、基板10に対して交互に供給される。Si含有ガスおよび窒化ガスの他に、水素(H)ガスなどの改質ガスが基板10に対して供給されてもよい。
 酸化ケイ素膜15の形成(工程S201または工程S401)に用いる処理ガスは、例えば、酸素(O)ガス、オゾン(O)ガス、または水蒸気(HO)ガスなどの酸化ガスである。酸化は、室温で行われてもよいし、酸化を促進すべく高温で行われてもよい。また、酸化を促進すべく、酸化ガスをプラズマ化してもよい。なお、酸化は、ドライ処理ではなく、ウェット処理で行われてもよい。
 金属酸化膜13の除去(工程S301または工程S402)に用いる処理ガスは、例えば、ギ酸(CH)ガスまたは水素(H)ガスなどの還元ガスである。水素ガスは、通常、プラズマ化される。なお、金属酸化膜13の除去は、ドライ処理ではなく、ウェット処理で行われてもよく、例えば希フッ酸で洗浄することにより実施されてもよい。
 ガス供給装置150は、基板10に対して予め設定された処理ガスを供給する。処理ガスは、例えば、工程S102、S103、S104、S105、S201、S301、S401、S402毎に用意される。これらの工程は、それぞれが互いに異なる処理容器120の内部で実施されてもよいし、任意の組合せの2つ以上が同じ処理容器120の内部で連続的に実施されてもよい。後者の場合、ガス供給装置150は、工程の順番に従って、複数種類の処理ガスを、予め設定された順番で基板10に対して供給する。
 ガス供給装置150は、例えば、ガス供給管151を介して処理容器120と接続される。ガス供給装置150は、処理ガスの供給源と、各供給源から個別にガス供給管151まで延びる個別配管と、個別配管の途中に設けられる開閉バルブと、個別配管の途中に設けられる流量制御器とを有する。開閉バルブが個別配管を開くと、供給源からガス供給管151に処理ガスが供給される。その供給量は流量制御器によって制御される。一方、開閉バルブが個別配管を閉じると、供給源からガス供給管151への処理ガスの供給が停止される。
 ガス供給管151は、ガス供給装置150から供給される処理ガスを、処理容器120の内部、例えばシャワーヘッド152に供給する。シャワーヘッド152は、基板保持部130の上方に設けられる。シャワーヘッド152は、内部に空間153を有し、空間153に溜めた処理ガスを多数のガス吐出孔154から鉛直下方に向けて吐出する。シャワー状の処理ガスが、基板10に対して供給される。
 ガス排出装置160は、処理容器120の内部からガスを排出する。ガス排出装置160は、排気管161を介して処理容器120と接続される。ガス排出装置160は、真空ポンプなどの排気源と、圧力制御器とを有する。排気源を作動させると、処理容器120の内部からガスが排出される。処理容器120の内部の気圧は、圧力制御器によって制御される。
 制御装置180は、例えばコンピュータで構成され、CPU(Central Processing Unit)181と、メモリなどの記憶媒体182とを備える。記憶媒体182には、成膜装置100において実行される各種の処理を制御するプログラムが格納される。制御装置180は、記憶媒体182に記憶されたプログラムをCPU181に実行させることにより、成膜装置100の動作を制御する。また、制御装置180は、入力インターフェース183と、出力インターフェース184とを備える。制御装置180は、入力インターフェース183で外部からの信号を受信し、出力インターフェース184で外部に信号を送信する。
 制御装置180は、図1~図8に示す成膜方法のいずれかを実施するように、加熱器140、ガス供給装置150、ガス排出装置160、および搬送装置170を制御する。制御装置180は、ゲートGも制御する。
 ところで、中間膜20の形成(工程S102)およびSAM30の形成(工程S103)では、中間膜20の昇華(工程S104)に比べて、基板10の温度が低く、例えば50℃以下、好ましくは30℃以下である。これにより、SAM30の形成完了前に、中間膜20が消失するのを抑制できる。
 一方、中間膜20の昇華(工程S104)では、中間膜20を消失すべく、基板10の温度が比較的高く、例えば90℃以上である。なお、中間膜20の昇華(工程S104)では、中間膜20の昇華後に十分な膜厚のSAM30が第1領域A1に残るように、基板10の温度は例えば120℃以下である。
 上記の通り、中間膜20の形成(工程S102)およびSAM30の形成(工程S103)と、中間膜20の昇華(工程S104)とでは、基板10の温度が異なる。
 そこで、制御装置180は、中間膜20の形成(工程S102)とSAM30の形成(工程S103)とを一の処理容器120の内部で実施し、且つ中間膜20の昇華(工程S104)を他の一の処理容器120の内部で実施してもよい。内部温度が異なる2つの処理容器120の間で基板10を搬送することで基板10の温度を変更できるので、処理容器120の内部温度の調整にかかる時間を削減できる。
 なお、中間膜20の形成(工程S102)およびSAM30の形成(工程S103)では、基板10の温度が室温であってもよい。この場合、中間膜20の形成(工程S102)とSAM30の形成(工程S103)とを実施する処理ユニット110は、加熱器140を有しなくてもよい。
 ところで、対象膜40の形成(工程S105)は、中間膜20の昇華(工程S104)と同様に、高温で実施される。対象膜40の形成(工程S105)が高温で実施されるのは、対象膜40の成膜速度の観点からである。
 そこで、制御装置180は、中間膜の昇華(工程S104)と対象膜40の形成(工程S105)とを同一の処理容器120の内部で実施してもよい。複数の処理容器120の間で基板10を搬送する時間を削減でき、基板10の処理速度を向上できる。また、処理ユニット110の数を低減でき、成膜装置100を小型化できる。
 制御装置180は、中間膜20の形成(工程S102)と、SAM30の形成(工程S103)と、中間膜20の昇華(工程S104)と、対象膜40の形成(工程S105)とを互いに異なる処理容器120の内部で実施してもよい。処理ガスの種類で処理容器120を替えるので、複数種類の処理ガス同士の意図しない化学反応を抑制でき、意図しない生成物の発生を抑制できる。
 また、制御装置180は、中間膜20の形成(工程S102)と、SAM30の形成(工程S103)と、中間膜20の昇華(工程S104)と、対象膜40の形成(工程S105)とを同一の処理容器120の内部で実施してもよい。複数の処理容器120の間で基板10を搬送する時間を削減でき、基板10の処理速度を向上できる。また、処理ユニット110の数を低減でき、成膜装置100を小型化できる。
 以上、本開示に係る成膜方法および成膜装置の実施形態について説明したが、本開示は上記実施形態などに限定されない。特許請求の範囲に記載された範疇内において、各種の変更、修正、置換、付加、削除、および組合わせが可能である。それらについても当然に本開示の技術的範囲に属する。
 本出願は、2019年3月13日に日本国特許庁に出願した特願2019-046325号に基づく優先権を主張するものであり、特願2019-046325号の全内容を本出願に援用する。
10  基板
11  導電膜
12  絶縁膜
13  金属酸化膜
14  下地基板
15  酸化ケイ素膜
20  中間膜
30  SAM(自己組織化単分子膜)
40  対象膜
100 成膜装置
110 処理ユニット
120 処理容器
130 基板保持部
140 加熱器
150 ガス供給装置
160 ガス排出装置
170 搬送装置
180 制御装置

Claims (13)

  1.  第1材料が露出する第1領域、および前記第1材料とは異なる第2材料が露出する第2領域を有する基板を準備する工程と、
     前記基板に処理ガスを供給することにより、前記第1領域および前記第2領域のうちの前記第2領域に選択的に中間膜を形成する工程と、
     前記中間膜の形成後に、前記第1領域および前記第2領域に自己組織化単分子膜を形成する工程と、
     前記基板を加熱することにより前記中間膜を昇華させ、前記第2領域から前記中間膜および前記自己組織化単分子膜を除去する工程と、
     前記中間膜の昇華後に、前記第1領域に前記自己組織化単分子膜を残した状態で、前記第1領域および前記第2領域のうちの前記第2領域に選択的に対象膜を形成する工程とを含む、成膜方法。
  2.  前記中間膜は、ケイフッ化アンモニウムの膜である、請求項1に記載の成膜方法。
  3.  前記第1材料は、金属を含む導電材料、または当該導電材料の表面酸化によって形成される酸化物であり、
     前記第2材料は、ケイ素を含む絶縁材料である、請求項1または2に記載の成膜方法。
  4.  前記中間膜を形成する処理ガスは、フッ化水素ガスとアンモニアガスとを含む、請求項1~3のいずれか1項に記載の成膜方法。
  5.  前記対象膜は、ケイ素を含む絶縁材料であり、
     前記中間膜を形成する工程と、前記自己組織化単分子膜を形成する工程と、前記中間膜を昇華させる工程と、前記対象膜を形成する工程とが繰り返し行われる、請求項1~4のいずれか1項に記載の成膜方法。
  6.  前記中間膜の形成前に、前記第2領域を表面酸化することにより、前記第2領域に露出する酸化ケイ素膜を形成する工程を含む、請求項1~5のいずれか1項に記載の成膜方法。
  7.  前記中間膜の形成前に、前記第1領域に金属が露出するように、当該金属の表面酸化で生じた金属酸化膜を除去する工程を含む、請求項1~6のいずれか1項に記載の成膜方法。
  8.  前記中間膜の形成前に、前記第2領域を表面酸化することにより、前記第2領域に露出する酸化ケイ素膜を形成する工程と、
     前記酸化ケイ素膜の形成後であって前記中間膜の形成前に、前記第1領域に金属が露出するように、当該金属の表面酸化で生じた金属酸化膜を除去する工程を含む、請求項1~7のいずれか1項に記載の成膜方法。
  9.  処理容器と、
     前記処理容器の内部で前記基板を保持する基板保持部と、
     前記基板保持部で保持されている前記基板を加熱する加熱器と、
     前記処理容器の内部にガスを供給するガス供給装置と、
     前記処理容器の内部からガスを排出するガス排出装置と、
     前記処理容器に対して前記基板を搬入出する搬送装置と、
     請求項1~8のいずれか1項に記載の成膜方法を実施するように、前記加熱器、前記ガス供給装置、前記ガス排出装置および前記搬送装置を制御する制御装置とを備える、成膜装置。
  10.  前記処理容器は、複数設けられ、
     前記制御装置は、前記中間膜を形成する工程と前記自己組織化単分子膜を形成する工程とを一の前記処理容器の内部で実施し、且つ前記中間膜を昇華させる工程を他の一の前記処理容器の内部で実施する、請求項9に記載の成膜装置。
  11.  前記制御装置は、前記中間膜を昇華させる工程と前記対象膜を形成する工程とを同一の前記処理容器の内部で実施する、請求項10に記載の成膜装置。
  12.  前記処理容器は、複数設けられ、
     前記制御装置は、前記中間膜を形成する工程と、前記自己組織化単分子膜を形成する工程と、前記中間膜を昇華させる工程と、前記対象膜を形成する工程とを互いに異なる前記処理容器の内部で実施する、請求項9に記載の成膜装置。
  13.  前記制御装置は、前記中間膜を形成する工程と、前記自己組織化単分子膜を形成する工程と、前記中間膜を昇華させる工程と、前記対象膜を形成する工程とを同一の前記処理容器の内部で実施する、請求項9に記載の成膜装置。
PCT/JP2020/008869 2019-03-13 2020-03-03 成膜方法および成膜装置 WO2020184284A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US17/593,074 US11788185B2 (en) 2019-03-13 2020-03-03 Film formation method and film formation device
KR1020217032228A KR102651431B1 (ko) 2019-03-13 2020-03-03 성막 방법 및 성막 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019046325A JP2020147792A (ja) 2019-03-13 2019-03-13 成膜方法および成膜装置
JP2019-046325 2019-03-13

Publications (1)

Publication Number Publication Date
WO2020184284A1 true WO2020184284A1 (ja) 2020-09-17

Family

ID=72426196

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/008869 WO2020184284A1 (ja) 2019-03-13 2020-03-03 成膜方法および成膜装置

Country Status (4)

Country Link
US (1) US11788185B2 (ja)
JP (1) JP2020147792A (ja)
KR (1) KR102651431B1 (ja)
WO (1) WO2020184284A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022137698A (ja) * 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060562A1 (en) * 2004-09-20 2006-03-23 International Business Machines Corporation Sub-lithographic imaging techniques and processes
EP2881977A2 (en) * 2013-12-04 2015-06-10 IMEC vzw Method to pattern substrates
US9721789B1 (en) * 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
JP2017222928A (ja) * 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1197434A (ja) 1997-07-23 1999-04-09 Hitachi Ltd 成膜装置、クリーニング方法、及び成膜方法
US20040005258A1 (en) * 2001-12-12 2004-01-08 Fonash Stephen J. Chemical reactor templates: sacrificial layer fabrication and template use
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060562A1 (en) * 2004-09-20 2006-03-23 International Business Machines Corporation Sub-lithographic imaging techniques and processes
EP2881977A2 (en) * 2013-12-04 2015-06-10 IMEC vzw Method to pattern substrates
JP2017222928A (ja) * 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
US9721789B1 (en) * 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers

Also Published As

Publication number Publication date
JP2020147792A (ja) 2020-09-17
US20220186362A1 (en) 2022-06-16
KR102651431B1 (ko) 2024-03-27
US11788185B2 (en) 2023-10-17
KR20210135293A (ko) 2021-11-12

Similar Documents

Publication Publication Date Title
TWI808199B (zh) 選擇性地形成膜之方法及系統
JP5495847B2 (ja) 半導体装置の製造方法、基板処理装置および基板処理方法
KR20110089117A (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
WO2004095559A1 (ja) シリコン酸化膜の除去方法及び処理装置
US9620357B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102288228B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2008131050A (ja) 半導体素子への金属含有膜の集積方法
WO2020189288A1 (ja) 成膜方法および成膜装置
WO2017199570A1 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
WO2021132163A1 (ja) 成膜方法及び成膜装置
WO2021060111A1 (ja) 成膜方法
WO2020184284A1 (ja) 成膜方法および成膜装置
WO2020195903A1 (ja) 成膜方法および成膜装置
WO2021131873A1 (ja) 成膜方法及び成膜装置
WO2022080153A1 (ja) 基板処理方法および基板処理装置
WO2020189509A1 (ja) 成膜方法および成膜装置
JP2006351582A (ja) 半導体装置の製造方法及び基板処理装置
JP3915697B2 (ja) 成膜方法及び成膜装置
JP2021057563A (ja) 成膜方法
WO2021060092A1 (ja) 成膜方法及び成膜装置
WO2021060109A1 (ja) 成膜方法
JP2006191151A (ja) 半導体装置の製造方法および基板処理装置
KR20240022988A (ko) 성막 방법 및 성막 장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20769707

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217032228

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 20769707

Country of ref document: EP

Kind code of ref document: A1