CN113710830A - 高台阶覆盖率钨沉积 - Google Patents

高台阶覆盖率钨沉积 Download PDF

Info

Publication number
CN113710830A
CN113710830A CN202080027971.9A CN202080027971A CN113710830A CN 113710830 A CN113710830 A CN 113710830A CN 202080027971 A CN202080027971 A CN 202080027971A CN 113710830 A CN113710830 A CN 113710830A
Authority
CN
China
Prior art keywords
chamber
tungsten
pulse
boron
reducing agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080027971.9A
Other languages
English (en)
Inventor
迈克尔·鲍斯
杨宗翰
阿南德·查德拉什卡
张星
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113710830A publication Critical patent/CN113710830A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供实现非常好的台阶覆盖率的沉积钨成核层的方法。本方法涉及包含一系列的含钨前体与含硼还原剂的交替脉冲,同时氢(H2)与含硼还原剂共流。H2流在含钨前体流之前停止。通过使H2与含硼还原剂共流但不与含钨前体流共流,能减少寄生CVD成分,得到更自限制性的处理。这进而能改善成核层的台阶覆盖率及保形性。还提供相关的装置。

Description

高台阶覆盖率钨沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
使用化学气相沉积(CVD)技术的钨(W)膜沉积为半导体制造处理的组成部分。例如,钨膜可用来作为低电阻率的电连接件,其形式包含水平内连线、相邻金属层之间的通孔、第一金属层与硅衬底上的设备之间的接触件。钨膜还可用于各种存储器应用和逻辑应用,存储器应用包含动态随机存取存储器(DRAM)的嵌入式字线(bWL)结构、3D NAND的字线的形成。然而,特征尺寸以及膜厚度的持续减小带来了各种挑战,包含沉积具有良好台阶覆盖率的膜。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开内容的一个方面涉及一种方法,其包含提供包含特征的衬底至室中,所述特征在上表面、侧壁和底部中具有开口;以及通过进行下述操作的多个循环在所述特征中沉积钨成核层:使含硼还原剂脉冲在所述室中流动,其中所述含硼还原剂被吸附至所述特征的侧壁和所述特征的底部;清扫所述室;使含钨前体脉冲在所述室中流动以与已吸附的所述含硼还原剂反应;以及清扫所述室;其中在所述含硼还原剂脉冲期间使氢(H2)流动,而在所述含钨前体脉冲期间不使H2流动,其中H2抑制所述含硼还原剂的热分解。
在一些实施方案中,所述钨成核层的厚度至少为10埃,且整个所述特征的台阶覆盖率至少为90%,所述台阶覆盖率为所述钨成核层在所述特征中任何点处的厚度比所述钨成核层在所述上表面处的厚度的比率。
在一些实施方案中,沉积所述成核层还包含下述操作的至少一个循环:使硅烷脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;清扫所述室,其中在所述含钨前体脉冲期间不使氢流动。
在一些实施方案中,在所述硅烷脉冲期间不使氢流动。在一些实施方案中,在所述硅烷脉冲期间使氢流动。在一些实施方案中,所述钨成核层的厚度介于10埃至50埃之间。在一些实施方案中,衬底温度低于350℃。在一些实施方案中,所述衬底温度介于约250℃至300℃之间。在一些实施方案中,氢与所述含硼还原剂的分解副产物反应。
在一些实施方案中,所述室中的所述含硼还原剂脉冲与惰性载气流至所述室中。
在一些实施方案中,H2比所述含硼还原剂的体积流率比介于20:1至400:1之间。在一些实施方案中,所述含硼还原剂为乙硼烷。
本公开内容的另一方面涉及一种方法,其包含提供包含特征的衬底至室中,所述特征在上表面、侧壁和底部中具有开口;通过进行下述操作的多个循环在所述特征中沉积钨成核层:使含硼还原剂脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;以及清扫所述室;其中在所述含硼还原剂脉冲期间使氢流动,而在所述含钨前体脉冲期间不使氢流动。
在一些实施方案中,所述钨成核层的厚度至少为10埃,且整个所述特征的台阶覆盖率至少为90%,所述台阶覆盖率为所述钨成核层在所述特征中任何点处的厚度比所述钨成核层在所述上表面处的厚度的比率。
在一些实施方案中,沉积所述成核层还包含下述操作的至少一个循环:使硅烷脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;以及清扫所述室,其中在所述含钨前体脉冲期间不使氢流动。
在一些实施方案中,在所述硅烷脉冲期间不使氢流动。在一些实施方案中,在所述硅烷脉冲期间使氢流动。在一些实施方案中,所述钨成核层的厚度介于10埃至50埃之间。在一些实施方案中,衬底温度低于350℃。在一些实施方案中,所述衬底温度介于约250℃至300℃之间。在一些实施方案中,氢与所述含硼还原剂的分解副产物反应。
在一些实施方案中,所述室中的所述含硼还原剂脉冲与惰性载气流至所述室中。
在一些实施方案中,H2比所述含硼还原剂的体积流率比介于20:1至400:1之间。在一些实施方案中,所述含硼还原剂为乙硼烷。
本公开内容的另一方面涉及一种装置,其包含:(a)处理室,其包含具有基座的至少一个站,所述基座被配置成保持衬底;(b)用于耦合至真空的至少一个出口;(c)一个或者多个处理气体入口,其耦合至一个或多个处理气体源;以及(d)控制器,其用于控制所述装置中的操作且包含机器可读指令,所述机器可读指令用于:使含硼还原剂脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;以及清扫所述室,其中在所述含硼还原剂脉冲期间使氢流动,而在所述含钨前体脉冲期间不使氢流动。
在一些实施方案中,所述控制器包含用于将基座温度维持在低于350℃的指令。在一些实施方案中,所述控制器包含用于将基座温度维持在介于175℃至300℃之间的指令。在一些实施方案中,所述控制器包含用于下述操作的指令:使硅烷脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;以及清扫所述室,其中在所述含钨前体脉冲期间不使氢流动。
下面参考附图描述这些和其他方面。
附图说明
图1A-1H显示了根据本文公开的实施方案的可以用钨填充的特征的示例。
图2显示了一种时序图,其显示了使用乙硼烷沉积钨成核层的方法的示例性循环。
图3示出了部分制造完成的半导体衬底中所提供的特征的示例的概图,其中钨成核层利用该特征形成。
图4为根据实施方案的适合进行沉积处理的处理系统的示例的概图。
图5为根据实施方案的适合进行沉积处理的沉积站的示例的概图。
图6为根据多种实施方案的可使用的歧管系统的示例的概图。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对本发明的透彻理解。可以在没有这些特定细节中的一些或全部的情况下实践本发明。在其他情况下,没有详细描述众所周知的处理操作,以免不必要地使本发明难以理解。虽然本发明将结合具体的实施方案进行描述,但是应当理解,其并不意在将本发明限制于这些实施方案。
本申请公开了以钨填充特征的方法及相关系统与装置。应用的示例包含逻辑及存储器触点填充、DRAM嵌入式字线填充、竖直整合的存储器栅极/字线填充、以及具有穿硅通孔(TSV)的3D集成。文中所述的方法可用于填充竖直特征(如钨通孔)及水平特征(如竖直NAND(VNAND)的字线),且该方法可用于保形及由下往上或由内往外的填充。
根据各个实施方案,特征可以通过一或多个窄和/或内凹开口、特征内的收缩部以及高深宽比来表征。图1A-1C显示可被填充的特征的示例。图1A显示了待用钨填充的竖直特征101的横截面描绘的示例。特征可包含衬底103中的特征孔洞105。衬底可以是硅晶片,如200-mm晶片、300-mm晶片、450-mm晶片,其包含具有一或多层材料层(如介电、导电、或半导体材料层)沉积于其上的晶片。在一些实施方案中,特征孔105可以具有至少约2:1、至少约4:1、至少约6:1或更高的深宽比。特征孔105也可以具有靠近开口的一定尺寸,例如约10nm至500nm之间,例如在约25nm至300nm之间的开口直径或线宽。特征孔105可以被称为未填充特征或仅仅称为特征。该特征和任何特征可以部分地由轴线118表征,轴线118延伸特征的长度,其中,竖直取向的特征具有竖直轴线,而水平取向的特征具有水平轴线。
图1B显示了具有内凹轮廓的特征101的示例。内凹轮廓为从特征的底部、封闭端、或内部往特征开口变窄的轮廓。根据各种实施方案,该轮廓可逐渐变窄并且/或者在特征开口处包括突出部。图1B示出了后者的示例,下层113内衬于特征孔105的侧壁或内部表面。下层113可以是例如扩散阻挡层、粘附层、成核层、其组合、或任何其他适用材料。下层113形成突出部115,使得下层113在特征301的开口附近比在特征101内部厚。
在一些实施方案中,可填充在特征内具有一个或多个收缩部的特征。图1C示出了具有收缩部的多种已填充特征的视图的示例。图1C中的每一个示例(a)、(b)和(c)在特征内的中点处都包括收缩部109。收缩部109的宽度可以例如在约15nm至20nm之间。使用常规技术在特征中沉积钨期间,收缩部可能会引起夹断(pinch-off),在特征的部分被填充之前,所沉积的钨会妨碍通过收缩部的进一步的沉积,从而在特征中造成空隙。示例(b)在特征开口处进一步包括衬垫/阻挡突出部115。这种突出部也可能是潜在的夹断点。示例(c)包括收缩部112,其比示例(b)中的突出部115更远离场区域。如下所述,这里所描述的方法能实现如图1C中所描绘的无空隙填充。
(如在3-D存储器结构中的)水平特征也可以被填充。图1D显示包含收缩部151的VNAND结构148中的字线150的示例。在一些实施方案中,收缩部可因为VNAND或其他结构中的柱体的存在而存在。图1E例如显示VNAND结构中的柱体125的平面图,图1F显示了柱体125的横截面概略图。图1E中的箭头代表沉积材料;当柱体125被设置在区域127与气体入口或其他沉积源之间时,相邻柱体可导致收缩部,收缩部对区域127的无空隙填充造成挑战。
图1G提供例如VNAND或包含柱体收缩部151的其他结构的水平特征的另一示例。图1G的示例为末端开口的,待沉积的材料能如箭头所示自两侧横向进入。(应注意,图1G中的示例可以视为是渲染结构的3-D特征的2D示图,其中图1G为待填充区域及图中所显示的柱体收缩部的横截面示图,图中显示将被看作平面而非横截面的收缩部)。在一些实施方案中,3-D结构的特征可在于沿着三维(例如沿着图1F的示例中的X方向、Y方向及Z方向)延伸的待填充区域,且可代表比填充沿着一维或二维延伸的孔或沟槽更有挑战的填充。例如,由于沉积气体可能会从多个维度进入特征,因此控制3-D结构的填充会具有挑战性。方法也可以用于如图1H中所示将互连件填充为钨字线,其中可以钨填充互连件特征170以连接至钨字线172。下面说明水平定向及竖直定向特征的特征填充的示例。应注意,在大多数的情况下,这些示例可应用于水平定向及竖直定向特征两者。
特征内材料的分布可以通过其台阶覆盖率来表征。为了描述的目的,“台阶覆盖率”定义为两个厚度之比,例如,特征内的材料的厚度除以开口附近的材料的厚度。为了本说明的目的,术语“特征内部”表示特征的中间部分,沿着特征轴位于特征的中点附近,例如,从特征的开口开始测量的沿着特征深度的距离的约25%至75%之间的区域,或在一些实施方案中,从特征的开口开始测量的沿着特征的轴线的距离约40%到60%之间的区域,或者从开口的位置测量的沿着特征的轴线的距离的约75%到95%之间的特征的端部。术语“在特征的开口附近”或“在特征开口附近”表示特征的顶部,其位于开口边缘或代表开口边缘的其他元件的25%内,或更具体地说,位于开口边缘或代表开口边缘的其他元件的10%内。例如,可以通过以下方式实现超过100%的台阶覆盖率:在特征的中部或底部附近填充比在特征开口处更宽的特征,或在特征内所沉积的膜比开口附近的膜厚。
本文中所述的方法涉及在沉积主体层之前沉积钨成核层。成核层通常为能促进主体含钨材料后续沉积于其上的薄保形层。根据多个实施方案,可以在特征的任何填充之前、和/或在以钨或含钨材料填充特征期间的后续时间点处沉积成核层。
在一些实现方案中,成核层是通过从反应室依次注入还原剂、任选的清扫气体、及含钨前体的脉冲来沉积。处理以循环方式重复,直到达到期望厚度。成核层厚度可取决于成核层沉积方法以及期望的主体沉积质量。一般而言,成核层厚度足以支持高质量、均匀的主体沉积。示例范围可为介于
Figure BDA0003297428730000061
之间、或介于
Figure BDA0003297428730000062
之间。
ALD技术不同于反应物一起导入的化学气相沉积(CVD)技术。在一些实施方案中,成核层利用脉冲化成核层(PNL)技术沉积。在PNL技术中,还原剂、任选的清扫气体以及含钨前体的脉冲依次被注入至反应室中并清扫出反应室。处理以循环方式重复,直到达到期望厚度。PNL广泛实现依次将用于反应的反应物添加至半导体衬底的任何循环式处理,包含ALD技术。
本文中公开了能实现极佳台阶覆盖率的钨成核层的沉积方法。方法涉及包含一系列的含钨前体与含硼还原剂的交替脉冲,同时氢(H2)与含硼还原剂共流。H2流在含钨前体流之前停止。通过使H2与含硼还原剂共流却不与含钨前体流共流,能减少寄生CVD成分,导致更自限制性的处理。这因此能改善成核层的台阶覆盖率及保形性。
ALD钨处理可以使用通过依次输送两种或更多种共反应物所实现的两个半反应。一共反应物用于使表面官能化并允许含钨物质吸附至衬底。后续的循环会导致保形膜的沉积。使氢在背景流动或在供应含钨前体期间使氢作为载气流动会导致较高的沉积速率、较厚的成核层、及较低的保形性。这是因为部分的含钨前体会被与氢的寄生CVD反应所消耗。然而,已发现,使H2与B2H6共流会改善保形性。这是因为B2H6可在ALD循环(如B2H6→2/3B3+3H2)期间分解,因而造成对CVD反应有影响的寄生反应。寄生CVD的影响会使处理的台阶覆盖率劣化。通过使B2H6与H2共流,能抑制B2H6的分解。虽然某些B2H6可能会分解,但H2的存在可大幅降低分解的量。此外,H2可能会与B2H6的分解产物或其他反应副产物反应而形成乙硼烷(如2B3+9H2→3B2H6)。因此可减少或最小化寄生CVD对沉积的影响。这使薄膜沉积处理偏移更靠近纯ALD处理且改善台阶覆盖率及保形性。
通过使氢与乙硼烷一起流动,能促进乙硼烷的化学与物理吸附而非促使乙硼烷分解为硼。这不同于使用硼牺牲层的其他沉积处理。
衬底温度可低于约350℃,例如介于约175℃至300℃之间、或介于约250℃至300℃之间。低温会导致较少分解及对沉积的更多控制。即使在这些相对低的温度下,乙硼烷仍容易分解。处理室压强的示例介于10torr至60torr之间、或介于10torr至40torr之间。在一些实施方案中,其高于10torr。例如,其也可低于10torr以减少氟并入。
示例性的成长速率可以是每一循环
Figure BDA0003297428730000071
或每一循环
Figure BDA0003297428730000072
Figure BDA0003297428730000081
当使用愈多的氢抑制寄生CVD反应并增加台阶覆盖率时,成长速率愈慢。
可调整氢:乙硼烷的体积流率比以提供特定结构的期望效果。如果体积流率比太高,乙硼烷的物理或化学吸附可能会不必要地慢。如果体积流率比太低,乙硼烷可能会分解,造成上述的寄生CVD效应。H2:B2H6的示例性范围介于20:1-400:1之间。
在一些实施方案中,乙硼烷(或其他还原剂)与惰性气体一起输送。例如,B2H6可以与氮(N2)以20:1的N2:B2H6的比例混合。在该示例中,H2对乙硼烷/惰性气体的混合物可以为1:1-20:1以获得20:1-400:1的H2:B2H6。氮是可以与乙硼烷或其他还原剂混合的气体的示例;可以使用与还原剂化学相容且不与其反应的任何惰性气体,氦(He)为另一示例。
图2显示了一时序图的示例,其显示了使用乙硼烷沉积钨成核层的方法的示例性循环。如图2中所示,氢仅在乙硼烷脉冲期间流动。
除了乙硼烷外,还可利用硅基前体(如硅烷,SiH4)沉积钨成核层。在一些实施方案中,添加硅烷脉冲作为序列的一部分:如B/W/B/W/S/W,其中B代表乙硼烷脉冲、W为含钨前体脉动、以及S为含硅前体脉冲;未明确显示插入清扫。在此类实施方案中,硅烷或其他含硅前体可以在无氢情况下脉冲化输送。
含钨前体可以钨的卤化物,其可被包含钨的氟化物(如WF6)及钨的氯化物(如WCl5及WCl6)的含硼还原剂还原。虽然上面说明了乙硼烷,但本方法可以在ALD处理温度下使用易分解的任何还原剂来实施。示例包括六硼烷和三硼烷。
在一些实施方案中,方法导致至少90%的台阶覆盖率。图3示出了部分制造完成的半导体衬底303中所提供的特征301的示例的概图,其中钨成核层305利用该特征301形成。该图还规定了层厚度的测量的不同点,包含特征上部处、特征下部处和以特征深度%计量的各个侧壁深度处。除非另外说明,否则台阶覆盖率以底部或侧壁位置处的厚度比上部位置处的厚度的比值来计量。
尽管本文的描述涉及使用乙硼烷的钨成核层沉积,但是当共反应物易于分解并且是氢化物时,可以在其他材料和其他共反应物的ALD沉积期间执行脉冲化输送氢与共反应物以改善保形性。可以沉积的其他金属的示例包括钼(Mo)和钌(Ru)。
实验
利用处理A及B在相同尺寸的结构上进行钨成核层的ALD:
处理A:(B2H6-Ar清扫-WF6-Ar清扫)的多个循环,H2流量恒定。
处理B:(B2H6-Ar清扫-WF6-Ar清扫)的多个循环,H2只在B2H6脉冲期间流量恒定。
台阶覆盖率相对于上部水平表面上沉积的膜在上侧壁处、中侧壁处、及下侧壁处测量。上侧壁是指特征深度的约5%处的点、中侧壁是指特征深度约50%处的点、下侧壁是指特征深度约95%处的点。
处理A 处理B
上侧壁 91.7% 98.8%
中侧壁 70.8% 100%
下侧壁 58.3% 91.8%
如上所见,仅在B2H6脉冲期间使H2共流造成明显改善的台阶覆盖率。将第三处理用于不同结构:
处理C:(B2H6-Ar清扫-WF6-Ar清扫)的多个循环,自始至终都没有H2流动:
处理C
上侧壁 83%
中侧壁 74%
下侧壁 66%
在成核层沉积之后,可以钨主体层填充特征。在一些实施方案中,钨主体沉积可以通过CVD处理进行,在CVD处理中,还原剂和含钨的前体流入沉积室以在特征中沉积主体填充层。在一些实现方案中,钨主体沉积可以通过ALD处理进行,其中还原剂与含钨前体依次导入沉积室中以在特征中沉积主体填充层。如果使用CVD,则该操作可涉及使反应物连续流动,直到沉积出所需量为止。在某些实现方式中,CVD操作可以分多个阶段进行,其中反应物的连续和同时流动的多个时间段被一个或多个反应物流动的时间段分开。此外,在特征填充期间可进行钨生长和/或蚀刻的抑制。
包括但不限于WF6、WCl6和W(CO)6的各种含钨气体可以用作含钨前体。在某些实现方式中,含钨前体是含卤素的化合物,例如WF6。在某些实现方式中,还原剂是氢气,但也可以使用其它还原剂,包括硅烷(SiH4)、乙硅烷(Si2H6)、肼(N2H4)、乙硼烷(B2H6)和锗烷(GeH4)。在许多实现方式中,在CVD处理中使用氢气作为还原剂。在一些其它实现方式中,可以使用可分解以形成钨主体层的钨前体。也可以使用包括ALD处理在内的其他类型的处理进行主体沉积。
可以根据各种实现方式进行沉积,直到实现特定的特征轮廓和/或沉积一定量的钨为止。在一些实现方式中,可以通过建模和/或反复试验来确定沉积时间和其他相关参数。例如,对于内向外填充处理的初始沉积(其中钨可以共形地沉积在特征中直至夹断),可以根据特征尺寸直接确定钨的厚度和相应的沉积时间,以实现夹断。在一些实现方式中,处理室可配备有各种传感器以执行原位计量测量以用于沉积操作的终点检测。原位计量的示例包括光学显微镜和X射线荧光(XRF),以用于确定沉积膜的厚度。
应理解,本文所述的钨膜可包括一些量的其他化合物、掺杂剂和/或杂质,例如氮、碳、氧、硼、磷、硫、硅、锗等,具体取决于所使用的特定的前体和方法。膜中的钨含量可以在20%至100%(原子)钨的范围内。在许多实现方式中,膜是富含钨的,具有至少50%(原子)的钨,或甚至至少约60%、75%、90%或99%(原子)的钨。在一些实现方式中,膜可以是金属或元素钨(W)和其他含钨化合物(例如碳化钨(WC)、氮化钨(WN)等)的混合物。
这些材料的CVD和ALD沉积可包括使用任何适当的前体。例如,氮化钨的CVD和ALD沉积可包括使用含卤素和无卤素的含钨和含氮化合物。
装置
任何合适的室均可用于实施所公开的实施方式。示例性沉积装置包括多种系统,例如
Figure BDA0003297428730000111
Figure BDA0003297428730000112
Max,其可从加州弗里蒙特的Lam Research Corp.获得,或多种其他市售的处理系统中的任何一种。在一些实施方式中,原子层沉积(ALD)可以在第一站处执行,该第一站是位于单个沉积室内的两个、五个或甚至更多个沉积站中的一个。因此,例如,乙硼烷(B2H6)/氢(H2)共流和六氟化钨(WF6)可以使用在半导体衬底的表面产生局部气氛的单独的气体供给系统以交替的脉冲引入到在第一站处的该衬底表面。另一站可用于钨主体层沉积。可以使用两个或更多个站来以并联处理方式沉积钨。替代地,可以将晶片换位,以顺序地在两个或更多个站上执行操作。
图4是根据实施方案的适于进行沉积处理的处理系统的示意图。系统400包括转移模块403。转移模块403提供清洁、加压的环境以最小化被处理的衬底在各种反应器模块之间移动时其被污染的风险。根据多种实施方案,能够执行ALD和CVD的多站式反应器409安装在传输模块403上。多站式反应器409可以包括多个站411、413、415和417,其可以根据所公开的实施方案顺序地执行操作。例如,可以配置多站式反应器409,以使得站411使用含氯的钨前体或含氟的前体执行钨成核层沉积,并且站413根据多种实施方案执行ALD钨沉积操作。在一些实施方案中,站415也可以形成ALD钨沉积操作,并且站417可以执行CVD操作。
站可以包括加热基座或衬底支撑件、一个或多个气体入口或喷头或分散板。沉积站500的实例在图5中示出,其包括衬底支撑件502和喷头503。加热器可以设置在基座部分501内。
回到图4,也可以安装在所述传送模块403上的是一个或更多个单或多站式模块407,其能进行等离子体或化学(非等离子体)预清洗、其他沉积操作或者蚀刻操作。该模块也可用于多种处理,以例如制备用于沉积处理的衬底。所述系统400还包括一个或更多个晶片源模块401,在处理之前和之后晶片被存储在晶片源模块401。大气转移室419中的大气机械手(未示出)可以首先将晶片从源模块401移动到装载锁421。传送模块403中的晶片转移装置(通常为机械手臂单元)将晶片从装载锁421移动到安装在传送模块403上的模块上以及将晶片在这些模块之间移动。
在多种实施方式中,采用系统控制器429控制沉积过程中的处理条件。所述控制器429将通常包括一个或更多个存储器器件和一个或更多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器429可控制所有沉积装置的活动。所述系统控制器429运行系统控制软件,所述系统控制软件包括用于控制定时、气体混合、室压力、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和特定处理的其他参数的指令集。在一些实施方式中,可以使用存储在与控制器429相关的存储器器件上的其他计算机程序。
通常,将有与控制器429相关联的用户界面。用户界面可包括显示屏,所述装置和/或处理条件的图形软件显示器和用户输入装置,例如定点装置、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路以及具有作为硬件实施的具体算法的其他装置中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。
用于控制处理序列中的含锗还原剂脉冲、氢气流、和含钨前体脉冲以及其他处理的计算机程序代码可以任何常规的计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其它写入。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。还如所指示的,程序代码可以是硬编码的。
控制器参数涉及处理条件,诸如例如处理气体组成和流率、温度、压力、冷却气体压强、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。
用于监控处理的信号可以通过系统控制器429的模拟和/或数字输入连接来提供。用于控制处理的信号通过沉积装置400的模拟和数字输出连接件输出。
所述系统软件可以许多不同的方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制根据公开的实施方式执行沉积处理所需要的室组件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码、和加热器控制代码。
在一些实施方案中,控制器429是系统的一部分,该系统可以是上述实施例的一部分。这样的系统包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器429可以被编程,以控制本发明所公开的处理中的任何一些,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、等离子体脉冲频率设置、流体输送设置、位置和操作设置、晶片的进出工具和其他转移工具和/或连接到特定系统的或与该系统接口的加载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方案中,所述操作参数可以是由工艺工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器429可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器429可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
控制器429可以包括不同的程序。衬底定位程序可包括用于控制室组件的程序代码,所述室组件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件例如气体入口和/或靶之间的间隔。处理气体控制程序可包括用于控制气体组成、流率、脉冲时间以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包括用于通过调节例如室中的排气系统中的节流阀而控制室中的压力的代码。加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。或者,所述加热器控制程序可控制传热气体例如氦气向晶片卡盘的输送。
可在沉积过程中被监控的室传感器的示例包括质量流量控制器、压力传感器例如压力计和位于基座或卡盘中的热电偶。经适当编程的反馈和控制算法可与来自这些传感器的数据一起用于维持所需的处理条件。
该装置可以包括气体歧管系统,该气体歧管系统向各种气体分配管线提供管线填料,如图6示意性地示出的。歧管604具有来自含钨前体气体的源601的输入,源601可包含累积装置(未显示),累积装置也可被称为填料体积。歧管611具有来自氢(H2)的源609的输入以及来自含乙硼烷的混合物或其他还原气体(未显示)的源610的输入。这些源中的两者都可以包含累积装置(未显示)。歧管621具有来自清扫气体的源619的输入,其也可以包含累积装置。歧管604、611以及621分别通过具有阀的分配线605、613以及625将含钨前体气体、共反应物气体以及清扫气体提供至沉积室。可以打开或关闭各种阀以提供管线填料,即,对分配管线加压。例如,为了给分配管线605加压,将阀606朝真空关闭,并且将阀608关闭。在适当的时间增量之后,将阀608打开并且共流气体被输送到室。在输送气体的适当时间之后,关闭阀608。然后可以通过朝真空打开阀606以将室清扫至真空。
类似的处理用于输送还原气体。为了引入还原气体,例如,通过朝向真空关闭阀615以及关闭阀617将分配管线613填充。阀615的打开使得能将还原气体输送到室。
类似地,为了引入清扫气体,通过朝向真空关闭阀627和关闭阀623对分配管线625进行填充。阀627的打开使得能将氩气或其他惰性清扫气体输送到室。
乙硼烷或其他还原气体可以在处理的任何点处与氢混合而不限于图6中的配置。例如,可使用存储的氢/乙硼烷混合物。替代地,氢/乙硼烷可自分离的源所获得,但是在处理期间在输送至处理室之前的某点处混合、或者氢/乙硼烷可分别输送至处理室,其中在处理室中进行混合。
图6还示出了真空泵,其中可以分别打开阀606、617和623以清扫系统。通过各种分配管线的气体供应由控制器(例如质量流量控制器)控制,该控制器由微处理器、数字信号处理器等控制,其用流率、流量持续时间和处理的排序进行编程。
注意,上述处理可能在沉积过程中需要阀和向半导体衬底供应试剂脉冲的质量流量控制器(MFC)的精确定时。在使得这成为可能的一种方式中,将阀和MFC命令以离散的信息包形式传递到嵌入式数字输入输出控制器(IOC),该信息包中包含针对沉积序列的全部或一部分的所有时间关键命令的指令。Lam Research的ALTUS系统至少提供一种IOC序列。IOC可以物理上位于装置中的各个位置,例如,在处理模块内或在位于离处理模块一定距离处的独立电源架上。每个模块中可以有多个IOC(例如,每个模块3个IOC)。关于序列中包含的实际指令,所有用于(针对所有载气和反应气体)控制阀和设置MFC的流量的命令都可以包含在单个IOC序列中。这确保了所有设备的定时从绝对角度以及彼此相对的角度被严格控制。通常存在在任何给定时间运行的多个IOC序列。举例来说,这使得ALD能在站1-2运行,其中控制在这些工作站上沉积ALD成核层所需的用于所有硬件部件的所有定时。第二序列可以同时运行以在相同模块中的其他沉积站沉积主体金属。控制将试剂输送到站3-4的设备的相对定时在该组设备中很重要,但是在站1-2处ALD处理的相对定时可以偏离站3-4的相对定时。IOC以打包的序列转换信息,并将数字或模拟命令信号直接传递到MFC或控制阀的气动螺线管组。
可以如下产生含钨前体气体的脉冲。最初,系统在MFC或其他流量控制设备稳定的同时将WF6转移到真空泵持续一段时间。在一个示例中,这可以进行介于约0.5到5秒之间的时段。接下来,系统通过关闭通往真空的阀606和通往沉积室的阀608两者来对钨气输送歧管加压。例如,这可以进行约0.1秒至5秒之间的时段,以在打开通往沉积室的阀时产生试剂的初始脉冲。在一个示例中,这通过打开阀508持续约0.1至10秒来实现。
之后,使用合适的清扫气体从沉积室清扫含钨气体。与上文类似,系统可通过关闭阀623和阀627给清扫气体输送歧加压管。还关闭通往累积装置(未显示)的阀,以使得能将累积装置加压。例如,这可进行介于约0.1至5秒的一段时间,以在通往沉积室之阀为开启时从沉积室快速冲洗试剂。当通往沉积室的阀627开启时,累积装置的出口阀同时开启或之后立即开启以增加流入沉积室中的清扫气体的质量流量。可以使用多个累积装置,在单一脉动操作期间依次使经加压的相同反应物或清扫气体流入室中。这可以增加总质量流率。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实现方案。本文描述的设备和处理可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
虽然为了清楚理解的目的,已经在一定程度上详细描述了上述实施方案,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,有实现本发明的实施方案的处理、系统、和设备的许多替代方式。因此,本发明的实施方案应被认为是说明性的而不是限制性的,并且这些实施方案并不受限于这里给出的细节。

Claims (17)

1.一种方法,其包含:
提供包含特征的衬底至室中,所述特征在上表面、侧壁和底部中具有开口;以及
通过进行下述操作的多个循环在所述特征中沉积钨成核层:
使含硼还原剂脉冲在所述室中流动,其中所述含硼还原剂被吸附至所述特征的侧壁和所述特征的底部;
清扫所述室;
使含钨前体脉冲在所述室中流动以与已吸附的所述含硼还原剂反应;以及
清扫所述室;
其中在所述含硼还原剂脉冲期间使氢(H2)流动,而在所述含钨前体脉冲期间不使H2流动,其中H2抑制所述含硼还原剂的热分解。
2.根据权利要求1所述的方法,其中所述钨成核层的厚度至少为10埃,且整个所述特征的台阶覆盖率至少为90%,所述台阶覆盖率为所述钨成核层在所述特征中任何点处的厚度比所述钨成核层在所述上表面处的厚度的比率。
3.根据权利要求1所述的方法,其中沉积所述成核层还包含下述操作的至少一个循环:使硅烷脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;清扫所述室,其中在所述含钨前体脉冲期间不使氢流动。
4.根据权利要求3所述的方法,其中在所述硅烷脉冲期间不使氢流动。
5.根据权利要求3所述的方法,其中在所述硅烷脉冲期间使氢流动。
6.根据权利要求1所述的方法,其中所述钨成核层的厚度介于10埃至50埃之间。
7.根据上述权利要求中任一项所述的方法,其中所述衬底温度低于350℃。
8.根据上述权利要求中任一项所述的方法,其中所述衬底温度介于约250℃至300℃之间。
9.根据上述权利要求中任一项所述的方法,其中氢与所述含硼还原剂的分解副产物反应。
10.根据上述权利要求中任一项所述的方法,其中所述室中的所述含硼还原剂脉冲与惰性载气流至所述室中。
11.根据上述权利要求中任一项所述的方法,其中所述H2比所述含硼还原剂的体积流率比介于20:1至400:1之间。
12.根据上述权利要求中任一项所述的方法,其中所述含硼还原剂为乙硼烷。
13.一种方法,其包含:
提供包含特征的衬底至室中,所述特征在上表面、侧壁和底部中具有开口;
通过进行下述操作的多个循环在所述特征中沉积钨成核层:
使含硼还原剂脉冲在所述室中流动;
清扫所述室;
使含钨前体脉冲在所述室中流动;以及
清扫所述室;
其中在所述含硼还原剂脉冲期间使氢流动,而在所述含钨前体脉冲期间不使氢流动。
14.一种装置,其包含:
(a)处理室,其包含具有基座的至少一个站,所述基座被配置成保持衬底;
(b)用于耦合至真空的至少一个出口;
(c)一个或者多个处理气体入口,其耦合至一个或多个处理气体源;以及
(d)控制器,其用于控制所述装置中的操作且包含机器可读指令,所述机器可读指令用于:
使含硼还原剂脉冲在所述室中流动;
清扫所述室;
使含钨前体脉冲在所述室中流动;以及
清扫所述室;
其中在所述含硼还原剂脉冲期间使氢流动,而在所述含钨前体脉冲期间不使氢流动。
15.根据权利要求14所述的装置,其中所述控制器包含用于将基座温度维持在低于350℃的指令。
16.根据权利要求14所述的装置,其中所述控制器包含用于将基座温度维持在介于175℃至300℃之间的指令。
17.根据权利要求14所述的装置,其中所述控制器包含用于下述操作的指令:使硅烷脉冲在所述室中流动;清扫所述室;使含钨前体脉冲在所述室中流动;以及清扫所述室,其中在所述含钨前体脉冲期间不使氢流动。
CN202080027971.9A 2019-04-11 2020-04-07 高台阶覆盖率钨沉积 Pending CN113710830A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962832291P 2019-04-11 2019-04-11
US62/832,291 2019-04-11
PCT/US2020/027107 WO2020210260A1 (en) 2019-04-11 2020-04-07 High step coverage tungsten deposition

Publications (1)

Publication Number Publication Date
CN113710830A true CN113710830A (zh) 2021-11-26

Family

ID=72751976

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080027971.9A Pending CN113710830A (zh) 2019-04-11 2020-04-07 高台阶覆盖率钨沉积

Country Status (6)

Country Link
JP (1) JP2022522226A (zh)
KR (1) KR20210141762A (zh)
CN (1) CN113710830A (zh)
SG (1) SG11202111277UA (zh)
TW (1) TW202104638A (zh)
WO (1) WO2020210260A1 (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020048938A1 (en) * 1998-12-18 2002-04-25 Hotaka Ishizuka Tungsten film forming method
US20060040052A1 (en) * 2001-10-10 2006-02-23 Hongbin Fang Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080317954A1 (en) * 2001-07-13 2008-12-25 Xinliang Lu Pulsed deposition process for tungsten nucleation
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
CN105097446A (zh) * 2014-05-09 2015-11-25 朗姆研究公司 使用氯化钨前体制备钨和氮化钨薄膜的方法
WO2019036292A1 (en) * 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020048938A1 (en) * 1998-12-18 2002-04-25 Hotaka Ishizuka Tungsten film forming method
US20080317954A1 (en) * 2001-07-13 2008-12-25 Xinliang Lu Pulsed deposition process for tungsten nucleation
US20060040052A1 (en) * 2001-10-10 2006-02-23 Hongbin Fang Methods for depositing tungsten layers employing atomic layer deposition techniques
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
CN105097446A (zh) * 2014-05-09 2015-11-25 朗姆研究公司 使用氯化钨前体制备钨和氮化钨薄膜的方法
WO2019036292A1 (en) * 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE

Also Published As

Publication number Publication date
KR20210141762A (ko) 2021-11-23
US20220181158A1 (en) 2022-06-09
TW202104638A (zh) 2021-02-01
SG11202111277UA (en) 2021-11-29
JP2022522226A (ja) 2022-04-14
WO2020210260A1 (en) 2020-10-15

Similar Documents

Publication Publication Date Title
US11348795B2 (en) Metal fill process for three-dimensional vertical NAND wordline
US9754824B2 (en) Tungsten films having low fluorine content
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN111357083A (zh) 自限制生长
CN113166929A (zh) 无空隙低应力填充
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
US20220364232A1 (en) Tungsten deposition
US10199267B2 (en) Tungsten nitride barrier layer deposition
US12002679B2 (en) High step coverage tungsten deposition
US20220181158A1 (en) High step coverage tungsten deposition
US20240158913A1 (en) Reducing line bending during metal fill process
TWI835366B (zh) 用於三維垂直nand字元線的金屬填充製程
US20220186370A1 (en) Rapid flush purging during atomic layer deposition
CN117957636A (zh) 半导体处理期间的处理气体渐变
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination