TW202104638A - 高階梯覆蓋率鎢沉積 - Google Patents
高階梯覆蓋率鎢沉積 Download PDFInfo
- Publication number
- TW202104638A TW202104638A TW109111977A TW109111977A TW202104638A TW 202104638 A TW202104638 A TW 202104638A TW 109111977 A TW109111977 A TW 109111977A TW 109111977 A TW109111977 A TW 109111977A TW 202104638 A TW202104638 A TW 202104638A
- Authority
- TW
- Taiwan
- Prior art keywords
- tungsten
- processing chamber
- boron
- feature
- reducing agent
- Prior art date
Links
- 239000010937 tungsten Substances 0.000 title claims abstract description 119
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 119
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 118
- 230000008021 deposition Effects 0.000 title description 60
- 238000000151 deposition Methods 0.000 claims abstract description 71
- 238000000034 method Methods 0.000 claims abstract description 53
- 239000002243 precursor Substances 0.000 claims abstract description 50
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 48
- 230000006911 nucleation Effects 0.000 claims abstract description 44
- 238000010899 nucleation Methods 0.000 claims abstract description 44
- 239000001257 hydrogen Substances 0.000 claims abstract description 41
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 41
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 40
- 229910052796 boron Inorganic materials 0.000 claims abstract description 40
- 230000008569 process Effects 0.000 claims abstract description 21
- 238000012545 processing Methods 0.000 claims description 130
- 239000007789 gas Substances 0.000 claims description 47
- 239000000758 substrate Substances 0.000 claims description 39
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 38
- 230000010349 pulsation Effects 0.000 claims description 37
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 15
- 229910000077 silane Inorganic materials 0.000 claims description 15
- 238000010926 purge Methods 0.000 claims description 14
- 238000011282 treatment Methods 0.000 claims description 14
- 238000007664 blowing Methods 0.000 claims description 13
- 238000000354 decomposition reaction Methods 0.000 claims description 7
- 239000012159 carrier gas Substances 0.000 claims description 5
- 239000006227 byproduct Substances 0.000 claims description 4
- 150000002431 hydrogen Chemical class 0.000 claims description 4
- 230000008878 coupling Effects 0.000 claims description 2
- 238000010168 coupling process Methods 0.000 claims description 2
- 238000005859 coupling reaction Methods 0.000 claims description 2
- 238000005979 thermal decomposition reaction Methods 0.000 claims description 2
- 230000003071 parasitic effect Effects 0.000 abstract description 8
- 125000004435 hydrogen atom Chemical class [H]* 0.000 abstract 1
- 235000012431 wafers Nutrition 0.000 description 23
- 238000000231 atomic layer deposition Methods 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 239000010408 film Substances 0.000 description 17
- 238000011049 filling Methods 0.000 description 16
- 239000004065 semiconductor Substances 0.000 description 16
- 239000000463 material Substances 0.000 description 13
- 239000000376 reactant Substances 0.000 description 13
- 238000009826 distribution Methods 0.000 description 11
- 238000012546 transfer Methods 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 239000000203 mixture Substances 0.000 description 7
- 238000009825 accumulation Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 238000005516 engineering process Methods 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 230000005540 biological transmission Effects 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical group 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000012625 in-situ measurement Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- -1 tungsten nitrides Chemical class 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
提供能達到極佳階梯覆蓋率的鎢成核層沉積方法。本方法涉及交替含鎢前驅物與含硼還原劑之脈動的程序,其中氫(H2
)與含硼還原劑共流。H2
流在含鎢前驅物流之前停止。藉著使H2
與含硼還原劑流共流但不與含鎢前驅物流共流,能減少寄生CVD成分,得到更自我限制性之處理。這因此能改善成核層之階梯覆蓋率及順形性。亦提供相關之設備。
Description
本發明係關於高階梯覆蓋率之鎢沉積方法及其相關設備。
使用化學氣相沉積(CVD)技術的鎢(W)膜沉積為半導體製造程序的一整合部分。例如,鎢膜可用來作為低電阻率之電連接件,形式包含水平內連線、相鄰金屬層之間的通孔、第一金屬層與矽基板上之裝置之間的接觸件。鎢膜亦可用於各種記憶體應用及邏輯應用,包含形成動態隨機存取記憶體(DRAM)之嵌入式字元線(bWL)結構、3D NAND之字元線。然而,特徵部尺寸持續微縮且薄膜厚度遇到各種挑戰,包含沉積具有良好階段覆蓋的薄膜。
文中所提供的背景說明係針對大致上說明本發明之背景的目的。吾人並未明示亦未暗示在此背景部分說明之目前列名發明人的成果以及在申請時無法適格作為先前技藝的說明態樣為本發明的前案。
本發明之一態樣係關於一種方法,包含:提供包含一特徵部的一基板至一處理室中,該特徵部在一上表面、一側壁、及一底部中具有一開口;及藉著進行下列者的複數循環在該特徵部中沉積一鎢成核層:使一含硼還原劑脈動在該處理室中流動,其中該含硼還原劑係吸附至該特徵部之該側壁及該特徵部之該底部;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動以與已吸附之該含硼還原劑反應;及吹淨該處理室,其中在該含硼還原劑脈動期間流動氫(H2
)但在該含鎢前驅物脈動期間未流動H2
,其中H2
抑制該含硼還原劑的熱分解。
在某些實施例中,該鎢成核層的一厚度至少為10埃且整個該特徵部的一階梯覆蓋率至少為90%,該階梯覆蓋率為該鎢成核層在該特徵部中任何點處的一厚度比該鎢成核層在該上表面處的一厚度。
在某些實施例中,沉積該成核層更包含下列者的至少一循環:使一矽烷脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;吹淨該處理室,其中在該含鎢前驅物脈動期間未流動氫。
在某些實施例中,在該矽烷脈動期間未流動氫。在某些實施例中,在該矽烷脈動期間有流動氫。在某些實施例中,該鎢成核層的該厚度係介於10埃至50埃之間。在某些實施例中,一基板溫度係低於350o
C。在某些實施例中,該基板溫度係介於約250o
C至300o
C之間。在某些實施例中,氫與該含硼還原劑的分解副產物反應。
在某些實施例中,該處理室中的該含硼還原劑脈動與一惰性載氣流至該處理室中。
在某些實施例中,其中H2
對該含硼還原劑的一體積流率比係介於20:1至400:1之間。在某些實施例中,該含硼還原劑為乙硼烷。
另一態樣係關於一種方法,包含:提供包含一特徵部的一基板至一處理室中,該特徵部在一上表面、一側壁、及一底部中具有一開口;及藉著進行下列者的複數循環在該特徵部中沉積一鎢成核層:使一含硼還原劑脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;及吹淨該處理室,其中在該含硼還原劑脈動期間流動氫但在該含鎢前驅物脈動期間未流動氫。
在某些實施例中,該鎢成核層的一厚度至少為10埃且整個該特徵部的一階梯覆蓋率至少為90%,該階梯覆蓋率為該鎢成核層在該特徵部中任何點處的一厚度比該鎢成核層在該上表面處的一厚度。
在某些實施例中,沉積該成核層更包含下列者的至少一循環:使一矽烷脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;吹淨該處理室,其中在該含鎢前驅物脈動期間未流動氫。
在某些實施例中,在該矽烷脈動期間未流動氫。在某些實施例中,在該矽烷脈動期間有流動氫。在某些實施例中,該鎢成核層的該厚度係介於10埃至50埃之間。在某些實施例中,一基板溫度係低於350o
C。在某些實施例中,該基板溫度係介於約250o
C至300o
C之間。在某些實施例中,氫與該含硼還原劑的分解副產物反應。
在某些實施例中,該處理室中的該含硼還原劑脈動與一惰性載氣流至該處理室中。
在某些實施例中,H2
對該含硼還原劑的一體積流率比係介於20:1至400:1之間。在某些實施例中,該含硼還原劑為乙硼烷。
另一態樣係關於一種設備,包含:(a)一處理室,包含具有一基座的至少一站,該基座係用以支撐一基板;(b)用以耦合至一真空的至少一出口;(c)一或多個處理氣體入口,耦合至一或多個處理氣體源;及(d)一控制器,用以控制該設備中的複數操作且包含用於下列者之複數機器可讀指令:使一含硼還原劑脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;及吹淨該處理室,其中在該含硼還原劑脈動期間流動氫但在該含鎢前驅物脈動期間未流動氫。
在某些實施例中,該控制器包含用以將一基座溫度維持在低於350o
C的指令。在某些實施例中,該控制器包含用以將一基座溫度維持在介於175o
C 至300o
C之間的指令。在某些實施例中,該控制器包含用於下列者的指令:使一矽烷脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;及吹淨該處理室,其中在該含鎢前驅物脈動期間未流動氫。
下面將參考附圖說明此些及其他態樣。
在下面的說明中將列舉許多特定細節以提供對本發明實施例的全面瞭解。所揭露的實施例可在毋須部分或全部此些細節的情況下實施。在其他情況中,未詳細說明習知的製程操作以免不必要地模糊所揭露的實施例。雖然已參考特定實施例說明所揭露的實施例,但應瞭解,其意不在限制所揭露的實施例。
本申請案揭露以鎢填充特徵部之方法及相關系統與設備。應用實例包含邏輯及記憶體接觸件填充、DRAM嵌入式字元線填充、垂直整合之記憶體閘極/字元線填充、及具有矽穿孔(TSV)的3D整合結構。文中所述的方法可用於填充垂直特徵部(如鎢通孔)及水平特徵部(如垂直NAND (VNAND)的字元線),且方法可用於順形及由下往上或由內往外之填充。
根據各個實施例,特徵部之特徵在於一或多個窄及/或凹角開口、特徵部內的收縮部、及高深寬比。圖1A–1C顯示可被填充之特徵部的實例。圖1A顯示欲以鎢填充之垂直特徵部101的橫剖面例示實例。特徵部可包含基板103中之特徵部孔洞105。基板可為矽晶圓,如200-mm晶圓、300-mm晶圓、450-mm晶圓,其包含具有一或多層材料層(如介電、導電、或半導體材料層)沉積於其上的晶圓。在某些實施例中,特徵部孔洞105可具有至少約2:1、至少約4:1、至少約6:1或更高的深寬比。特徵部孔洞105在開口附近亦可具有一尺寸的開口直徑或線寬,如介於約10 nm至500 nm、例如介於約25 nm至約300 nm。特徵部孔洞105可被稱為尚未填充的特徵部或簡稱為特徵部。特徵部及任何特徵部可部分被下列者所特徵化:延伸通過特徵部長度的軸118、垂直位向的特徵部具有垂直軸而水平位向的特徵部具有水平軸。
圖1B顯示具有凹角輪廓的特徵部101的一實例。凹角輪廓為自特徵部底部、封閉端、或內部向特徵部開口變窄的一種輪廓。根據各個實施例,輪廓可逐漸變窄及/或包含特徵部開口處的一懸凸。圖1B顯示後者的一實例,其具有下層113襯於特徵部孔洞105的側壁或複數內表面。下層113可例如為擴散阻障層、黏著層、成核層、其組合、或任何其他可應用的材料。下層113形成懸凸115,因此下層113在靠近特徵部101的開口處比特徵部101內部更厚。
在某些實施例中,可填充特徵部內具有一或多個收縮部的特徵部。圖1C顯示各個已填充之具有收縮部的特徵部的例示圖。圖1C中之每一實例(a)、(b)、(c)皆包含在特徵部內之中點處的收縮部109。收縮部109可例如介於約15 nm–20 nm寬。收縮部可在利用傳統技術於特徵部中沉積鎢的期間造成夾止,使得已沉積的鎢在特徵部超過收縮部的部分被填滿之前阻擋進一步沉積至超過收縮部的部分,造成特徵部中留下空洞。實例(b)更進一步包含在特徵部開口處的襯墊/阻障懸凸115。此類懸凸亦可為潛在的夾止點。實例(c)包含收縮部112,收縮部112比實例(b)中的懸凸115更遠離場域。如下所述,文中所揭露的方法能達到圖1C中所示的無孔填充。
水平特徵部(如在3-D 記憶體結構中者)亦可受到填充。圖1D顯示包含收縮部151之VNAND結構148中之字元線150的實例。在某些實施例中,收縮部可因為VNAND或其他結構中的柱體的存在而存在。圖1E例如顯示VNAND結構中之柱體125的平面圖,圖1F顯示柱體125之橫剖面概略簡圖。圖1E中的箭頭代表沉積材料;當柱體125被設置在區域127與氣體入口或其他沉積源之間時,相鄰柱體可導致收縮部,收縮部對區域127的無孔填充造成挑戰。
圖1G提供例如VNAND或包含柱體收縮部151之其他結構之水平特徵部的另一實例。圖1G的實例為末端開口的,欲沉積的材料能如箭頭所示自兩側橫向進入。(應注意,圖1G中的實例可視為是3-D 特徵部結構的2D示圖,圖1G為欲填充區域及柱體收縮部的橫剖面圖,圖示中將收縮部顯示為平面而非橫剖面)。在某些實施例中,3-D結構的特徵可在於沿著三維(例如沿著圖1F 之實例中的X方向、Y方向及Z方向)延伸之欲填充區域,且可代表比填充沿著一維或二維延伸之孔洞或溝槽更有挑戰的填充。例如,由於沉積氣體可能會自多個維度進入特徵部,因此3-D結構的控制填充較有挑戰。方法亦可用於如圖1H 中所示將內連線填充為鎢字元線,其中可以鎢填充內連線特徵部 170以連接至鎢字元線 172。下面說明水平位向及垂直位向特徵部之特徵部填充的實例。應注意,在大多數的情況中,實例可應用至水平位向或垂直位向特徵部兩者。
特徵部內之材料的分佈可以其階梯覆蓋率特徵化。對於本文的目的而言,「階梯覆蓋率」被定義為兩個厚度的比值,例如特徵部內部之材料的厚度除以開口附近之材料的厚度。對於本文的目的而言,「特徵部內部」代表沿著特徵部之軸的特徵部中間點附近的特徵部中間部分,例如在某些實施例中,自特徵部開口沿著特徵部深度量測介於約25% 至75%之距離之間、或介於約40%至60%之距離之間的區域、或自開口沿著特徵部之軸量測介於約75% 至95%之距離之間的特徵部末端部。「特徵部開口附近」一詞代表位於25%內、更尤其落在開口邊緣之10%內的特徵部上部、或代表開口邊緣的其他部分。例如藉由下列方式可達到超過100%的階梯覆蓋率:填充特徵部使其特徵部中間或底部附近比特徵部開口處更寬,或在特徵部內所沉積的薄膜比開口附近的薄膜更厚。
文中所述的方法涉及在沉積大塊層之前沉積鎢成核層。成核層通常為能促進大塊含鎢材料後續沉積於其上的薄順形層。根據各個實施例,可在特徵部的任何填充之前、及/或在以鎢或含鎢材料填充特徵部期間的後續時間點處沉積成核層。
在某些實施例中,成核層係藉由自反應室依序注射還原劑、選擇性之吹淨氣體、及含鎢前驅物的脈動加以沉積。處理係以循環方式重覆,直到達到期望厚度。成核層厚度可取決於成核層沉積方法以及期望的大塊沉積品質。一般而言,成核層厚度係足以支持高品質、均勻的大塊沉積。實例範圍可為介於5Å-100Å之間、或介於12Å-50Å之間。
ALD技術不同於反應物一起導入的化學氣相沉積(CVD)技術。在某些實施例中,成核層係利用脈動成核層(PNL)技術沉積。在PNL技術中,還原劑、選擇性之吹淨氣體、及含鎢前驅物的脈動係依序被注射至反應室中並吹淨反應室。 處理係以循環方式重覆,直到達到期望厚度。PNL廣泛實現依序將反應用之反應物添加至半導體基板的任何循環式處理,包含ALD技術。
文中揭露能達到極佳階梯覆蓋率之鎢成核層的沉積方法。方法涉及交替含鎢前驅物與含硼還原劑之脈動的程序,其中氫(H2
)與含硼還原劑共流。H2
流在含鎢前驅物流之前停止。藉著使H2
與含硼還原劑共流卻不與含鎢前驅物流共流,能減少寄生CVD成分,得到更自我限制性之處理。這因此能改善成核層之階梯覆蓋率及順形性。
ALD鎢處理可使用藉著依序輸送兩種或更多種共反應物所致能的兩個半反應。一共反應物用作為使表面官能化並允許含鎢物種吸附至基板。接續的循環會導致共形薄膜的沉積。使氫在背景流動或在供應含鎢前驅物期間使氫作為載氣流動會導致較高的沉積速率、較厚的成核層、及較低的共形性。這是因為部分的含鎢前驅物會被與氫的寄生CVD反應所消耗。然而,已發現,使H2
與B2
H6
共流可改善共形性。這是因為B2
H6
可在ALD循環(如B2
H6
→ 2/3B3
+ 3H2
)期間分解, 因而造成對CVD反應有所影響的寄生反應。寄生CVD的影響會使處理的階梯覆蓋率劣化。藉著使B2
H6
與H2
共流,能抑制B2
H6
之分解。雖然某些B2
H6
可能會分解,但H2
的存在可大幅降低分解的量。又,H2
可能會與B2
H6
的分解產物或其他反應副產物反應而形成乙硼烷(如2B3
+ 9H2
→ 3B2
H6
)。因此可減少或最小化寄生CVD對沉積的影響。這使薄膜沉積處理更偏移靠近純ALD處理且改善階梯覆蓋率及共形性。
藉著使氫與乙硼烷一起流動,能促進乙硼烷之化學與物理吸附而非促使乙硼烷分解為硼。這是不同於使用硼犧牲層的其他沉積處理。
基板溫度可低於約350o
C,例如介於約175o
C至300o
C之間、或介於約250o
C至300o
C之間。低溫會導致較少分解及對沉積的更多控制。即便相對低的溫度下,乙硼烷仍容易分解。處理室壓力的實例係介於10 torr至60 torr之間、或介於10 torr至40 torr之間。在某些實施例中,其高於10 torr。例如,其亦可低於10 torr以減少氟含入。
例示性之成長速率可為每一循環2Å–20Å、或每一循環4Å-12Å,當使用愈多的氫抑制寄生CVD反應並增加階梯覆蓋率時,成長速率愈慢。
可調整氫:乙硼烷的體積流率比以供特定結構的期望效果。若體積流率比太高,乙硼烷的物理或化學吸附可能會不必要地慢。若體積流率比太低,乙硼烷可能會分解,造成上述之寄生CVD效應。H2
:B2
H6
的例示性範圍介於20:1–400:1之間。
在某些實施例中,乙硼烷(或其他還原劑)與惰性氣體一起輸送。例如,B2
H6
可與氮(N2
)以20:1之N2
:B2
H6
的比例混合。在該實例中,H2
對乙硼烷/惰性氣體之混合物可為1:1–20:1以獲得20:1–400:1之H2
:B2
H6
。氮為可與乙硼烷或其他還原劑混合之氣體的實例;可使用與還原劑化學匹配且不與其反應的任何惰性氣體,氦(He)為另一實例。
圖2之時序圖顯示使用乙硼烷沉積鎢成核層之方法的例示性循環。如圖2中所示,氫僅在乙硼烷脈動期間流動。
鎢成核層可利用添加矽系前驅物(如矽烷SiH4
)至乙硼烷而加以沉積。在某些實施例中,添加矽烷脈動作為時序的一部分:如B/W/B/W/S/W,其中B代表乙硼烷脈動、W為含鎢前驅物脈動、及S為含矽前驅物脈動;未明確顯示插入吹淨。在此類實施例中,矽烷或其他含矽前驅物可在無氫情況下脈動。
含鎢前驅物可為鎢之鹵化物,其可被包含鎢之氟化物(如WF6
)及鎢之氯化物(如WCl5
及WCl6
)的含硼還原劑還原。雖然上面說明乙硼烷,但本方法可以在ALD處理溫度下使用易分解的任何還原劑加以施行。實例包成六硼烷及三硼烷。
在某些實施例中,本方法達到至少90%的階梯覆蓋率。圖3例示部分製造完成之具有鎢成核層305所形成之特徵部301之半導體基板303中所提供之特徵部301的實例概圖。圖亦顯示以特徵部深度%作為量測基準之膜層厚度量測的不同點,包含特徵部上部處、特徵部下部處、及各個側壁深度處。除非另外說明,否則階梯覆蓋率之量測為底部或側壁位置處之厚度對上部位置處之厚度的比值。實驗
利用處理A及B在相同尺寸的結構上進行鎢成核層的ALD:
處理A:(B2
H6
– Ar吹淨 – WF6
– Ar吹淨)的複數循環,H2
恆常流動。
處理B:(B2
H6
– Ar吹淨 – WF6
– Ar吹淨)的複數循環,H2
只在B2
H6
脈動期間恆常流動。
階梯覆蓋率的量測為上側壁處、中側壁處、及下側壁處的沉積薄膜相對於上部水平表面上之沉積薄膜的比值。上側壁係指特徵部深度約5%處的點、中側壁係指特徵部深度約50%處的點、下側壁係指特徵部深度約95%處的點。
[表1]
處理A | 處理B | |
上側壁 | 91.7% | 98.8% |
中側壁 | 70.8% | 100% |
下側壁 | 58.3% | 91.8% |
如上所見,僅在B2
H6
脈動期間共流H2
造成明顯改善之階梯覆蓋率。將第三處理用於不同結構:
處理C:(B2
H6
– Ar吹淨 – WF6
– Ar吹淨) 的複數循環,自始至終皆無H2
流動:
[表2]
處理C | |
上側壁 | 83% |
中側壁 | 74% |
下側壁 | 66% |
在成核層沉積之後,可以大塊鎢層填充特徵部。在某些實施例中,大塊鎢沉積可以CVD處理進行,其中還原劑與含鎢前驅物流至沉積室中以在特徵部中沉積大塊填充層。在某些實施例中,大塊鎢沉積可以ALD處理進行,其中還原劑與含鎢前驅物係依序導入沉積室中以在特徵部中沉積大塊填充層。若使用CVD,此操作可涉及持續流動反應物直到沉積期望的量。在某些實施例中,CVD操作可在多個階段中發生,其中有多個階段的連續及同時反應物流且此些階段係由一或多種反應物流改道的階段所分隔。又,在特徵部填充期間可進行鎢成長及/或蝕刻的抑制。
可使用各種含鎢氣體作為含鎢前驅物,包含但不限於WF6
、WCl6
、及W(CO)6
。在某些實施例中,含鎢前驅物為含鹵素之化合物,如WF6
。在某些實施例中,還原劑為氫氣體,但可使用其他還原劑,包含矽烷(SiH4
)、乙矽烷 (Si2
H6
)、肼(N2
H4
)、乙硼烷(B2
H6
)、及鍺烷(GeH4
)。在許多實施例中,在CVD處理中使用氫氣體作為還原劑。在某些其他實施例中,可使用可分解而形成大塊鎢層的鎢前驅物。大塊沉積亦可利用其他類型的處理包含ALD處理加以進行。
可根據各個實施例進行沉積,直到達到特定特徵部輪廓及/或沉積特定量之鎢。在某些實施例中,可藉由模型化及/或試誤決定沉積時間及其他相關參數。例如,對於在特徵部中共形沉積鎢直到夾止為止之由內向外填充處理的初始沉積而言,理所當然可根據特徵部尺寸來決定會造成夾止的鎢厚度及對應沉積時間。在某些實施例中,處理室可配有各種感應器以進行沉積操作之終點偵測用的原位量測。原位量測的實例包含用以判斷沉積薄膜之厚度的光學顯微鏡及X光螢光儀(XRF)。
應瞭解,取決於所用的特定前驅物及處理,文中所述之鎢膜可包含某些的其他化合物、摻質及/或雜質如氮、碳、氧、硼、磷、硫、矽、鍺等。薄膜中的鎢含量範圍可介於20%至100%(原子)鎢之間。在許多實施例中,薄膜為富含鎢的,其具有至少50%(原子) 鎢、或甚至至少約60%、75%、90%、或99%(原子) 鎢。在某些實施例中,薄膜可為金屬或元素鎢(W)與其他含鎢化合物如鎢之碳化物(WC)、鎢之氮化物(WN)等的混合物。
此些材料的CVD及ALD沉積可包含使用任何適當的前驅物。例如,鎢之氮化物的CVD及ALD沉積可包含使用含鹵素與無鹵素之含鎢與含氮化合物。設備
可使用任何適合的處理室施行所揭露的實施例。例示性的沉積設備包含各種系統,如加州佛里蒙之Lam Research Corp.所販售之ALTUS®及ALTUS® Max、或任何其他市售的處理系統。在某些實施例中,可在第一站中進行原子層沉積(ALD),第一站為單一沉積室中之2個、5個、或甚至更多沉積站中的一沉積站。例如,可以在第一站處使用能在基板表面處產生局部氛圍的單獨氣體供給系統,以交替脈動方式將乙硼烷(B2
H6
)/氫(H2
)之共流及六氟化鎢(WF6
)導至半導體基板的表面。另一站可用於鎢的大塊層沉積。可使用兩或更多站以平行處理方式沉積鎢。或者,可索引晶圓,在兩或更多站上依序進行複數操作。
圖4為適合用以根據實施例進行沉積處理之處理系統的概圖。系統400包含傳送模組403。傳送模組403提供潔淨、加壓之環境以最小化當受到處理之基板在各個反應器模組之間移動時的污染風險。安裝在傳送模組403上的是能夠根據實施例進行ALD、及CVD的多站反應器409。反應器409可包含可相繼進行根據所揭露的實施例之操作的複數站411、413、415、及417。例如,可根據各個實施例配置反應器409俾使製程站411利用含氯之鎢前驅物或含氟之鎢前驅物進行成核層沉積、製程站413進行ALD鎢沉積。在某些實施例中,站415亦可進行ALD 鎢沉積操作,且站417可進行CVD操作。
複數站可包含一經加熱的基座或基板支撐件、一或多個氣體入口或噴淋頭或分配板。沉積站500的一實例係顯示於圖5中,其包含基板支撐件502與噴淋頭503。加熱器可設置在基座部501中。
回到圖4,亦安裝在傳送模組403上的是能夠進行電漿或化學(非電漿)預清理、其他沉積操作、或蝕刻操作的一或多個單站或多站模組407。該模組亦可用於各種處理,如為沉積處理而準備基板。系統400亦包含一或多個晶圓源模組401,晶圓在處理之前及之後係儲存在源模組401中。大氣傳送室419中的大氣機器人(未顯示)可先自源模組401移出晶圓並送至加載互鎖件421。傳送模組403中的晶圓傳送裝置(通常為機器手臂單元)將晶圓自加載互鎖件421移動至安裝在傳送模組403上的模組並在模組之間移動晶圓。
在各個實施例中,系統控制器429係用以在沉積期間控制處理條件。系統控制器429通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
控制器429可控制沉積設備的所有活動。系統控制器429執行系統控制軟體,控制軟體包含一系列用於下列者之指令:控制時序、氣體混合物、處理室壓力、處理室溫度、晶圓溫度、射頻(RF)功率位準、晶圓夾頭或基座位置、及特定處理的其他參數。在某些實施例中,可使用儲存在與控制器429相關之記憶體裝置上的其他電腦程式。
通常存在與控制器429相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或處理條件的圖形化軟體顯示器、及使用者輸入裝置,如指向裝置、鍵盤、觸控螢幕、麥克風等。
可以任何適合的方式配置系統控制邏輯。一般而言,可以硬體及/或軟體設計來配置邏輯。控制驅動電路用的指令可為硬體編碼的或以軟體提供。可以「程式化」提供指令。此類程式化應被理解為包含任何形式的邏輯,包含數位訊號處理器中的硬體編碼邏輯、特定應用積體電路、具有以硬體實施之特定演算法的其他裝置。程式化亦被理解為包含可在通用目的處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式化語言編碼。
可以任何傳統的電腦可讀程式語言撰寫控制用以控制處理程序中之含鍺還原劑脈動、氫流、及含鎢前驅物脈動、及其他處理用的電腦程式碼,電腦可讀程式語言例如是組合語言、C、C++、Pascal、Fortran或其他語言。可藉由處理器執行編譯過的物件碼或腳本以進行程式中所認定的任務。又如所述,程式碼可為硬體編碼的。
控制器參數係關於處理條件,如處理氣體組成與流率、溫度、壓力、冷卻氣體壓力、基板溫度、及處理室壁溫度。參數係以配方形式提供予使用者,且可利用使用者介面而加以輸入。
可以系統控制器429的類比及/或數位輸入連接件提供用以監控處理的訊號。控制處理的訊號係於沉積設備400的類比及數位輸出連接件上輸出。
可以許多不同的方式設計或配置系統軟體。例如,可撰寫各種處理室元件的子任務或控制物件以控制為了根據所揭露之實施例施行沉積處理所必須的處理室元件的操作。為達此目的之程式或程式段落的實例包含基板定位碼、處理氣體控制碼、壓力控制碼、及加熱器控制碼。
在某些實施例中,控制器429為系統的一部分,系統可為上述實例的一部分。此類系統可包含半導體處理設備,其包含一處理工具或複數處理工具、一處理室或複數處理室、一處理平台或複數處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。此系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器429可被程式化以控制文中所揭露的任何處理,包含輸送處理氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、在某些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入及離開工具及連接至特定系統或與特定系統交界的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、使清洗操作得以進行、使端點測量得以進行等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片、及/或一或多個微處理器、或能執行程式指令(如軟體)的微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓進行或對系統進行特定處理所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。
在某些實施例中,控制器429可為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器429可位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端存取晶圓處理。電腦可啟動對系統進行遠端存取以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有製程、或開始一新的處理。在某些實例中,遠端電腦(如伺服器)可經由網路對系統提供製程配方,網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,指令指出在一或多個操作期間欲施行之每一處理步驟的參數。應瞭解,參數係特別針對欲施行之處理的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器,如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理及控制工作的離散控制器。為了此類目的的分散控制器的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平台位準或遠端電腦的一部分)的積體電路通訊而共同控制處理室上的處理。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清潔室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、CVD室或模組、ALD室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
控制器429可包含各種程式。基板定位程式可包含控制處理室元件用的程式碼,程式碼係用以將基板載至基座或夾頭上並控制基板與處理室之其他部件(如氣體入口及/或標靶)之間的距離。處理氣體控制程式可包含用以控制氣體組成、流率、脈動時間、及選擇性地控制在沉積前流入處理室的氣體以穩定處理室中的壓力的程式碼。壓力控制程式可包含藉由調節例如處理室之排放系統中的節流閥而控制處理室中之壓力的程式碼。加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的程式碼。或者,加熱器控制程式可控制輸送至基板夾頭之熱傳輸氣體(如氦氣)的輸送。
在沉積期間可被監控之處理室感應器的實例包含質量流量控制器、壓力感應器(如壓力計)、及位於基座或夾頭中的熱電偶。適當程式化的反饋與控制演算法可與來自此些感應器的數據一起使用以維持期望的處理條件。
設備可包含氣體歧管系統,如圖6中概略顯示,其對各種氣體分散線提供線充盈(line charges)。歧管604具有來自含鎢前驅物氣體之源601的輸入,源601可包含累積裝置(未顯示),累積裝置亦可被稱為充盈體積。歧管611具有來自氫(H2
)之源609及來自含乙硼烷之混合物或其他還原氣體(未顯示)之源610的輸入。此些源皆可包含累積裝置(未顯示)。歧管621具有來自吹淨氣體之源619的輸入,源619亦可包含累積裝置。歧管604、611、及621分別藉由具有閥件的分配線605、613、及625將含鎢前驅物氣體、共反應物氣體、及吹淨氣體提供至沉積室。各種閥件可開啟或關閉以提供線充盈,即加壓分配線。例如,為了加壓分配線605,閥件606關閉至真空且關閉閥件608。在適當的時間增額之後,開啟閥件608並將共流氣體輸送至沉積室。在輸送氣體一段適當的時間後,關閉閥件608。接著藉著開啟閥件606至真空,可吹淨沉積室。
可使用類似的處理輸送還原氣體。為了導入還原氣體,例如藉由關閉閥件615及關閉閥件617至真空而充盈分配線613。閥件617的開口能將還原氣體輸送至處理室。
類似地,為了導入吹淨氣體,例如藉由關閉閥件627及關閉閥件623至真空而充盈分配線625。閥件627的開口能將吹淨氣體輸送至處理室。
乙硼烷或其他還原氣體可在處理的任何點處與氫混合而不限於圖6中的配置。例如,可使用儲存的氫/乙硼烷混合物。或者,氫/乙硼烷可自分離的源所獲得,然後於處理期間在輸送至處理室之前的某點處混合、或者氫/乙硼烷可分別輸送至處理室,然後在處理室中進行混合。
圖6亦顯示真空泵浦,其中閥件606、617、及623可分別開啟以吹淨系統。經由各種分配線供給氣體係藉由具有流率、流動期間、處理時序程式化的控制器所控制,控制器例如是受到微處理器、數位訊號處理器等所控制的質量流量控制器。
應注意,上述的處理可能需要閥件及質量流量控制器(MFC)的精準時序,以在沉積期間將反應劑的脈動供給至半導體基板。使其可能實現的一種方式為,將閥件及MFC指令以包含指令之資訊的離散封包輸送至嵌入式的數位輸入輸出控制器(IOC),指令係用於沉積程序之全部或部分的所有時間關鍵指令。Lam Research的ALTUS系統提供至少一IOC程序。IOC可實體位於設備中的各點處;例如位於處理模組內、或距離處理模組一段距離處的獨立電源架上。在每個模組中可能有複數IOC(例如每個模組3個)。針對包含在一程序中的真實指令而言,控制閥件及設定MFC之流量(所有載氣及反應氣體)的指令可被包含於單一IOC程序中。從絕對的立場上,這保證所有裝置的時序皆緊密控制且彼此相關。在任何特定時間處,通常有複數IOC程序在進行運作。這使得例如ALD在站1-2處運作,在此些站處沉積ALD 成核層所需的所有硬體元件在所有時間都受到控制。在相同模組的其他沉積站處可同時運作第二程序以沉積大塊金屬。控制反應劑輸送至站3-4之裝置的相關時序在該群裝置中是重要的,但站1-2處之ALD處理的相關時序可偏離站3-4的相關時序。IOC翻譯經封包化之時序中的資訊,並將數位或類比指令訊號直接輸送至MFC或氣動螺管組以控制閥件。
可以下列方式產生含鎢前驅物氣體的脈動。一開始,在穩定MFC或其他流動控制裝置時,系統同時使WF6
轉移至真空泵浦一段時間。在一實例中,這可進行介於約0.5至5秒的一段時間。接著,系統藉著關閉閥件606及通往沉積室的閥件608至真空,加壓鎢氣體輸送歧管。例如,這可進行介於約0.1至5秒的一段時間,以在通往沉積室之閥件為開啟時產生反應劑的初始爆裂。在一實例中這可藉著開啟開口閥件608介於約0.1至10秒來達成。
之後,利用適合的吹淨氣體自沉積室吹淨含鎢氣體。類似於上面作法,系統可藉著關閉閥件623及閥件627加壓吹淨氣體輸送歧管。亦關閉通往累積裝置(未顯示)的閥件,使累積裝置加壓。例如,這可進行介於約0.1至5秒的一段時間,以在通往沉積室之閥件為開啟時將反應劑快速沖至沉積室。當通往沉積室之閥件627開啟時,累積裝置的出口同時開啟或之後立即開啟以增加流入沉積室中之吹淨氣體的質量流量。可使用複數累積器,在單一脈動操作期間依序使加壓過的相關反應物或吹淨氣體流入沉積室中。這可增加總質量流率。
上面說明在單室或多室半導體處理設備中實施所揭露的實施例。文中所述的設備與處理可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或處理。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件(即基板)上;(2)利用熱板、或爐管、或UV固化設備固化光阻;(3)利用如晶圓步進機的一設備將光阻曝露至可見光或UV或X射線;(4)利用如濕式槽顯影光阻的一設備,以選擇性地移除光阻並藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用如RF或微波電漿光阻剝除設備的一設備移除光阻。
雖然為了清楚瞭解的目的已在某個程度上詳細說明前面的實施例,但應瞭解,在隨附請求項的範疇內可進行某些變化與修改。應注意,有許多替代方式可施行本發明實施例的處理、系統、及設備。因此,本發明實施例應被視為是例示性而非限制性的,實施例不限於文中所提供的細節。
101:垂直特徵部
103:基板
105:特徵部孔洞
109:收縮部
112:收縮部
113:下層
115:懸凸
118:軸
148:VNAND結構
150:字元線
151:收縮部
125:柱體
127:區域
170:內連線特徵部
172:鎢字元線
301:特徵部
303:半導體基板
305:鎢成核層
400:系統
403:傳送模組
409:多站反應器
401:源模組
411,413,415,417:站
419:大氣傳送室
421:加載互鎖件
429:控制器
500:沉積站
501:基座
502:基板支撐件
503:噴淋頭
601:源
604:歧管
605:分配線
606:閥件
608:閥件
609:源
610:源
613:分配線
615:閥件
617:閥件
619:源
611:歧管
617:閥件
621:歧管
623:閥件
625:分配線
627:閥件
圖1A–1H顯示根據本發明實施例之可以鎢填充之特徵部的實例。
圖2之時序圖顯示使用乙硼烷沉積鎢成核層之方法的例示性循環。
圖3例示部分製造完成之具有鎢成核層所形成之特徵部之半導體基板中所提供之特徵部的實例概圖。
圖4為根據實施例之適合進行沉積處理之處理系統的例示概圖。
圖5為根據實施例之適合進行沉積處理之沉積站的例示概圖。
圖6為根據各個實施例之可使用之歧管系統的例示概圖。
Claims (17)
- 一種方法,包含: 提供包含一特徵部的一基板至一處理室中,該特徵部在一上表面、一側壁、及一底部中具有一開口;及 藉著進行下列者的複數循環在該特徵部中沉積一鎢成核層: 使一含硼還原劑脈動在該處理室中流動,其中該含硼還原劑係吸附至該特徵部之該側壁及該特徵部之該底部; 吹淨該處理室; 使一含鎢前驅物脈動在該處理室中流動以與已吸附之該含硼還原劑反應;及 吹淨該處理室; 其中在該含硼還原劑脈動期間流動氫(H2 )但在該含鎢前驅物脈動期間未流動H2 ,其中H2 抑制該含硼還原劑的熱分解。
- 如請求項1之方法,其中該鎢成核層的一厚度至少為10埃且整個該特徵部的一階梯覆蓋率至少為90%,該階梯覆蓋率為該鎢成核層在該特徵部中任何點處的一厚度比該鎢成核層在該上表面處的一厚度。
- 如請求項1之方法,其中沉積該成核層更包含下列者的至少一循環:使一矽烷脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;吹淨該處理室,其中在該含鎢前驅物脈動期間未流動氫。
- 如請求項3之方法,其中在該矽烷脈動期間未流動氫。
- 如請求項3之方法,其中在該矽烷脈動期間有流動氫。
- 如請求項1之方法,其中該鎢成核層的一厚度係介於10埃至50埃之間。
- 如請求項1-6中任一項之方法,其中一基板溫度係低於350o C。
- 如請求項1-7中任一項之方法,其中該基板溫度係介於約250o C至300o C之間。
- 如請求項1-8中任一項之方法,其中該氫與該含硼還原劑的分解副產物反應。
- 如請求項1-9中任一項之方法,其中該處理室中的該含硼還原劑脈動與一惰性載氣流至該處理室中。
- 如請求項1-10中任一項之方法,其中H2 對該含硼還原劑的一體積流率比係介於20:1至400:1之間。
- 如請求項1-11中任一項之方法,其中該含硼還原劑為乙硼烷。
- 一種方法,包含: 提供包含一特徵部的一基板至一處理室中,該特徵部在一上表面、一側壁、及一底部中具有一開口;及 藉著進行下列者的複數循環在該特徵部中沉積一鎢成核層: 使一含硼還原劑脈動在該處理室中流動; 吹淨該處理室; 使一含鎢前驅物脈動在該處理室中流動;及 吹淨該處理室,其中在該含硼還原劑脈動期間流動氫但在該含鎢前驅物脈動期間未流動氫。
- 一種設備,包含: (a)一處理室,包含具有一基座的至少一站,該基座係用以支撐一基板; (b)用以耦合至一真空的至少一出口; (c)一或多個處理氣體入口,耦合至一或多個處理氣體源;及 (d)一控制器,用以控制該設備中的複數操作且包含用於下列者之複數機器可讀指令: 使一含硼還原劑脈動在該處理室中流動; 吹淨該處理室; 使一含鎢前驅物脈動在該處理室中流動;及 吹淨該處理室,其中在該含硼還原劑脈動期間流動氫但在該含鎢前驅物脈動期間未流動氫。
- 如請求項14之設備,其中該控制器包含用以將一基座溫度維持在低於350o C的指令。
- 如請求項14之設備,其中該控制器包含用以將一基座溫度維持在介於175o C 至300o C之間的指令。
- 如請求項14之設備,其中該控制器包含用於下列者的指令:使一矽烷脈動在該處理室中流動;吹淨該處理室;使一含鎢前驅物脈動在該處理室中流動;及吹淨該處理室,其中在該含鎢前驅物脈動期間未流動氫。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962832291P | 2019-04-11 | 2019-04-11 | |
US62/832,291 | 2019-04-11 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202104638A true TW202104638A (zh) | 2021-02-01 |
Family
ID=72751976
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109111977A TW202104638A (zh) | 2019-04-11 | 2020-04-09 | 高階梯覆蓋率鎢沉積 |
Country Status (7)
Country | Link |
---|---|
US (1) | US12002679B2 (zh) |
JP (1) | JP2022522226A (zh) |
KR (1) | KR20210141762A (zh) |
CN (1) | CN113710830A (zh) |
SG (1) | SG11202111277UA (zh) |
TW (1) | TW202104638A (zh) |
WO (1) | WO2020210260A1 (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
JP7295749B2 (ja) * | 2019-09-13 | 2023-06-21 | キオクシア株式会社 | 半導体装置の製造方法 |
WO2024097068A1 (en) * | 2022-11-02 | 2024-05-10 | Lam Research Corporation | Dual nitrogen flow capability for low fluorine tungsten deposition |
Family Cites Families (314)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
JP2560028B2 (ja) | 1987-05-07 | 1996-12-04 | 新技術事業団 | ホウ化チタンの製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
JPH0266399A (ja) | 1988-08-30 | 1990-03-06 | Semiconductor Energy Lab Co Ltd | 気体充填用容器及びその作製方法 |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
EP1069610A2 (en) | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
JP3040177B2 (ja) | 1990-12-17 | 2000-05-08 | 沖電気工業株式会社 | 半導体素子の配線形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
EP0704551B1 (en) | 1994-09-27 | 2000-09-06 | Applied Materials, Inc. | Method of processing a substrate in a vacuum processing chamber |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US5545581A (en) | 1994-12-06 | 1996-08-13 | International Business Machines Corporation | Plug strap process utilizing selective nitride and oxide etches |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP3422345B2 (ja) | 1995-05-31 | 2003-06-30 | 日本電信電話株式会社 | タングステン膜の形成方法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (zh) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
KR100272523B1 (ko) | 1998-01-26 | 2000-12-01 | 김영환 | 반도체소자의배선형성방법 |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) * | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
JP5184731B2 (ja) | 2000-05-18 | 2013-04-17 | コーニング インコーポレイテッド | 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法 |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
AU2002214283A1 (en) | 2000-11-17 | 2002-05-27 | Tokyo Electron Limited | Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020190379A1 (en) | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
WO2003025243A2 (en) | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
TWI253478B (en) | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
KR20030050652A (ko) | 2001-12-19 | 2003-06-25 | 주식회사 하이닉스반도체 | 텅스텐막의 형성 방법 |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
CN1643179B (zh) | 2002-01-17 | 2010-05-26 | 松德沃技术公司 | Ald装置和方法 |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
US7311944B2 (en) | 2002-12-23 | 2007-12-25 | Applied Thin Films, Inc. | Aluminum phosphate coatings |
JP4429919B2 (ja) | 2002-12-27 | 2010-03-10 | 株式会社アルバック | 窒化タングステン膜の成膜方法 |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
CN1241251C (zh) | 2003-05-15 | 2006-02-08 | 上海集成电路研发中心有限公司 | 一种改进的钨插销结构的工艺流程 |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
KR20050054122A (ko) | 2003-12-04 | 2005-06-10 | 성명모 | 자외선 원자층 증착법을 이용한 박막 제조 방법 |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
KR100528030B1 (ko) | 2003-12-30 | 2005-11-15 | 주식회사 아이피에스 | 박막 증착 방법 |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
CN100370585C (zh) | 2004-04-12 | 2008-02-20 | 株式会社爱发科 | 隔离膜的形成方法及电极膜的形成方法 |
EP1741119B1 (en) | 2004-04-21 | 2019-04-03 | Lumileds Holding B.V. | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US7368394B2 (en) | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7956465B2 (en) | 2006-05-08 | 2011-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistivity in interconnect structures of integrated circuits |
US7828504B2 (en) | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
KR100874829B1 (ko) | 2006-12-26 | 2008-12-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속배선 형성방법 |
KR20080061978A (ko) | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
US8435898B2 (en) | 2007-04-05 | 2013-05-07 | Freescale Semiconductor, Inc. | First inter-layer dielectric stack for non-volatile memory |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
WO2008129508A2 (en) | 2007-04-20 | 2008-10-30 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Deposition of transition metal carbide containing films |
JP5277696B2 (ja) | 2008-04-07 | 2013-08-28 | パナソニック株式会社 | 圧電デバイスの製造方法 |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
KR100939777B1 (ko) | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US20090162681A1 (en) | 2007-12-21 | 2009-06-25 | Artur Kolics | Activation solution for electroless plating on dielectric layers |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
KR100919808B1 (ko) | 2008-01-02 | 2009-10-01 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
KR101015125B1 (ko) | 2008-03-21 | 2011-02-16 | 주식회사 하이닉스반도체 | 계면반응배리어를 구비한 반도체장치 제조 방법 |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US7830016B2 (en) | 2008-06-30 | 2010-11-09 | Intel Corporation | Seed layer for reduced resistance tungsten film |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
KR20100029952A (ko) | 2008-09-09 | 2010-03-18 | 주식회사 하이닉스반도체 | 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법 |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US20100120245A1 (en) | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
US8236691B2 (en) | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
KR101263856B1 (ko) | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20110020546A1 (en) | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
CN101572291B (zh) | 2009-06-12 | 2010-09-15 | 中国科学院上海微系统与信息技术研究所 | 一种实现多级存储的存储器单元结构及其制作方法 |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
WO2011062560A1 (en) | 2009-11-19 | 2011-05-26 | National University Of Singapore | Method for producing t cell receptor-like monoclonal antibodies and uses thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
CN101789369A (zh) | 2010-01-28 | 2010-07-28 | 上海宏力半导体制造有限公司 | 多金属钨栅极刻蚀方法 |
JP5729911B2 (ja) | 2010-03-11 | 2015-06-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | タングステン膜の製造方法およびタングステン膜を堆積させる装置 |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
KR101356332B1 (ko) | 2010-03-19 | 2014-02-04 | 노벨러스 시스템즈, 인코포레이티드 | 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법 |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
KR101340793B1 (ko) | 2010-07-09 | 2013-12-11 | 노벨러스 시스템즈, 인코포레이티드 | 고 종횡비 특징부 내부로 텅스텐 증착하기 |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
US20120199887A1 (en) * | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
JP5710529B2 (ja) | 2011-09-22 | 2015-04-30 | 株式会社東芝 | 半導体装置及びその製造方法 |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
JP5959991B2 (ja) | 2011-11-25 | 2016-08-02 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
CN102867953B (zh) | 2012-07-24 | 2015-01-21 | 龙能科技(苏州)有限公司 | 用氢氧化物或羟基氧化物生产锂离子电池正极材料的方法 |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR20140028992A (ko) | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법 |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
JP6494940B2 (ja) | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
JP5864503B2 (ja) | 2013-09-30 | 2016-02-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
CN105814677B (zh) | 2013-10-18 | 2019-06-18 | 布鲁克斯自动化公司 | 处理设备 |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9595470B2 (en) * | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
TW201700761A (zh) | 2015-05-13 | 2017-01-01 | 應用材料股份有限公司 | 經由基材的有機金屬或矽烷預處理而改良的鎢膜 |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
KR102397797B1 (ko) | 2015-05-27 | 2022-05-12 | 램 리써치 코포레이션 | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 |
JP6541438B2 (ja) | 2015-05-28 | 2019-07-10 | 東京エレクトロン株式会社 | 金属膜のストレス低減方法および金属膜の成膜方法 |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
TWI720106B (zh) | 2016-01-16 | 2021-03-01 | 美商應用材料股份有限公司 | Pecvd含鎢硬遮罩膜及製造方法 |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
TWI732846B (zh) | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | 透過控制前驅物混合來強化金屬的空間ald |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10410872B2 (en) | 2016-09-13 | 2019-09-10 | Applied Materials, Inc. | Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application |
KR101923301B1 (ko) | 2017-03-06 | 2018-11-28 | 한국전력공사 | 송전철탑 상향장치 및 방법 |
CN111095488A (zh) | 2017-08-14 | 2020-05-01 | 朗姆研究公司 | 三维竖直nand字线的金属填充过程 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR20200079339A (ko) | 2017-11-20 | 2020-07-02 | 램 리써치 코포레이션 | 자기 제한 성장 |
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
JP2022533834A (ja) | 2019-05-22 | 2022-07-26 | ラム リサーチ コーポレーション | 核生成のないタングステン堆積 |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US20230130557A1 (en) | 2020-03-04 | 2023-04-27 | Lam Research Corporation | Reactant gas pulse delivery |
CN115244666A (zh) | 2020-03-06 | 2022-10-25 | 朗姆研究公司 | 钼的原子层蚀刻 |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
KR20230043796A (ko) | 2020-07-29 | 2023-03-31 | 램 리써치 코포레이션 | 저저항 게이트 산화물 금속화 라이너 |
US11282711B2 (en) | 2020-07-31 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-assisted etching of metal oxides |
-
2020
- 2020-04-07 KR KR1020217036832A patent/KR20210141762A/ko active Search and Examination
- 2020-04-07 WO PCT/US2020/027107 patent/WO2020210260A1/en active Application Filing
- 2020-04-07 JP JP2021559713A patent/JP2022522226A/ja active Pending
- 2020-04-07 CN CN202080027971.9A patent/CN113710830A/zh active Pending
- 2020-04-07 US US17/601,918 patent/US12002679B2/en active Active
- 2020-04-07 SG SG11202111277UA patent/SG11202111277UA/en unknown
- 2020-04-09 TW TW109111977A patent/TW202104638A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
WO2020210260A1 (en) | 2020-10-15 |
KR20210141762A (ko) | 2021-11-23 |
US20220181158A1 (en) | 2022-06-09 |
SG11202111277UA (en) | 2021-11-29 |
JP2022522226A (ja) | 2022-04-14 |
CN113710830A (zh) | 2021-11-26 |
US12002679B2 (en) | 2024-06-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102678471B1 (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
US9613818B2 (en) | Deposition of low fluorine tungsten by sequential CVD process | |
KR102397797B1 (ko) | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 | |
US9595470B2 (en) | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor | |
TW202104638A (zh) | 高階梯覆蓋率鎢沉積 | |
TW202129049A (zh) | 鉬填充 | |
JP2017008412A5 (zh) | ||
US11972952B2 (en) | Atomic layer deposition on 3D NAND structures | |
US12077858B2 (en) | Tungsten deposition | |
KR102637315B1 (ko) | 텅스텐 나이트라이드 배리어 층 증착 | |
JP2024534326A (ja) | 半導体処理の間のプロセスガスランプ | |
TW202401671A (zh) | 高縱橫比3d nand結構中的鎢字元線填充 |