FI117944B
(fi)
|
1999-10-15 |
2007-04-30 |
Asm Int |
Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
|
JPS5629648A
(en)
|
1979-08-16 |
1981-03-25 |
Toshiba Tungaloy Co Ltd |
High hardness sintered body
|
JPS62216224A
(ja)
|
1986-03-17 |
1987-09-22 |
Fujitsu Ltd |
タングステンの選択成長方法
|
JPS62260340A
(ja)
|
1986-05-06 |
1987-11-12 |
Toshiba Corp |
半導体装置の製造方法
|
JP2560028B2
(ja)
|
1987-05-07 |
1996-12-04 |
新技術事業団 |
ホウ化チタンの製造方法
|
US4746375A
(en)
|
1987-05-08 |
1988-05-24 |
General Electric Company |
Activation of refractory metal surfaces for electroless plating
|
JPH0266399A
(ja)
|
1988-08-30 |
1990-03-06 |
Semiconductor Energy Lab Co Ltd |
気体充填用容器及びその作製方法
|
US4962063A
(en)
|
1988-11-10 |
1990-10-09 |
Applied Materials, Inc. |
Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
|
JPH02187031A
(ja)
|
1989-01-14 |
1990-07-23 |
Sharp Corp |
半導体装置
|
US5250329A
(en)
|
1989-04-06 |
1993-10-05 |
Microelectronics And Computer Technology Corporation |
Method of depositing conductive lines on a dielectric
|
GB8907898D0
(en)
|
1989-04-07 |
1989-05-24 |
Inmos Ltd |
Semiconductor devices and fabrication thereof
|
US5028565A
(en)
|
1989-08-25 |
1991-07-02 |
Applied Materials, Inc. |
Process for CVD deposition of tungsten layer on semiconductor wafer
|
EP1069610A2
(en)
|
1990-01-08 |
2001-01-17 |
Lsi Logic Corporation |
Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
|
KR100209856B1
(ko)
|
1990-08-31 |
1999-07-15 |
가나이 쓰도무 |
반도체장치의 제조방법
|
JPH04142061A
(ja)
|
1990-10-02 |
1992-05-15 |
Sony Corp |
タングステンプラグの形成方法
|
JP3040177B2
(ja)
|
1990-12-17 |
2000-05-08 |
沖電気工業株式会社 |
半導体素子の配線形成方法
|
US5250467A
(en)
|
1991-03-29 |
1993-10-05 |
Applied Materials, Inc. |
Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
|
US5308655A
(en)
|
1991-08-16 |
1994-05-03 |
Materials Research Corporation |
Processing for forming low resistivity titanium nitride films
|
US5567583A
(en)
|
1991-12-16 |
1996-10-22 |
Biotronics Corporation |
Methods for reducing non-specific priming in DNA detection
|
JPH05226280A
(ja)
|
1992-02-14 |
1993-09-03 |
Matsushita Electric Ind Co Ltd |
半導体装置の製造方法
|
US5370739A
(en)
|
1992-06-15 |
1994-12-06 |
Materials Research Corporation |
Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
|
US5326723A
(en)
|
1992-09-09 |
1994-07-05 |
Intel Corporation |
Method for improving stability of tungsten chemical vapor deposition
|
KR950012738B1
(ko)
|
1992-12-10 |
1995-10-20 |
현대전자산업주식회사 |
반도체소자의 텅스텐 콘택 플러그 제조방법
|
JP3014019B2
(ja)
|
1993-11-26 |
2000-02-28 |
日本電気株式会社 |
半導体装置の製造方法
|
KR970009867B1
(ko)
|
1993-12-17 |
1997-06-18 |
현대전자산업 주식회사 |
반도체 소자의 텅스텐 실리사이드 형성방법
|
JP3291889B2
(ja)
|
1994-02-15 |
2002-06-17 |
ソニー株式会社 |
ドライエッチング方法
|
EP0704551B1
(en)
|
1994-09-27 |
2000-09-06 |
Applied Materials, Inc. |
Method of processing a substrate in a vacuum processing chamber
|
JPH08115984A
(ja)
|
1994-10-17 |
1996-05-07 |
Hitachi Ltd |
半導体装置及びその製造方法
|
US5545581A
(en)
|
1994-12-06 |
1996-08-13 |
International Business Machines Corporation |
Plug strap process utilizing selective nitride and oxide etches
|
US6001729A
(en)
|
1995-01-10 |
1999-12-14 |
Kawasaki Steel Corporation |
Method of forming wiring structure for semiconductor device
|
JP2737764B2
(ja)
|
1995-03-03 |
1998-04-08 |
日本電気株式会社 |
半導体装置及びその製造方法
|
JP3422345B2
(ja)
|
1995-05-31 |
2003-06-30 |
日本電信電話株式会社 |
タングステン膜の形成方法
|
JPH0922896A
(ja)
|
1995-07-07 |
1997-01-21 |
Toshiba Corp |
金属膜の選択的形成方法
|
JPH0927596A
(ja)
|
1995-07-11 |
1997-01-28 |
Sanyo Electric Co Ltd |
半導体装置の製造方法
|
US5863819A
(en)
|
1995-10-25 |
1999-01-26 |
Micron Technology, Inc. |
Method of fabricating a DRAM access transistor with dual gate oxide technique
|
TW310461B
(zh)
|
1995-11-10 |
1997-07-11 |
Matsushita Electric Ind Co Ltd |
|
US6017818A
(en)
|
1996-01-22 |
2000-01-25 |
Texas Instruments Incorporated |
Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
|
US5833817A
(en)
|
1996-04-22 |
1998-11-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
|
US5633200A
(en)
|
1996-05-24 |
1997-05-27 |
Micron Technology, Inc. |
Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
|
US5963833A
(en)
|
1996-07-03 |
1999-10-05 |
Micron Technology, Inc. |
Method for cleaning semiconductor wafers and
|
US5916634A
(en)
|
1996-10-01 |
1999-06-29 |
Sandia Corporation |
Chemical vapor deposition of W-Si-N and W-B-N
|
KR100214852B1
(ko)
|
1996-11-02 |
1999-08-02 |
김영환 |
반도체 디바이스의 금속 배선 형성 방법
|
US6310300B1
(en)
|
1996-11-08 |
2001-10-30 |
International Business Machines Corporation |
Fluorine-free barrier layer between conductor and insulator for degradation prevention
|
KR100255516B1
(ko)
|
1996-11-28 |
2000-05-01 |
김영환 |
반도체 장치의 금속배선 및 그 형성방법
|
US6297152B1
(en)
|
1996-12-12 |
2001-10-02 |
Applied Materials, Inc. |
CVD process for DCS-based tungsten silicide
|
JP3090074B2
(ja)
|
1997-01-20 |
2000-09-18 |
日本電気株式会社 |
半導体装置及びその製造方法
|
US5804249A
(en)
|
1997-02-07 |
1998-09-08 |
Lsi Logic Corporation |
Multistep tungsten CVD process with amorphization step
|
US6156382A
(en)
|
1997-05-16 |
2000-12-05 |
Applied Materials, Inc. |
Chemical vapor deposition process for depositing tungsten
|
US6037248A
(en)
|
1997-06-13 |
2000-03-14 |
Micron Technology, Inc. |
Method of fabricating integrated circuit wiring with low RC time delay
|
US6287965B1
(en)
|
1997-07-28 |
2001-09-11 |
Samsung Electronics Co, Ltd. |
Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
|
US5956609A
(en)
|
1997-08-11 |
1999-09-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
|
US5795824A
(en)
|
1997-08-28 |
1998-08-18 |
Novellus Systems, Inc. |
Method for nucleation of CVD tungsten films
|
US5913145A
(en)
|
1997-08-28 |
1999-06-15 |
Texas Instruments Incorporated |
Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
|
US5926720A
(en)
|
1997-09-08 |
1999-07-20 |
Lsi Logic Corporation |
Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
|
US7829144B2
(en)
|
1997-11-05 |
2010-11-09 |
Tokyo Electron Limited |
Method of forming a metal film for electrode
|
US6861356B2
(en)
|
1997-11-05 |
2005-03-01 |
Tokyo Electron Limited |
Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
|
US6099904A
(en)
|
1997-12-02 |
2000-08-08 |
Applied Materials, Inc. |
Low resistivity W using B2 H6 nucleation step
|
KR100272523B1
(ko)
|
1998-01-26 |
2000-12-01 |
김영환 |
반도체소자의배선형성방법
|
US6284316B1
(en)
|
1998-02-25 |
2001-09-04 |
Micron Technology, Inc. |
Chemical vapor deposition of titanium
|
JPH11260759A
(ja)
|
1998-03-12 |
1999-09-24 |
Fujitsu Ltd |
半導体装置の製造方法
|
US6452276B1
(en)
|
1998-04-30 |
2002-09-17 |
International Business Machines Corporation |
Ultra thin, single phase, diffusion barrier for metal conductors
|
US6066366A
(en)
|
1998-07-22 |
2000-05-23 |
Applied Materials, Inc. |
Method for depositing uniform tungsten layers by CVD
|
US6143082A
(en)
|
1998-10-08 |
2000-11-07 |
Novellus Systems, Inc. |
Isolation of incompatible processes in a multi-station processing chamber
|
KR100273767B1
(ko)
|
1998-10-28 |
2001-01-15 |
윤종용 |
반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
|
US6037263A
(en)
|
1998-11-05 |
2000-03-14 |
Vanguard International Semiconductor Corporation |
Plasma enhanced CVD deposition of tungsten and tungsten compounds
|
US6331483B1
(en)
*
|
1998-12-18 |
2001-12-18 |
Tokyo Electron Limited |
Method of film-forming of tungsten
|
KR100296126B1
(ko)
|
1998-12-22 |
2001-08-07 |
박종섭 |
고집적 메모리 소자의 게이트전극 형성방법
|
US20010014533A1
(en)
|
1999-01-08 |
2001-08-16 |
Shih-Wei Sun |
Method of fabricating salicide
|
JP3206578B2
(ja)
|
1999-01-11 |
2001-09-10 |
日本電気株式会社 |
多層配線構造をもつ半導体装置の製造方法
|
JP4570704B2
(ja)
|
1999-02-17 |
2010-10-27 |
株式会社アルバック |
バリア膜製造方法
|
US6306211B1
(en)
|
1999-03-23 |
2001-10-23 |
Matsushita Electric Industrial Co., Ltd. |
Method for growing semiconductor film and method for fabricating semiconductor device
|
TW452607B
(en)
|
1999-03-26 |
2001-09-01 |
Nat Science Council |
Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
|
US6245654B1
(en)
|
1999-03-31 |
2001-06-12 |
Taiwan Semiconductor Manufacturing Company, Ltd |
Method for preventing tungsten contact/via plug loss after a backside pressure fault
|
US6294468B1
(en)
|
1999-05-24 |
2001-09-25 |
Agere Systems Guardian Corp. |
Method of chemical vapor depositing tungsten films
|
US6720261B1
(en)
|
1999-06-02 |
2004-04-13 |
Agere Systems Inc. |
Method and system for eliminating extrusions in semiconductor vias
|
US6174812B1
(en)
|
1999-06-08 |
2001-01-16 |
United Microelectronics Corp. |
Copper damascene technology for ultra large scale integration circuits
|
US6355558B1
(en)
|
1999-06-10 |
2002-03-12 |
Texas Instruments Incorporated |
Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
|
US6309964B1
(en)
|
1999-07-08 |
2001-10-30 |
Taiwan Semiconductor Manufacturing Company |
Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
|
US6265312B1
(en)
|
1999-08-02 |
2001-07-24 |
Stmicroelectronics, Inc. |
Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
|
US6391785B1
(en)
|
1999-08-24 |
2002-05-21 |
Interuniversitair Microelektronica Centrum (Imec) |
Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
|
US6309966B1
(en)
|
1999-09-03 |
2001-10-30 |
Motorola, Inc. |
Apparatus and method of a low pressure, two-step nucleation tungsten deposition
|
US6303480B1
(en)
|
1999-09-13 |
2001-10-16 |
Applied Materials, Inc. |
Silicon layer to improve plug filling by CVD
|
US6610151B1
(en)
|
1999-10-02 |
2003-08-26 |
Uri Cohen |
Seed layers for interconnects and methods and apparatus for their fabrication
|
US6924226B2
(en)
|
1999-10-02 |
2005-08-02 |
Uri Cohen |
Methods for making multiple seed layers for metallic interconnects
|
US6902763B1
(en)
|
1999-10-15 |
2005-06-07 |
Asm International N.V. |
Method for depositing nanolaminate thin films on sensitive surfaces
|
US6475276B1
(en)
|
1999-10-15 |
2002-11-05 |
Asm Microchemistry Oy |
Production of elemental thin films using a boron-containing reducing agent
|
KR100330163B1
(ko)
|
2000-01-06 |
2002-03-28 |
윤종용 |
반도체 장치의 텅스텐 콘택 플러그 형성 방법
|
FI20000099A0
(fi)
|
2000-01-18 |
2000-01-18 |
Asm Microchemistry Ltd |
Menetelmä metalliohutkalvojen kasvattamiseksi
|
US6277744B1
(en)
|
2000-01-21 |
2001-08-21 |
Advanced Micro Devices, Inc. |
Two-level silane nucleation for blanket tungsten deposition
|
US6777331B2
(en)
|
2000-03-07 |
2004-08-17 |
Simplus Systems Corporation |
Multilayered copper structure for improving adhesion property
|
US6429126B1
(en)
|
2000-03-29 |
2002-08-06 |
Applied Materials, Inc. |
Reduced fluorine contamination for tungsten CVD
|
JP5184731B2
(ja)
|
2000-05-18 |
2013-04-17 |
コーニング インコーポレイテッド |
固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
|
JP3651360B2
(ja)
|
2000-05-19 |
2005-05-25 |
株式会社村田製作所 |
電極膜の形成方法
|
US20030008070A1
(en)
|
2001-06-12 |
2003-01-09 |
Applied Materials,Inc |
Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
|
US7253076B1
(en)
|
2000-06-08 |
2007-08-07 |
Micron Technologies, Inc. |
Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
|
JP2002016066A
(ja)
|
2000-06-27 |
2002-01-18 |
Mitsubishi Electric Corp |
半導体装置およびその製造方法
|
US6620723B1
(en)
|
2000-06-27 |
2003-09-16 |
Applied Materials, Inc. |
Formation of boride barrier layers using chemisorption techniques
|
US6936538B2
(en)
|
2001-07-16 |
2005-08-30 |
Applied Materials, Inc. |
Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
|
US6551929B1
(en)
|
2000-06-28 |
2003-04-22 |
Applied Materials, Inc. |
Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
|
US7732327B2
(en)
|
2000-06-28 |
2010-06-08 |
Applied Materials, Inc. |
Vapor deposition of tungsten materials
|
US7405158B2
(en)
|
2000-06-28 |
2008-07-29 |
Applied Materials, Inc. |
Methods for depositing tungsten layers employing atomic layer deposition techniques
|
US7964505B2
(en)
|
2005-01-19 |
2011-06-21 |
Applied Materials, Inc. |
Atomic layer deposition of tungsten materials
|
US7101795B1
(en)
|
2000-06-28 |
2006-09-05 |
Applied Materials, Inc. |
Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
|
US6585823B1
(en)
|
2000-07-07 |
2003-07-01 |
Asm International, N.V. |
Atomic layer deposition
|
US6491978B1
(en)
|
2000-07-10 |
2002-12-10 |
Applied Materials, Inc. |
Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
|
US6218301B1
(en)
|
2000-07-31 |
2001-04-17 |
Applied Materials, Inc. |
Deposition of tungsten films from W(CO)6
|
US6740591B1
(en)
|
2000-11-16 |
2004-05-25 |
Intel Corporation |
Slurry and method for chemical mechanical polishing of copper
|
AU2002214283A1
(en)
|
2000-11-17 |
2002-05-27 |
Tokyo Electron Limited |
Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
|
US6908848B2
(en)
|
2000-12-20 |
2005-06-21 |
Samsung Electronics, Co., Ltd. |
Method for forming an electrical interconnection providing improved surface morphology of tungsten
|
KR100375230B1
(ko)
|
2000-12-20 |
2003-03-08 |
삼성전자주식회사 |
매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
|
US6613656B2
(en)
|
2001-02-13 |
2003-09-02 |
Micron Technology, Inc. |
Sequential pulse deposition
|
US20020117399A1
(en)
|
2001-02-23 |
2002-08-29 |
Applied Materials, Inc. |
Atomically thin highly resistive barrier layer in a copper via
|
US20020190379A1
(en)
|
2001-03-28 |
2002-12-19 |
Applied Materials, Inc. |
W-CVD with fluorine-free tungsten nucleation
|
US20020168840A1
(en)
|
2001-05-11 |
2002-11-14 |
Applied Materials, Inc. |
Deposition of tungsten silicide films
|
US6635965B1
(en)
|
2001-05-22 |
2003-10-21 |
Novellus Systems, Inc. |
Method for producing ultra-thin tungsten layers with improved step coverage
|
US7589017B2
(en)
|
2001-05-22 |
2009-09-15 |
Novellus Systems, Inc. |
Methods for growing low-resistivity tungsten film
|
US9076843B2
(en)
|
2001-05-22 |
2015-07-07 |
Novellus Systems, Inc. |
Method for producing ultra-thin tungsten layers with improved step coverage
|
US7955972B2
(en)
|
2001-05-22 |
2011-06-07 |
Novellus Systems, Inc. |
Methods for growing low-resistivity tungsten for high aspect ratio and small features
|
US7262125B2
(en)
|
2001-05-22 |
2007-08-28 |
Novellus Systems, Inc. |
Method of forming low-resistivity tungsten interconnects
|
US7141494B2
(en)
|
2001-05-22 |
2006-11-28 |
Novellus Systems, Inc. |
Method for reducing tungsten film roughness and improving step coverage
|
US7005372B2
(en)
|
2003-01-21 |
2006-02-28 |
Novellus Systems, Inc. |
Deposition of tungsten nitride
|
US6686278B2
(en)
|
2001-06-19 |
2004-02-03 |
United Microelectronics Corp. |
Method for forming a plug metal layer
|
US20070009658A1
(en)
|
2001-07-13 |
2007-01-11 |
Yoo Jong H |
Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
|
US7211144B2
(en)
*
|
2001-07-13 |
2007-05-01 |
Applied Materials, Inc. |
Pulsed nucleation deposition of tungsten layers
|
TW581822B
(en)
|
2001-07-16 |
2004-04-01 |
Applied Materials Inc |
Formation of composite tungsten films
|
WO2003030224A2
(en)
|
2001-07-25 |
2003-04-10 |
Applied Materials, Inc. |
Barrier formation using novel sputter-deposition method
|
US20030029715A1
(en)
|
2001-07-25 |
2003-02-13 |
Applied Materials, Inc. |
An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
|
JP4032872B2
(ja)
|
2001-08-14 |
2008-01-16 |
東京エレクトロン株式会社 |
タングステン膜の形成方法
|
JP4595989B2
(ja)
|
2001-08-24 |
2010-12-08 |
東京エレクトロン株式会社 |
成膜方法
|
WO2003025243A2
(en)
|
2001-09-14 |
2003-03-27 |
Asm International N.V. |
Metal nitride deposition by ald using gettering reactant
|
US6607976B2
(en)
|
2001-09-25 |
2003-08-19 |
Applied Materials, Inc. |
Copper interconnect barrier layer structure and formation method
|
TW589684B
(en)
|
2001-10-10 |
2004-06-01 |
Applied Materials Inc |
Method for depositing refractory metal layers employing sequential deposition techniques
|
JP2003142484A
(ja)
|
2001-10-31 |
2003-05-16 |
Mitsubishi Electric Corp |
半導体装置の製造方法
|
US6566262B1
(en)
|
2001-11-01 |
2003-05-20 |
Lsi Logic Corporation |
Method for creating self-aligned alloy capping layers for copper interconnect structures
|
TWI253478B
(en)
|
2001-11-14 |
2006-04-21 |
Mitsubishi Heavy Ind Ltd |
Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
|
US20030091870A1
(en)
|
2001-11-15 |
2003-05-15 |
Siddhartha Bhowmik |
Method of forming a liner for tungsten plugs
|
KR20030050652A
(ko)
|
2001-12-19 |
2003-06-25 |
주식회사 하이닉스반도체 |
텅스텐막의 형성 방법
|
US20030123216A1
(en)
|
2001-12-27 |
2003-07-03 |
Yoon Hyungsuk A. |
Deposition of tungsten for the formation of conformal tungsten silicide
|
CN1643179B
(zh)
|
2002-01-17 |
2010-05-26 |
松德沃技术公司 |
Ald装置和方法
|
US6833161B2
(en)
|
2002-02-26 |
2004-12-21 |
Applied Materials, Inc. |
Cyclical deposition of tungsten nitride for metal oxide gate electrode
|
US6566250B1
(en)
|
2002-03-18 |
2003-05-20 |
Taiwant Semiconductor Manufacturing Co., Ltd |
Method for forming a self aligned capping layer
|
US20030224217A1
(en)
|
2002-05-31 |
2003-12-04 |
Applied Materials, Inc. |
Metal nitride formation
|
US6905543B1
(en)
|
2002-06-19 |
2005-06-14 |
Novellus Systems, Inc |
Methods of forming tungsten nucleation layer
|
TWI287559B
(en)
|
2002-08-22 |
2007-10-01 |
Konica Corp |
Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
|
US6790773B1
(en)
|
2002-08-28 |
2004-09-14 |
Novellus Systems, Inc. |
Process for forming barrier/seed structures for integrated circuits
|
US6706625B1
(en)
|
2002-12-06 |
2004-03-16 |
Chartered Semiconductor Manufacturing Ltd. |
Copper recess formation using chemical process for fabricating barrier cap for lines and vias
|
US6962873B1
(en)
|
2002-12-10 |
2005-11-08 |
Novellus Systems, Inc. |
Nitridation of electrolessly deposited cobalt
|
US7311944B2
(en)
|
2002-12-23 |
2007-12-25 |
Applied Thin Films, Inc. |
Aluminum phosphate coatings
|
JP4429919B2
(ja)
|
2002-12-27 |
2010-03-10 |
株式会社アルバック |
窒化タングステン膜の成膜方法
|
JP2004235456A
(ja)
|
2003-01-30 |
2004-08-19 |
Seiko Epson Corp |
成膜装置、成膜方法および半導体装置の製造方法
|
US7713592B2
(en)
|
2003-02-04 |
2010-05-11 |
Tegal Corporation |
Nanolayer deposition process
|
JP3956049B2
(ja)
|
2003-03-07 |
2007-08-08 |
東京エレクトロン株式会社 |
タングステン膜の形成方法
|
US6844258B1
(en)
|
2003-05-09 |
2005-01-18 |
Novellus Systems, Inc. |
Selective refractory metal and nitride capping
|
CN1241251C
(zh)
|
2003-05-15 |
2006-02-08 |
上海集成电路研发中心有限公司 |
一种改进的钨插销结构的工艺流程
|
JP2007523994A
(ja)
|
2003-06-18 |
2007-08-23 |
アプライド マテリアルズ インコーポレイテッド |
バリヤ物質の原子層堆積
|
JP2005029821A
(ja)
|
2003-07-09 |
2005-02-03 |
Tokyo Electron Ltd |
成膜方法
|
US7754604B2
(en)
|
2003-08-26 |
2010-07-13 |
Novellus Systems, Inc. |
Reducing silicon attack and improving resistivity of tungsten nitride film
|
JP4606006B2
(ja)
|
2003-09-11 |
2011-01-05 |
ルネサスエレクトロニクス株式会社 |
半導体装置の製造方法
|
US6924223B2
(en)
|
2003-09-30 |
2005-08-02 |
Tokyo Electron Limited |
Method of forming a metal layer using an intermittent precursor gas flow process
|
US7078341B2
(en)
|
2003-09-30 |
2006-07-18 |
Tokyo Electron Limited |
Method of depositing metal layers from metal-carbonyl precursors
|
KR20050054122A
(ko)
|
2003-12-04 |
2005-06-10 |
성명모 |
자외선 원자층 증착법을 이용한 박막 제조 방법
|
KR100557626B1
(ko)
|
2003-12-23 |
2006-03-10 |
주식회사 하이닉스반도체 |
반도체 소자의 비트라인 형성 방법
|
US20050139838A1
(en)
|
2003-12-26 |
2005-06-30 |
Matsushita Electric Industrial Co., Ltd. |
Semiconductor device and method for manufacturing semiconductor device
|
KR100528030B1
(ko)
|
2003-12-30 |
2005-11-15 |
주식회사 아이피에스 |
박막 증착 방법
|
KR101108304B1
(ko)
|
2004-02-26 |
2012-01-25 |
노벨러스 시스템즈, 인코포레이티드 |
질화 텅스텐의 증착
|
CN100370585C
(zh)
|
2004-04-12 |
2008-02-20 |
株式会社爱发科 |
隔离膜的形成方法及电极膜的形成方法
|
EP1741119B1
(en)
|
2004-04-21 |
2019-04-03 |
Lumileds Holding B.V. |
Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
|
US7605469B2
(en)
|
2004-06-30 |
2009-10-20 |
Intel Corporation |
Atomic layer deposited tantalum containing adhesion layer
|
US7429402B2
(en)
|
2004-12-10 |
2008-09-30 |
Applied Materials, Inc. |
Ruthenium as an underlayer for tungsten film deposition
|
US20060145190A1
(en)
|
2004-12-31 |
2006-07-06 |
Salzman David B |
Surface passivation for III-V compound semiconductors
|
KR100642750B1
(ko)
|
2005-01-31 |
2006-11-10 |
삼성전자주식회사 |
반도체 소자 및 그 제조 방법
|
US7344983B2
(en)
|
2005-03-18 |
2008-03-18 |
International Business Machines Corporation |
Clustered surface preparation for silicide and metal contacts
|
US7220671B2
(en)
|
2005-03-31 |
2007-05-22 |
Intel Corporation |
Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
|
JP4738178B2
(ja)
|
2005-06-17 |
2011-08-03 |
富士通セミコンダクター株式会社 |
半導体装置の製造方法
|
JP4945937B2
(ja)
|
2005-07-01 |
2012-06-06 |
東京エレクトロン株式会社 |
タングステン膜の形成方法、成膜装置及び記憶媒体
|
JP4864368B2
(ja)
|
2005-07-21 |
2012-02-01 |
シャープ株式会社 |
気相堆積方法
|
US7517798B2
(en)
|
2005-09-01 |
2009-04-14 |
Micron Technology, Inc. |
Methods for forming through-wafer interconnects and structures resulting therefrom
|
US7235485B2
(en)
|
2005-10-14 |
2007-06-26 |
Samsung Electronics Co., Ltd. |
Method of manufacturing semiconductor device
|
US8993055B2
(en)
|
2005-10-27 |
2015-03-31 |
Asm International N.V. |
Enhanced thin film deposition
|
US7524765B2
(en)
|
2005-11-02 |
2009-04-28 |
Intel Corporation |
Direct tailoring of the composition and density of ALD films
|
US7368394B2
(en)
|
2006-02-27 |
2008-05-06 |
Applied Materials, Inc. |
Etch methods to form anisotropic features for high aspect ratio applications
|
US7276796B1
(en)
|
2006-03-15 |
2007-10-02 |
International Business Machines Corporation |
Formation of oxidation-resistant seed layer for interconnect applications
|
JP2007250907A
(ja)
|
2006-03-16 |
2007-09-27 |
Renesas Technology Corp |
半導体装置およびその製造方法
|
US8258057B2
(en)
|
2006-03-30 |
2012-09-04 |
Intel Corporation |
Copper-filled trench contact for transistor performance improvement
|
TW200746268A
(en)
|
2006-04-11 |
2007-12-16 |
Applied Materials Inc |
Process for forming cobalt-containing materials
|
US7956465B2
(en)
|
2006-05-08 |
2011-06-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Reducing resistivity in interconnect structures of integrated circuits
|
US7828504B2
(en)
|
2006-05-12 |
2010-11-09 |
Axcellis Technologies, Inc. |
Combination load lock for handling workpieces
|
US7557047B2
(en)
|
2006-06-09 |
2009-07-07 |
Micron Technology, Inc. |
Method of forming a layer of material using an atomic layer deposition process
|
KR100884339B1
(ko)
|
2006-06-29 |
2009-02-18 |
주식회사 하이닉스반도체 |
반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
|
KR100705936B1
(ko)
|
2006-06-30 |
2007-04-13 |
주식회사 하이닉스반도체 |
반도체 소자의 비트라인 형성방법
|
US7355254B2
(en)
|
2006-06-30 |
2008-04-08 |
Intel Corporation |
Pinning layer for low resistivity N-type source drain ohmic contacts
|
US8153831B2
(en)
|
2006-09-28 |
2012-04-10 |
Praxair Technology, Inc. |
Organometallic compounds, processes for the preparation thereof and methods of use thereof
|
KR100894769B1
(ko)
|
2006-09-29 |
2009-04-24 |
주식회사 하이닉스반도체 |
반도체 소자의 금속 배선 형성방법
|
US7939455B2
(en)
|
2006-09-29 |
2011-05-10 |
Tokyo Electron Limited |
Method for forming strained silicon nitride films and a device containing such films
|
KR100881391B1
(ko)
|
2006-09-29 |
2009-02-05 |
주식회사 하이닉스반도체 |
반도체 소자의 게이트 형성방법
|
KR20080036679A
(ko)
|
2006-10-24 |
2008-04-29 |
삼성전자주식회사 |
불 휘발성 메모리 소자의 형성 방법
|
US7675119B2
(en)
|
2006-12-25 |
2010-03-09 |
Elpida Memory, Inc. |
Semiconductor device and manufacturing method thereof
|
KR100874829B1
(ko)
|
2006-12-26 |
2008-12-19 |
동부일렉트로닉스 주식회사 |
반도체 소자의 금속배선 형성방법
|
KR20080061978A
(ko)
|
2006-12-28 |
2008-07-03 |
주식회사 하이닉스반도체 |
반도체 소자의 배선 형성방법
|
US8435898B2
(en)
|
2007-04-05 |
2013-05-07 |
Freescale Semiconductor, Inc. |
First inter-layer dielectric stack for non-volatile memory
|
US20080254619A1
(en)
|
2007-04-14 |
2008-10-16 |
Tsang-Jung Lin |
Method of fabricating a semiconductor device
|
WO2008129508A2
(en)
|
2007-04-20 |
2008-10-30 |
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude |
Deposition of transition metal carbide containing films
|
JP5277696B2
(ja)
|
2008-04-07 |
2013-08-28 |
パナソニック株式会社 |
圧電デバイスの製造方法
|
CN101308794B
(zh)
|
2007-05-15 |
2010-09-15 |
应用材料股份有限公司 |
钨材料的原子层沉积
|
JP2008288289A
(ja)
|
2007-05-16 |
2008-11-27 |
Oki Electric Ind Co Ltd |
電界効果トランジスタとその製造方法
|
US8017182B2
(en)
|
2007-06-21 |
2011-09-13 |
Asm International N.V. |
Method for depositing thin films by mixed pulsed CVD and ALD
|
US7655567B1
(en)
|
2007-07-24 |
2010-02-02 |
Novellus Systems, Inc. |
Methods for improving uniformity and resistivity of thin tungsten films
|
KR101225642B1
(ko)
|
2007-11-15 |
2013-01-24 |
삼성전자주식회사 |
H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
|
WO2009073361A1
(en)
|
2007-11-29 |
2009-06-11 |
Lam Research Corporation |
Pulsed bias plasma process to control microloading
|
KR100939777B1
(ko)
|
2007-11-30 |
2010-01-29 |
주식회사 하이닉스반도체 |
텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
|
US8080324B2
(en)
|
2007-12-03 |
2011-12-20 |
Kobe Steel, Ltd. |
Hard coating excellent in sliding property and method for forming same
|
US7772114B2
(en)
|
2007-12-05 |
2010-08-10 |
Novellus Systems, Inc. |
Method for improving uniformity and adhesion of low resistivity tungsten film
|
US20090162681A1
(en)
|
2007-12-21 |
2009-06-25 |
Artur Kolics |
Activation solution for electroless plating on dielectric layers
|
US8053365B2
(en)
|
2007-12-21 |
2011-11-08 |
Novellus Systems, Inc. |
Methods for forming all tungsten contacts and lines
|
KR100919808B1
(ko)
|
2008-01-02 |
2009-10-01 |
주식회사 하이닉스반도체 |
반도체소자의 텅스텐막 형성방법
|
US8062977B1
(en)
|
2008-01-31 |
2011-11-22 |
Novellus Systems, Inc. |
Ternary tungsten-containing resistive thin films
|
KR101015125B1
(ko)
|
2008-03-21 |
2011-02-16 |
주식회사 하이닉스반도체 |
계면반응배리어를 구비한 반도체장치 제조 방법
|
KR101163825B1
(ko)
|
2008-03-28 |
2012-07-09 |
도쿄엘렉트론가부시키가이샤 |
정전척 및 그 제조 방법
|
US8058170B2
(en)
|
2008-06-12 |
2011-11-15 |
Novellus Systems, Inc. |
Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
|
US8385644B2
(en)
|
2008-07-08 |
2013-02-26 |
Zeitera, Llc |
Digital video fingerprinting based on resultant weighted gradient orientation computation
|
US7968460B2
(en)
|
2008-06-19 |
2011-06-28 |
Micron Technology, Inc. |
Semiconductor with through-substrate interconnect
|
US7830016B2
(en)
|
2008-06-30 |
2010-11-09 |
Intel Corporation |
Seed layer for reduced resistance tungsten film
|
US8551885B2
(en)
|
2008-08-29 |
2013-10-08 |
Novellus Systems, Inc. |
Method for reducing tungsten roughness and improving reflectivity
|
US20100062149A1
(en)
|
2008-09-08 |
2010-03-11 |
Applied Materials, Inc. |
Method for tuning a deposition rate during an atomic layer deposition process
|
KR20100029952A
(ko)
|
2008-09-09 |
2010-03-18 |
주식회사 하이닉스반도체 |
금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
|
US20100072623A1
(en)
|
2008-09-19 |
2010-03-25 |
Advanced Micro Devices, Inc. |
Semiconductor device with improved contact plugs, and related fabrication methods
|
JP2010093116A
(ja)
|
2008-10-09 |
2010-04-22 |
Panasonic Corp |
半導体装置及び半導体装置の製造方法
|
US20100120245A1
(en)
|
2008-11-07 |
2010-05-13 |
Agus Sofian Tjandra |
Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
|
US7964502B2
(en)
|
2008-11-25 |
2011-06-21 |
Freescale Semiconductor, Inc. |
Multilayered through via
|
US7825024B2
(en)
|
2008-11-25 |
2010-11-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of forming through-silicon vias
|
US20100144140A1
(en)
|
2008-12-10 |
2010-06-10 |
Novellus Systems, Inc. |
Methods for depositing tungsten films having low resistivity for gapfill applications
|
US8129270B1
(en)
|
2008-12-10 |
2012-03-06 |
Novellus Systems, Inc. |
Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
|
US8110877B2
(en)
|
2008-12-19 |
2012-02-07 |
Intel Corporation |
Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
|
US8236691B2
(en)
|
2008-12-31 |
2012-08-07 |
Micron Technology, Inc. |
Method of high aspect ratio plug fill
|
KR101263856B1
(ko)
|
2008-12-31 |
2013-05-13 |
어플라이드 머티어리얼스, 인코포레이티드 |
비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
|
DE102009015747B4
(de)
|
2009-03-31 |
2013-08-08 |
Globalfoundries Dresden Module One Limited Liability Company & Co. Kg |
Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
|
US8623733B2
(en)
|
2009-04-16 |
2014-01-07 |
Novellus Systems, Inc. |
Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
|
US9159571B2
(en)
|
2009-04-16 |
2015-10-13 |
Lam Research Corporation |
Tungsten deposition process using germanium-containing reducing agent
|
US20110020546A1
(en)
|
2009-05-15 |
2011-01-27 |
Asm International N.V. |
Low Temperature ALD of Noble Metals
|
CN101572291B
(zh)
|
2009-06-12 |
2010-09-15 |
中国科学院上海微系统与信息技术研究所 |
一种实现多级存储的存储器单元结构及其制作方法
|
US8039394B2
(en)
|
2009-06-26 |
2011-10-18 |
Seagate Technology Llc |
Methods of forming layers of alpha-tantalum
|
US8119527B1
(en)
|
2009-08-04 |
2012-02-21 |
Novellus Systems, Inc. |
Depositing tungsten into high aspect ratio features
|
US9034768B2
(en)
|
2010-07-09 |
2015-05-19 |
Novellus Systems, Inc. |
Depositing tungsten into high aspect ratio features
|
US8207062B2
(en)
|
2009-09-09 |
2012-06-26 |
Novellus Systems, Inc. |
Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
|
US8278224B1
(en)
|
2009-09-24 |
2012-10-02 |
Novellus Systems, Inc. |
Flowable oxide deposition using rapid delivery of process gases
|
WO2011062560A1
(en)
|
2009-11-19 |
2011-05-26 |
National University Of Singapore |
Method for producing t cell receptor-like monoclonal antibodies and uses thereof
|
DE102009055392B4
(de)
|
2009-12-30 |
2014-05-22 |
Globalfoundries Dresden Module One Limited Liability Company & Co. Kg |
Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
|
CN101789369A
(zh)
|
2010-01-28 |
2010-07-28 |
上海宏力半导体制造有限公司 |
多金属钨栅极刻蚀方法
|
JP5729911B2
(ja)
|
2010-03-11 |
2015-06-03 |
ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated |
タングステン膜の製造方法およびタングステン膜を堆積させる装置
|
US8709948B2
(en)
|
2010-03-12 |
2014-04-29 |
Novellus Systems, Inc. |
Tungsten barrier and seed for copper filled TSV
|
KR101356332B1
(ko)
|
2010-03-19 |
2014-02-04 |
노벨러스 시스템즈, 인코포레이티드 |
낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
|
US9129945B2
(en)
|
2010-03-24 |
2015-09-08 |
Applied Materials, Inc. |
Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
|
US8741394B2
(en)
|
2010-03-25 |
2014-06-03 |
Novellus Systems, Inc. |
In-situ deposition of film stacks
|
KR101340793B1
(ko)
|
2010-07-09 |
2013-12-11 |
노벨러스 시스템즈, 인코포레이티드 |
고 종횡비 특징부 내부로 텅스텐 증착하기
|
US8778797B2
(en)
|
2010-09-27 |
2014-07-15 |
Novellus Systems, Inc. |
Systems and methods for selective tungsten deposition in vias
|
US20120199887A1
(en)
*
|
2011-02-03 |
2012-08-09 |
Lana Chan |
Methods of controlling tungsten film properties
|
US20120225191A1
(en)
|
2011-03-01 |
2012-09-06 |
Applied Materials, Inc. |
Apparatus and Process for Atomic Layer Deposition
|
US8865594B2
(en)
|
2011-03-10 |
2014-10-21 |
Applied Materials, Inc. |
Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
|
US8546250B2
(en)
|
2011-08-18 |
2013-10-01 |
Wafertech Llc |
Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
|
US8916435B2
(en)
|
2011-09-09 |
2014-12-23 |
International Business Machines Corporation |
Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
|
JP5710529B2
(ja)
|
2011-09-22 |
2015-04-30 |
株式会社東芝 |
半導体装置及びその製造方法
|
US8617985B2
(en)
|
2011-10-28 |
2013-12-31 |
Applied Materials, Inc. |
High temperature tungsten metallization process
|
JP5959991B2
(ja)
|
2011-11-25 |
2016-08-02 |
東京エレクトロン株式会社 |
タングステン膜の成膜方法
|
US9112003B2
(en)
|
2011-12-09 |
2015-08-18 |
Asm International N.V. |
Selective formation of metallic films on metallic surfaces
|
US8728955B2
(en)
|
2012-02-14 |
2014-05-20 |
Novellus Systems, Inc. |
Method of plasma activated deposition of a conformal film on a substrate surface
|
US10381266B2
(en)
|
2012-03-27 |
2019-08-13 |
Novellus Systems, Inc. |
Tungsten feature fill with nucleation inhibition
|
TWI602283B
(zh)
|
2012-03-27 |
2017-10-11 |
諾發系統有限公司 |
鎢特徵部塡充
|
US9034760B2
(en)
|
2012-06-29 |
2015-05-19 |
Novellus Systems, Inc. |
Methods of forming tensile tungsten films and compressive tungsten films
|
CN102867953B
(zh)
|
2012-07-24 |
2015-01-21 |
龙能科技(苏州)有限公司 |
用氢氧化物或羟基氧化物生产锂离子电池正极材料的方法
|
US9969622B2
(en)
|
2012-07-26 |
2018-05-15 |
Lam Research Corporation |
Ternary tungsten boride nitride films and methods for forming same
|
US8975184B2
(en)
|
2012-07-27 |
2015-03-10 |
Novellus Systems, Inc. |
Methods of improving tungsten contact resistance in small critical dimension features
|
KR20140028992A
(ko)
|
2012-08-31 |
2014-03-10 |
에스케이하이닉스 주식회사 |
텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
|
KR101990051B1
(ko)
|
2012-08-31 |
2019-10-01 |
에스케이하이닉스 주식회사 |
무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
|
US8853080B2
(en)
|
2012-09-09 |
2014-10-07 |
Novellus Systems, Inc. |
Method for depositing tungsten film with low roughness and low resistivity
|
US9169556B2
(en)
|
2012-10-11 |
2015-10-27 |
Applied Materials, Inc. |
Tungsten growth modulation by controlling surface composition
|
US9153486B2
(en)
|
2013-04-12 |
2015-10-06 |
Lam Research Corporation |
CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
|
US8975142B2
(en)
|
2013-04-25 |
2015-03-10 |
Globalfoundries Inc. |
FinFET channel stress using tungsten contacts in raised epitaxial source and drain
|
JP6494940B2
(ja)
|
2013-07-25 |
2019-04-03 |
ラム リサーチ コーポレーションLam Research Corporation |
異なるサイズのフィーチャへのボイドフリータングステン充填
|
US9362163B2
(en)
|
2013-07-30 |
2016-06-07 |
Lam Research Corporation |
Methods and apparatuses for atomic layer cleaning of contacts and vias
|
JP5864503B2
(ja)
|
2013-09-30 |
2016-02-17 |
株式会社日立国際電気 |
半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
|
CN105814677B
(zh)
|
2013-10-18 |
2019-06-18 |
布鲁克斯自动化公司 |
处理设备
|
US9589808B2
(en)
|
2013-12-19 |
2017-03-07 |
Lam Research Corporation |
Method for depositing extremely low resistivity tungsten
|
TWI672737B
(zh)
|
2013-12-27 |
2019-09-21 |
美商蘭姆研究公司 |
允許低電阻率鎢特徵物填充之鎢成核程序
|
US10683571B2
(en)
|
2014-02-25 |
2020-06-16 |
Asm Ip Holding B.V. |
Gas supply manifold and method of supplying gases to chamber using same
|
US9595470B2
(en)
*
|
2014-05-09 |
2017-03-14 |
Lam Research Corporation |
Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
|
US9997405B2
(en)
|
2014-09-30 |
2018-06-12 |
Lam Research Corporation |
Feature fill with nucleation inhibition
|
US9953984B2
(en)
|
2015-02-11 |
2018-04-24 |
Lam Research Corporation |
Tungsten for wordline applications
|
TW201700761A
(zh)
|
2015-05-13 |
2017-01-01 |
應用材料股份有限公司 |
經由基材的有機金屬或矽烷預處理而改良的鎢膜
|
US9754824B2
(en)
|
2015-05-27 |
2017-09-05 |
Lam Research Corporation |
Tungsten films having low fluorine content
|
US9613818B2
(en)
|
2015-05-27 |
2017-04-04 |
Lam Research Corporation |
Deposition of low fluorine tungsten by sequential CVD process
|
US9978605B2
(en)
|
2015-05-27 |
2018-05-22 |
Lam Research Corporation |
Method of forming low resistivity fluorine free tungsten film without nucleation
|
KR102397797B1
(ko)
|
2015-05-27 |
2022-05-12 |
램 리써치 코포레이션 |
순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
|
JP6541438B2
(ja)
|
2015-05-28 |
2019-07-10 |
東京エレクトロン株式会社 |
金属膜のストレス低減方法および金属膜の成膜方法
|
US9972504B2
(en)
|
2015-08-07 |
2018-05-15 |
Lam Research Corporation |
Atomic layer etching of tungsten for enhanced tungsten deposition fill
|
TWI720106B
(zh)
|
2016-01-16 |
2021-03-01 |
美商應用材料股份有限公司 |
Pecvd含鎢硬遮罩膜及製造方法
|
US10229837B2
(en)
|
2016-02-04 |
2019-03-12 |
Lam Research Corporation |
Control of directionality in atomic layer etching
|
US10865475B2
(en)
|
2016-04-21 |
2020-12-15 |
Asm Ip Holding B.V. |
Deposition of metal borides and silicides
|
TWI732846B
(zh)
|
2016-04-25 |
2021-07-11 |
美商應用材料股份有限公司 |
透過控制前驅物混合來強化金屬的空間ald
|
US10573522B2
(en)
|
2016-08-16 |
2020-02-25 |
Lam Research Corporation |
Method for preventing line bending during metal fill process
|
US10410872B2
(en)
|
2016-09-13 |
2019-09-10 |
Applied Materials, Inc. |
Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
|
KR101923301B1
(ko)
|
2017-03-06 |
2018-11-28 |
한국전력공사 |
송전철탑 상향장치 및 방법
|
CN111095488A
(zh)
|
2017-08-14 |
2020-05-01 |
朗姆研究公司 |
三维竖直nand字线的金属填充过程
|
US10269559B2
(en)
|
2017-09-13 |
2019-04-23 |
Lam Research Corporation |
Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
|
KR20200079339A
(ko)
|
2017-11-20 |
2020-07-02 |
램 리써치 코포레이션 |
자기 제한 성장
|
WO2019213604A1
(en)
|
2018-05-03 |
2019-11-07 |
Lam Research Corporation |
Method of depositing tungsten and other metals in 3d nand structures
|
JP2022513479A
(ja)
|
2018-12-14 |
2022-02-08 |
ラム リサーチ コーポレーション |
3d nand構造上の原子層堆積
|
WO2020185618A1
(en)
|
2019-03-11 |
2020-09-17 |
Lam Research Corporation |
Precursors for deposition of molybdenum-containing films
|
JP2022533834A
(ja)
|
2019-05-22 |
2022-07-26 |
ラム リサーチ コーポレーション |
核生成のないタングステン堆積
|
JP2022544931A
(ja)
|
2019-08-12 |
2022-10-24 |
ラム リサーチ コーポレーション |
タングステン堆積
|
US20230130557A1
(en)
|
2020-03-04 |
2023-04-27 |
Lam Research Corporation |
Reactant gas pulse delivery
|
CN115244666A
(zh)
|
2020-03-06 |
2022-10-25 |
朗姆研究公司 |
钼的原子层蚀刻
|
KR20210137395A
(ko)
|
2020-05-07 |
2021-11-17 |
에이에스엠 아이피 홀딩 비.브이. |
불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법
|
KR20230043796A
(ko)
|
2020-07-29 |
2023-03-31 |
램 리써치 코포레이션 |
저저항 게이트 산화물 금속화 라이너
|
US11282711B2
(en)
|
2020-07-31 |
2022-03-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Plasma-assisted etching of metal oxides
|