DE102009055392B4 - Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements - Google Patents

Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements Download PDF

Info

Publication number
DE102009055392B4
DE102009055392B4 DE102009055392.4A DE102009055392A DE102009055392B4 DE 102009055392 B4 DE102009055392 B4 DE 102009055392B4 DE 102009055392 A DE102009055392 A DE 102009055392A DE 102009055392 B4 DE102009055392 B4 DE 102009055392B4
Authority
DE
Germany
Prior art keywords
transistor
gate
gate electrode
forming
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102009055392.4A
Other languages
English (en)
Other versions
DE102009055392A1 (de
Inventor
Jan Hoentschel
Sven Beyer
Thilo Scheiper
Uwe Griebenow
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009055392.4A priority Critical patent/DE102009055392B4/de
Priority to US12/909,291 priority patent/US8669151B2/en
Publication of DE102009055392A1 publication Critical patent/DE102009055392A1/de
Application granted granted Critical
Publication of DE102009055392B4 publication Critical patent/DE102009055392B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren zur Herstellung eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden eines Gateschichtstapels mit dem gleichen Schichtaufbau über einem ersten aktiven Gebiet eines ersten Transistors und über einem zweiten aktiven Gebiet eines zweiten Transistors, wobei der Gateschichtstapel ein Gatedielektrikumsmaterial und ein erstes nicht-Halbleiter-Elektrodenmaterial, das eine erste austrittsarbeitseinstellende Sorte zum Einstellen einer Austrittsarbeit für den ersten Transistor aufweist, aufweist; Bilden einer ersten Gateelektrodenstruktur auf dem ersten aktiven Gebiet und einer zweiten Gateelektrodenstruktur auf dem zweiten aktiven Gebiet aus dem Gateschichtstapel; und Ersetzen des ersten Elektrodenmaterials in der zweiten Gateelektrodenstruktur durch ein zweites Elektrodenmaterial mit einer zweiten Austrittsarbeit, die für den zweiten Transistor geeignet ist.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung komplexer integrierter Schaltungen mit Transistorelementen, die hochkapazitive Gateelektrodenstrukturen auf der Grundlage eines dielektrischen Materials mit großem ε aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPUs, Speicherbauelementen, ASICs (anwendungsspezifischen integrierten Schaltungen) und dergleichen, macht es erforderlich, dass eine große Anzahl an Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau hergestellt wird, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die das Leistungsverhalten der integrierten Schaltung wesentlich bestimmen. Im Allgemeinen wird eine Vielzahl an Prozesstechnologien aktuell eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Sourcegebiete bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet, etwa einem Kanalgebiet, gebildet sind, das zwischen den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst die Leitfähigkeit des Kanalgebiets das Leistungsverhalten von MOS-Transistoren ganz wesentlich. Da die Geschwindigkeit des Aufbaus des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand wesentlich die Transistoreigenschaften festlegen, ist die Verringerung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wesentliches Entwurfskriterium, um eine Zunahme der Geschwindigkeit integrierter Schaltungen zu erreichen.
  • Gegenwärtig wird der Hauptanteil integrierter Schaltungen auf der Grundlage von Silizium hergestellt auf Grund dessen nahezu unbegrenzter Verfügbarkeit, auf Grund der gut verstandenen Eigenschaften des Siliziums und zugehöriger Materialien und Prozesse und auf Grund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziums bei der Herstellung von Halbleiterbauelementen sind die guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die die elektrische Isolierung unterschiedlicher Gebiete voneinander in zuverlässiger Weise ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturen stabil und ermöglicht somit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für Ausheizprozesse zum Aktivieren von Dotierstoffen und zum Ausheilen von Kristallschäden erforderlich sind, ohne dass die elektrischen Eigenschaften der Grenzfläche beeinträchtigt werden.
  • Aus den zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als ein Basismaterial einer Gateisolationsschicht in Feldeffekttransistoren verwendet, die die Gateelektrode, die häufig aus Polysilizium oder metallenthaltenden Materialien aufgebaut ist, von dem Siliziumkanalgebiet trennt. Beim stetigen Verbessern des Bauteilverhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets kontinuierlich verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu erhöhen. Es zeigt sich, dass eine Verringerung der Kanallänge eine höhere kapazitive Kopplung zwischen der Gateelektrode und dem Kanalgebiet erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten führt zu einem erhöhten Leckstrom und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer reduzierten Schwellwertspannung zeigen eine exponentielle Zunahme des Leckstromes, wobei auch eine höhere kapazitive der Kopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Daher muss die Dicke der Siliziumdioxidschicht entsprechend verringert werden, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu erzeugen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistoren mit einem extrem kurzen Kanal im Wesentlichen auf Hochgeschwindigkeitssignalwege beschränkt ist, wohingegen Transistoren mit einem längeren Kanal für weniger kritische Signalwege eingesetzt werden, erreicht der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxidgateisolationsschicht hervorgerufen wird, Werte bei einer Oxiddicke im Bereich von 1 bis 2 nm, die nicht mehr mit den thermischen Entwurfserfordernissen für leistungsorientierte Schaltungen verträglich sind.
  • Daher wurde das Ersetzen von siliziumdioxidbasierten Dielektrika, zumindest teilweise, als Material für Gateisolationsschichten in Betracht gezogen, insbesondere für extrem dünne siliziumdioxidbasierte Gateschichten. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend ausgebildeten Gateisolationsschicht eine kapazitive Kopplung liefert, die ansonsten nur durch eine extrem dünne Siliziumdioxidschicht erreicht würde.
  • Zudem kann das Transistorverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, so dass das für gewöhnlich verwendete Polysiliziummaterial zumindest in der Nähe des Gatedielektrikumsmaterials ersetzt wird, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gatedielektrikum aufweist, wodurch die wirksame Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Somit wurde ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine höhere Kapazität auf der Grundlage der gleichen Dicke wie eine siliziumdioxidbasierte Schicht sorgt, während gleichzeitig die Leckströme auf einem akzeptablen Niveau bleiben. Andererseits wird das nicht-Polysiliziummaterial, etwa Titannitrid und dergleichen, in Verbindung mit anderen Metallen so hergestellt, dass es mit dem dielektrischen Material mit großem ε in Verbindung steht, wodurch im Wesentlichen das Auftreten einer Verarmungszone vermieden wird und eine höhere Leitfähigkeit im Vergleich zu dotierten Polysiliziummaterial erreicht wird. Da die Schwellwertspannung der Transistoren, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, wesentlich von der Austrittsarbeit des metallenthaltenden Gatematerials bestimmt ist, ist eine geeignete Einstellung der wirksamen Austrittsarbeit im Hinblick auf die Leitfähigkeitsart des betrachteten Transistors und dessen Leistungseigenschaften sicherzustellen.
  • Das Vorsehen unterschiedlicher Metallsorten zum Einstellen der Austrittsarbeit der Gateelektrodenstrukturen von p-Kanaltransistoren und n-Kanaltransistoren in einer frühen Fertigungsphase ist jedoch mit einer Reihe von Schwierigkeiten verknüpft, die sich aus der Tatsache ergeben, dass eine komplexe Strukturierungssequenz während der Herstellung des komplexen Metallgatestapels mit großem ε erforderlich ist, was zu einer ausgeprägten Variabilität der resultierenden Austrittsarbeit und somit der Schwellwertspannung der fertig gestellten Transistorstrukturen führen kann. Während einer entsprechenden Fertigungssequenz wird ferner ggf. das Material mit großem ε der Einwirkung von Sauerstoff ausgesetzt, was zu einer Zunahme der Schichtdicke und somit zu einer Verringerung der kapazitiven Kopplung führen kann. Des weiteren wird ggf. auch eine Verschiebung der Austrittsarbeit beobachtet, wenn geeignete Austrittsarbeitsmetalle in einer frühen Fertigungsphase hergestellt werden, wobei man annimmt, dass dies durch die moderat hohe Sauerstoffaffinität der Metallsorte insbesondere während Hochtemperaturprozessen hervorgerufen wird, die typischerweise zur Fertigstellung der Transistorstrukturen erforderlich sind, wenn beispielsweise Drain- und Sourcegebiete und dergleichen hergestellt werden. In anderen Vorgehensweisen werden geeignete Austrittsarbeitsmetalle in das Gatedielektrikumsmaterial hineinverteilt, bevor die Gateelektrodenstrukturen tatsächlich strukturiert werden, wodurch Dipolladungen in dem Gatedielektrikumsmaterial angeordnet werden, wie dies zum Einstellen der gewünschten Austrittsarbeit für die unterschiedlichen Transistorarten erforderlich ist. Daher erfordert die ausgeprägte Temperaturabhängigkeit während der weiteren Bearbeitung eine genaue Steuerung des thermischen Budgets, was wiederum zu einer erhöhten Variabilität der Transistoreigenschaften führen kann. Häufig erfordert das Kanalgebiet einer Transistorart eine Bandlückenverschiebung im Vergleich zu einem reinen Siliziumkanal, um eine gewünschte Austrittsarbeit auf der Grundlage eines speziellen Austrittsarbeitsmetalls, etwa Aluminium-Kanaltransistoren, zu erreichen, wobei jedoch die Einstellung der Bandlückenverschiebung typischerweise erreicht wird, indem ein Halbleitermaterial, etwa eine Silizium/Germanium-Mischung, in dem Kanalgebiet epitaktisch hergestellt wird, was wiederum gut gesteuerte Prozesse erforderlich macht, die den Gesamtdurchsatz verringern.
  • Aus diesen Gründen wird in anderen Vorgehensweisen der anfängliche Gateelektrodenstapel mit einem hohen Grad an Kompatibilität zu konventionellen siliziumbasierten Prozessstrategien bereitgestellt, und das eigentliche Elektrodenmetall und die endgültige Einstellung der Austrittsarbeit der Transistoren wird in einer sehr fortgeschrittenen Fertigungsphase bewerkstelligt, d. h. nach der Fertigstellung der grundlegenden Transistorstruktur. In einem entsprechenden Austauschgateverfahren wird das dielektrische Material mit großem ε hergestellt und mittels eines geeigneten metallenthaltenden Materials abgedeckt, etwa durch Titannitrid und dergleichen, woran sich ein standardmäßiges Polysiliziummaterial oder amorphes Siliziummaterial anschließt, das dann auf der Grundlage gut etablierter aufwendiger Lithographie- und Ätztechniken strukturiert wird. Während der Prozesssequenz zum Strukturieren der Gateelektrodenstruktur wird somit das empfindliche dielektrische Material mit großem ε durch das metallenthaltende Material möglicherweise in Verbindung mit komplexen Seitenwandabstandshalterstrukturen unterstützt, wodurch eine unerwünschte Materialmodifizierung während der weiteren Bearbeitung im Wesentlichen vermieden wird. Nach dem Strukturieren der Gateelektrodenstruktur werden konventionelle und gut etablierte Prozesstechniken zur Herstellung der Drain- und Sourcegebiete mit dem gewünschten komplexen Dotierstoffprofil ausgeführt. Nach jeglichen Hochtemperaturprozessen geht die Bearbeitung weiter, indem ein Metallsilizid bei Bedarf hergestellt wird, woran sich das Abscheiden eines dielektrischen Zwischenschichtmaterials, etwa von Siliziumnitrid in Verbindung mit Siliziumdioxid und dergleichen, anschließt. In dieser Fertigungsphase wird eine obere Fläche der Gateelektrodenstrukturen, die in dem dielektrischen Zwischenschichtmaterial eingebettet sind, durch beispielsweise CM (chemisch-mechanisches Polieren), und dergleichen freigelegt. Das Polysiliziummaterial wird in einem selektiven Ätzprozess entfernt und daraufhin wird ein geeignetes Maskierungsschema angewendet, um selektiv ein geeignetes Metall für die jeweilige Transistorart einzufüllen, was bewerkstelligt werden kann, indem die erste Metallsorte eingefüllt und selektiv von einer der Gateelektrodenstrukturen entfernt wird. Daraufhin wird ein weiteres Metallmaterial abgeschieden, wodurch die gewünschte Austrittsarbeit für jede Transistorart erhalten wird. Schließlich werden die Gateelektroden mit einem Elektrodenmetall gefüllt, wodurch eine vollständige Metallgateelektrodenstruktur für n-Kanal- und p-Kanaltransistoren erreicht wird.
  • Obwohl im Allgemeinen diese Vorgehensweise gewisse Vorteile im Hinblick auf das Verringern der prozessabhängigen Ungleichmäßigkeiten in den Schwellwertspannungen der Transistoren bietet, da das dielektrische Material mit großem ε zuverlässig während der gesamten Prozesssequenz eingekapselt ist, ohne dass eine Einstellung der Ausstrittsarbeit und somit der Schwellwertspannung in einer frühen Fertigungsphase erforderlich ist, kann die komplexe Prozesssequenz zum Entfernen des Platzhaltermaterials und zum Vorsehen geeigneter Austrittsarbeitsmaterialien für die unterschiedlichen Transistorarten zu einem ausgeprägten Grad an Variabilität der Transistoreigenschaften führen, was somit das Aufheben zumindest einiger der Vorteile zur Folge hat, die durch das gemeinsame Bearbeiten der Gateelektrodenstrukturen erreicht werden, bis die grundlegende Transistorstruktur fertig gestellt ist.
  • Ferner sind in Austauschgateverfahren gewisse Änderungen im Gesamtaufbau des Halbleiterbauelements erforderlich, um die Anwesenheit von Schaltungselementen, etwa von nicht-Transistorelementen, zu berücksichtigen, in denen das Platzhalterpolysiliziummaterial ebenfalls entfernt wird, wodurch sich jedoch deutliche Änderungen im gesamten elektronischen Verhalten ergeben. Beispielsweise werden Widerstände und Kondensatoren häufig auf der Grundlage des Polysiliziummaterials hergestellt, das konventioneller Weise als das Elektrodenmaterial der Gateelektrodenstrukturen in Vorgehensweisen verwendet wird, in denen das dielektrische Material mit großem ε und das austrittsarbeitseinstellende Metallelektrodenmaterial in einer frühen Fertigungsphase vorgesehen werden, wodurch derartigen passiven Schaltungselementen eine sehr unterschiedliche Leitfähigkeit verliehen wird. Ferner wird in einem Austauschgateverfahren das Siliziummaterial der Platzhalterelektrodenstrukturen vollständig durch ein Metall ersetzt, was möglicherweise die gesamte parasitäre Abschirmkapazität der resultierenden Gateelektrodenstrukturen erhöhen kann.
  • Folglich besitzen das Metallgateverfahren mit großem ε in einer frühen Fertigungsphase und das Austauschgateverfahren gewisse Nachteile, die wiederum zu einem weniger ausgeprägten Zugewinn an Leistungsvermögen komplexer Halbleiterbauelemente führen.
  • Die US 2009/0230479 A1 betrifft eine CMOS-Halbleiterstruktur, die gemäß einer Hybrid-Prozesstechnik hergestellt wird, in der die grundlegenden elektronischen Eigenschaften von Gateelektrodenstrukturen von PMOS-Transistoren und NMOS-Transistoren in sehr unterschiedlichen Fertigungsphasen festgelegt werden. Die Gateelektrodenstrukturen der PMOS-Transistoren und NMOS-Transistoren werden aus Gateschichtstapeln mit unterschiedlichem Schichtaufbau gebildet.
  • Die US 2009/0117726 A1 betrifft eine CMOS-Halbleiterstruktur, die mittels einer Hybrid-Prozesstechnik auf der Grundlage eines NMOS-Austauschgateverfahrens hergestellt wird, wobei ein Gateschichtstapel aus einer Gatedielektrikumsschicht und einer darauf abgeschiedenen siliziumenthaltenden Elektrodenmaterialschicht verwendet wird.
  • Im Hinblick auf diese Situation ist es die Aufgabe der vorliegenden Erfindung Halbleiterbauelemente und Fertigungsverfahren bereitzustellen, in denen komplexe Gateelektrodenstrukturen auf der Grundlage eines dielektrischen Materials mit großem ε vorgesehen werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die vorliegende Erfindung
  • Im Allgemeinen stellt die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken bereit, in denen ein besseres Bauteilleistungsverhalten erreicht wird, indem eine Prozessstrategie angewendet wird, in der komplexe Gateelektrodenstrukturen für einige Transistorelementen in einer frühen Fertigungsphase bereitgestellt werden, während die Gateelektrodenstrukturen für andere Transistorelemente in einer sehr späten Fertigungsphase fertig gestellt werden, wodurch eines oder mehrere der Probleme vermieden werden, die mit dem Gate-zuerst-Verfahren und dem Austauschgateverfahren, wie sie zuvor beschrieben sind, verknüpft sind. Somit kann durch Anwenden einer „Hybrid-Prozessstrategie” im Hinblick auf halbleiterbasierte Metallgateelektrodenstrukturen mit großem ε und Vollmetallgateelektrodenstrukturen mit großem ε das Leistungsverhalten unterschiedlicher Transistorarten, etwa von n-Kanaltransistoren und p-Kanaltransistoren, individuell verbessert werden, wobei dennoch viele der Probleme vermieden werden, die mit diesen konventionellen Strategien verknüpft sind. Beispielsweise kann die Austrittsarbeit von Transistoren einer ersten Art effizient eingestellt werden, indem ein geeignetes Austrittsarbeitsmetall in einer frühen Fertigungsphase vorgesehen wird, wohingegen das Austrittsarbeitsmetall und das weitere halbleiterbasierte Elektrodenmetall als ein Platzhaltermaterial für Transistoren einer anderen Art dienen. Folglich können deutlich bessere Prozessbedingungen während des Ersetzens des Elektrodenmaterials durch lediglich eine Art an Austrittsarbeitsmetall erreicht werden, wodurch beispielsweise abscheide- und strukturierungsabhängige Unregelmäßigkeiten vermieden oder zumindest reduziert werden, die ansonsten in konventionellen Austauschgateverfahren auftreten, wie dies zuvor erläutert ist. Ferner erhöht das Konzept des Vorsehens gewisser Elektrodenstrukturen in einer frühen Fertigungsphase während die Gateelektrodenstrukturen anderer Transistoren auf der Grundlage einer Austauschprozessstrategie fertig gestellt werden, die Flexibilität beim Einrichten zusätzlicher leistungssteigernder Mechanismen, etwa von verformungsinduzierenden Mechanismen, die noch weiter zu einem besseren gesamten Bauteilleistungsverhalten beitragen.
  • Die Aufgabe der vorliegenden Erfindung wird durch das Verfahren nach Anspruch 1 und die Vorrichtung nach Anspruch 17 gelöst.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine Querschnittsansicht eines Halbleiterbauelements in einer frühen Fertigungsphase zeigt, in der ein Schichtstapel so vorgesehen wird, dass dieser zur Herstellung einer komplexen Gateelektrodenstruktur einer Transistorart gemäß anschaulicher Ausführungsformen geeignet ist;
  • 1b schematisch eine Querschnittsansicht des Halbleiterbauelements in einer weiter fortgeschrittenen Fertigungsphase zeigt, in der Gateelektrodenstrukturen unterschiedlicher Transistoren auf der Grundlage des Gateschichtstapels aus 1a hergestellt sind;
  • 1c bis 1g schematisch Querschnittsansichten des Halbleiterbauelements während diverser Fertigungsphasen bei der Fertigstellung der grundlegenden Transistorstruktur zum Ersetzen des Elektrodenmaterials einer Art an Transistoren durch ein geeignetes Elektrodenmetall zeigen, das eine geeignete austrittsarbeitseinstellende Substanz gemäß anschaulicher Ausführungsformen aufweist;
  • 2a und 2b schematisch Querschnittsansichten des Halbleiterbauelements in diversen Fertigungsphasen zeigen, in denen ein Teil des halbleiterbasierten Elektrodenmaterials in einer Art an Gateelektrodenstrukturen entfernt wird, das Elektrodenmaterial in der anderen Art an Gateelektrodenstrukturen gemäß weiterer anschaulicher Ausführungsformen vollständig entfernt wird; und
  • 3 und 4 schematisch Querschnittsansichten des Halbleiterbauelements gemäß noch weiterer anschaulicher Ausführungsformen zeigen, in denen eine bessere Querschnittsform einer Gateöffnung während der Austauschgatephase auf der Grundlage eines zugverspannten dielektrischen Zwischenschichtmaterials erreicht wird, das nach dem Füllen der Gateöffnung entspannt wird.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen die Gateelektrodenstruktur einer Transistorart, etwa von n-Kanaltransistoren oder von p-Kanaltransistoren, in einer frühen Fertigungsphase auf der Grundalge eines geeigneten Elektrodenmaterials bereitgestellt wird, etwa auf der Grundlage eines halbleiterbasierten Elektrodenmaterials in Verbindung mit einem metallenthaltenden Material, das eine geeignete Austrittsarbeitsmetallsorte aufweist, um damit die erforderliche Austrittsarbeit und somit Schwellwertspannung des betrachteten Transistors zu erhalten. Beispielsweise sind komplexe Diffusionsprozesse nicht erforderlich, da das Elektrodenmaterial an sich, d. h. zumindest der Bereich, der an und in der Nähe des Gatedielektrikumsmaterials ausgebildet ist, eine geeignete Materialzusammensetzung aufweisen kann, beispielsweise durch Vorsehen von Lanthan und dergleichen, um die gewünschte Austrittsarbeit für den betrachteten Transistor zu erhalten. Andererseits wird das Elektrodenmaterial als ein effizientes Platzhaltermaterial für andere Transistorarten verwendet, was zu einem gleichmäßigen Prozessablauf zum Strukturieren des Gateschichtstapels führt, wodurch ebenfalls ein hoher Grad an Gleichmäßigkeit im Hinblick auf die kritischen Abmessungen, etwa die Gatelänge der schließlich erhaltenen Gateelektrodenstrukturen erreicht wird. Das Vorsehen der Gateelektrodenstruktur in einer frühen Fertigungsphase, ohne dass das Ersetzen des Elektrodenmaterials in einer fortgeschrittenen Fertigungsphase erforderlich ist, kann die Möglichkeit schaffen, weitere verformungsinduzierende Mechanismen einzubauen, etwa sogenannte Verspannungsgedächtnistechniken, in denen das Halbleitermaterial in Drain- und Sourcegebieten zumindest einmal im Wesentlichen amorphisiert und rekristallisiert wird in Anwesenheit einer steifen Materialschicht, wodurch ein verformtes Wiederaufwachsen des zuvor amorphisierten Halbleitermaterials hervorgerufen wird. Die resultierende Verformung kann bewahrt werden, selbst nach dem Entfernen oder dem teilweise Entfernen der steifen Deckschicht, wobei die entsprechende Verformungswirkung insbesondere erreicht wird, ohne dass eine Modifizierung an der Gateelektrodenstruktur hervorgerufen wird. Beispielsweise kann eine Verspannungsgedächtnistechnik effizient für n-Kanaltransistoren angewendet werden, um eine Zugverformungskomponente im Kanalgebiet zu erzeugen, wobei die entsprechende halbleiterbasierte Gateelektrodenstruktur für eine ausgeprägte Ladung der Verformung ohne Beeinflussung durch Austauschgateprozess während der weiteren Bearbeitung sorgt. Andererseits wird das Platzhalterelektrodenmaterial in p-Kanaltransistoren auf der Grundlage besserer Prozessbedingungen bearbeitet, da im Wesentlichen der gleiche Gateschichtstapel für den n-Kanaltransistor und den p-Kanaltransistor verwendet wird, wobei auch ein zusätzliches Vorsehen eines bandlückeneinstellenden Halbleitermaterials in dem Kanalgebiet vermieden wird, wie es typischerweise in vielen Vorgehensweisen verwendet wird, in denen das Metallgate mit großem ε zuerst hergestellt wird, wie dies auch zuvor beschrieben ist. Das geeignete Austrittsarbeitsmaterial wird dann während eines selektiven Ersetzens des Platzhalterelektrodenmaterials für den p-Kanaltransistor einer sehr fortgeschrittenen Fertigungsphase aufgebracht. Auf Grund der besseren Prozessbedingungen beim Ersetzen des halbleiterbasierten Elektrodenmaterials und beim Wiederauffüllen der Gateöffnung mit dem geeigneten Elektrodenmaterial wird auch eine bessere Gleichmäßigkeit im Hinblick auf die Transistoreigenschaften erreicht, wodurch insgesamt zu einer Prozessrobustheit bei der Herstellung von komplexen Halbleiterbauelementen in Massenproduktionsverfahren beigetragen wird. Ferner ermöglicht das selektive Ersetzen des Platzhaltermaterials auch das Einrichten weiterer verformungsinduzierender Mechanismen, etwa das Vorsehen des Elektrodenmaterials oder zumindest eines wesentlichen Teils davon mit einem hohen inneren Verspannungspegel, was zu einer besseren Ladungsträgerbeweglichkeit und somit zu einem höheren Durchlassstrom des betrachteten Transistors führt.
  • In diesem Zusammenhang ist zu beachten, ist dass das Transistorleistungsverhalten effizient verbessert werden kann, indem die Ladungsträgerbeweglichkeit in den jeweiligen Kanalgebieten der Transistoren erhöht wird, was bewerkstelligt werden kann, indem eine gewisse Verformungskomponente im Kanalgebiet hervorgerufen wird. Beispielsweise führt für eine standardmäßige Kristallkonfiguration eines siliziumbasierten Kanalgebiets das Vorsehen einer kompressiven Versformungskomponente entlang der Stromflussrichtung zu einer erhöhten Beweglichkeit von Löchern, was somit eine Verbesserung des Transistorleistungsverhaltens von p-Kanaltransistoren ergibt. Andererseits ergibt das Erzeugen einer Zugverformungskomponente eine höhere Elektronenbeweglichkeit, die somit zu einer besseren Leistung von n-Kanaltransistoren führt. Daher ermöglicht das Konzept komplexer Hybrid-Metallgateelektrodenstrukturen mit großem ε ein effizientes Einrichten zusätzlich verformungsinduzierender Mechanismen, wodurch zu einem besseren Transistorleistungsverhalten in Verbindung mit einer besseren Prozessstabilität beigetragen wird.
  • Es sollte beachtet werden, dass die hierin offenbarten Prinzipien effizient auf eine Strategie angewendet werden können, in denen der Aufbau und insbesondere die Austrittsarbeit der Gateelektrodenstruktur von n-Kanaltransistoren in einer frühen Fertigungsphase festgelegt wird, beispielsweise durch Vorsehen einer speziellen Austrittsarbeitsmetallsorte, durch Erzeugen von Dipolladungen auf der Grundlage von Diffusionstechniken und dergleichen, während die Gateelektrodenstruktur von p-Kanaltransistoren später auf der Grundlage einer Austauschgatestrategie fertig gestellt wird. In anderen Fällen können jedoch die Gateelektrodenstrukturen von p-Kanaltransistoren in einer frühen Fertigungsphase bereitgestellt werden, während die endgültige Gateelektrodenstruktur von n-Kanaltransistoren in einer sehr fortgeschrittenen Fertigungsphase fertig gestellt wird. Des weiteren können die hierin offenbarten Prinzipien auf Transistoren mit unterschiedlichen Eigenschaften angewendet werden, die nicht notwendiger Weise komplementäre Transistoren repräsentieren.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, etwa einem Siliziumsubstrat, einem isolierenden Substrat und dergleichen, über welchem eine Halbleiterschicht 102, etwa ein siliziumbasiertes Halbleitermaterial, ein Silizium/Germanium-Material, und dergleichen, angeordnet ist. Es sollte beachtet werden, dass das Substrat 101 ein beliebiges geeignetes Trägermaterial repräsentiert, um darauf oder darin die Halbleiterschicht 102 herzustellen, die wiederum aus einem beliebigen geeigneten Halbleitermaterial aufgebaut ist, um darin und darüber Feldeffekttransistoren herzustellen. Wie zuvor erläutert ist, werden siliziumbasierte Halbleitermaterialien häufig für sehr komplexe Halbleiterbauelemente verwendet, die auf der Grundlage von Massenproduktionstechniken hergestellt werden, so dass Silizium ein bevorzugtes Material für die Halbleiterschicht 102 ist. Des weiteren ist anzumerken, dass das Substrat 101 in Verbindung mit der Halbleiterschicht 102 eine SOI-(Silizium- oder Halbleiter-auf-Isolator-)Konfiguration repräsentiert, wenn eine vergrabene isolierende Materialschicht (nicht gezeigt) zwischen dem Substrat 101 und der Halbleiterschicht 102 ausgebildet ist. In anderen Fällen repräsentiert die Halbleiterschicht 102 einen Teil eines kristallinen Materials des Substrats 101, was hier auch als eine „Vollsubstratkonfiguration” bezeichnet wird. Des weiteren kann die Halbleiterschicht 102 ein global verformtes Halbleitermaterial sein, wenn dies als geeignet erachtet wird, um das gesamte Leistungsverhalten des Halbleiterbauelements 100 zu verbessern. Die Halbleiterschicht 102 umfasst mehrere Halbleitergebiete oder aktive Gebiete 102a, 102b, die als Halbleitergebiete zu verstehen sind, in und über welchem ein oder mehrere Transistorelemente herzustellen sind. Die aktiven Gebiete 102a, 102b sind lateral durch geeignete Isolationsstrukturen (nicht gezeigt) abgegrenzt, etwa durch flache Grabenisolationen und dergleichen. In der gezeigten Ausführungsform repräsentiert das aktive Gebiet 102a das aktive Gebiet eines n-Kanaltransistors, während das aktive Gebiet 102b das aktive Gebiet eines p-Kanaltransistors ist. Wie zuvor erläutert ist, können in anderen Fällen andere Konfigurationen der aktiven Gebiete 102a, 102b angewendet werden, beispielsweise durch Vorsehen eines aktiven Gebiets 102a für einen p-Kanaltransistor, während das aktive Gebiet 102b einen n-Kanaltransistor repräsentiert. Des weiteren können die aktiven Gebiete 102a und 102b die aktiven Gebiete von nicht-komplementären Transistoren repräsentieren, die jedoch andere Eigenschaften für die jeweiligen Gateelektrodenstrukturen erfordern, die noch auf den aktiven Gebieten 102a, 102b zu erzeugen sind.
  • In der in 1a gezeigten Fertigungsphase ist ein Gateschichtstapel 110 auf den aktiven Gebieten 102a, 102b vorgesehen und besitzt eine geeignete Konfiguration, so dass die gewünschten Gateelektrodeneigenschaften für einen Transistor erreicht werden, der in und über dem aktiven Gebiet 102a herzustellen ist. Der Gateschichtstapel 110 umfasst eine Gatedielektrikumsschicht 111, die aus einem geeigneten Materialaufbau besteht, um damit die bessere kapazitive Kopplung bei einem akzeptablen Niveau an Leckströmen zu erreichen, wie dies auch zuvor erläutert ist. Es sollte beachtet werden, dass das Gatedielektrikumsmaterial 111 eine Dielektrizitätskonstante besitzt, die insgesamt größer ist als die Dielektrizitätskonstante konventioneller dielektrischer Materialien, etwa von Siliziumdioxid, Siliziumoxinitrid, Siliziumnitrid und dergleichen. Aus diesem Grunde wird das Gatedielektrikumsmaterial 111 auch als ein Gatedielektrikumsmaterial bezeichnet, das ein dielektrisches Material mit großem ε enthält. Zu beachten ist, dass die Schicht 111 in Form zweier oder mehrerer einzelner Schichten vorgesehen sein kann, beispielsweise in Form eines siliziumdioxidbasierten Materials mit einer Dicke von ungefähr 0,8 nm oder weniger, woran sich ein weiteres dielektrisches Material anschließt, etwa ein dielektrisches Material mit großem ε in Form von Hafniumoxid, Hafniumsiliziumoxid, Zirkonoxid, und dergleichen, mit einer Dicke von 0,8 bis mehrere Nanometer, wobei dies von den gesamten Bauteilerfordernissen abhängt. Des weiteren umfasst der Gateschichtstapel 110 ein Elektrodenmaterial 116, das eine leitende metallenthaltende Materialschicht 112 und ein halbleiterbasiertes Material 113, etwa in Form von amorphen Silizium, Polysilizium, einer Silizium/Germanium-Mischung, und dergleichen, aufweist. Die Schicht 112, die auch als eine metallenthaltende Deckschicht bezeichnet werden kann, kann eine geeignete Austrittsmetallsorte, etwa Lanthan, Aluminium, und dergleichen, abhängig von der Art des Transistors aufweisen, an dem die resultierende Austrittsarbeit des Materials 113 in Verbindung mit dem Gatedielektrikumsmaterial 111 anzupassen ist. Beispielsweise repräsentiert die Schicht 112 ein geeignetes leitendes Material, etwa Titannitrid, in welchem die erforderliche Austrittsarbeitssorte, etwa Lanthan, eingebaut ist, während in anderen Fällen die Austrittsmetallsorte als eine separate Materialschicht vorgesehen ist, an die sich ein Titannitridmaterial anschließt, das somit in geeigneter Weise empfindliche darunter liegende Materialien, etwa die Austrittsarbeitsmetallsorte und das Gatedielektrikumsmaterial 111, einschließt. Beispielsweise wird ein geeignetes Material, etwa Lanthan, mit einer Dicke von mehreren Zehntel nm in Verbindung mit einem Titannitridmaterial mit einer Dicke von 1 bis mehrere Nanometern vorgesehen. Es sollte jedoch beachtet werden, dass das Elektrodenmaterial 116 darin eingebaut eine geeignete Austrittsarbeitmetallsorte aufweisen kann, um damit die gewünschte Austrittsarbeit für den Transistor zu schaffen, der in und über dem aktiven Gebiet 102a herzustellen ist. Des weiteren umfasst der Gateschichtstapel 110 ein dielektrisches Deckmaterial, beispielsweise in Form von Schichten 114 und 115, etwa ein Siliziumdioxidmaterial in Verbindung mit einem Siliziumnitridmaterial. Zu beachten ist jedoch, dass auch ein anderes geeignetes Deckmaterial oder andere Materialien vorgesehen sein können, wobei dies von der gesamten Prozessstrategie abhängt.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Die aktiven Gebiete 102a, 102b werden auf der Grundlage gut etablierter Maskierungsschemata in Verbindung mit Implantationsprozessen eingerichtet, um die grundlegenden elektronischen Eigenschaften entsprechender Transistoren zu definieren, die in und über den aktiven Gebieten 102a, 102b herzustellen sind. Vor oder nach dem Einrichten eines geeigneten Dotierstoffprofils in den Gebieten 102a, 102b werden Isolationsstrukturen (nicht gezeigt) hergestellt, beispielsweise durch Erzeugen von Gräben und durch Wiederauffüllen der Gräben mit einem isolierenden Material gemäß einem geeigneten Fertigungsablauf. Als nächstes wird das Gatedielektrikumsmaterial 111 hergestellt, indem beispielsweise eine dielektrische Basisschicht (Siliziumdioxid, Siliziumoxinitrid und dergleichen, hergestellt wird, woran sich das Abscheiden eines dielektrischen Materials mit großem ε anschließt, etwa in Form von Hafniumoxid, und dergleichen. Zu diesem Zweck werden geeignete Abscheidetechniken, etwa CVD (chemische Dampfabscheidung), und dergleichen angewendet. Daraufhin wird die Schicht 112 abgeschieden, beispielsweise durch Sputter-Abscheidung, CVD und dergleichen, um eine geeignete Metallsorte vorzusehen, möglicherweise in Verbindung mit einem geeigneten metallenthaltenden Trägermaterial, etwa Titannitrid. Daraufhin wird die halbleiterbasierte Schicht 113 des Elektrodenmaterials 116 hergestellt, beispielsweise durch gut etablierte CVD-Techniken bei geringem Druck, woran sich das Abscheiden des einen oder der mehreren Materialien 114 und 115 anschließt. Es sollte beachtet werden, dass bei Bedarf Diffusionsprozesse in Gang gesetzt werden können, um beispielsweise die Austrittsarbeitsmetallsorte in der Schicht 112 in Richtung und in das dielektrische Material 111 zu diffundieren, wodurch fixierte Dipolladungen geschaffen werden, wenn eine weitere Modifizierung der resultierenden Austrittsarbeit erforderlich ist. Eine entsprechende Wärmbehandlung kann vor dem Abscheiden der Schicht 113 oder nach dem Fertigstellen des Gateschichtstapels 110 abhängig von der gesamten Prozessstrategie ausgeführt werden. In anderen Fällen besitzt die Schicht 112, in der eine geeignete Austrittsarbeitsmetallsorte eingebaut sein kann, an sich eine geeignete Austrittsarbeit, ohne dass eine zusätzliche Wärmebehandlung erforderlich ist, um die festgelegten Dipolladungen in dem Material 111 anzuordnen.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine erste Gateelektrodenstruktur 110a auf dem aktiven Gebiet 102a und eine zweite Gateelektrodenstruktur 110b auf dem aktiven Gebiet 102b ausgebildet, wobei die Strukturen 110a, 110b im Wesentlichen den gleichen Aufbau besitzen. D. h., die Schichten 111, ..., 115 sind in den Gateelektrodenstrukturen 110a, 110b vorgesehen, wobei die Schicht 112, möglicherweise in Verbindung mit einer Metallsorte, die in die Schicht 111 eindiffundiert ist, eine Austrittsarbeit besitzt, die für einen Transistor geeignet ist, der noch auf der Grundlage der Gateelektrodenstruktur 110a herzustellen ist. Die Gateelektrodenstrukturen 110a, 110b können auf der Grundlage des Gateschichtstapels 110 hergestellt werden, wie er in 1a gezeigt ist, indem geeignete Lithographie- und Ätzstrategien angewendet werden, beispielsweise Abscheiden von Hartmaskenmaterialien, etwa amorphen Kohlenstoff, Siliziumoxinitrid und dergleichen, und Strukturieren dieser Hartmaskenmaterialien unter Anwendung komplexer Lithographietechniken. Daraufhin wird der Gateschichtstapel 110 aus 1a auf der Grundlage anisotroper Ätzrezepte strukturiert, wobei auf Grund des gleichen Aufbaus des Schichtstapels 110 die Gateelektrodenstrukturen 110a, 110b mit einem hohen Grad an Gleichmäßigkeit im Hinblick auf die kritischen Abmessungen oder im Hinblick auf strukturierungsabhängige Ungleichmäßigkeiten hergestellt werden. Folglich kann die Bearbeitung auf der Grundlage besserer Prozessbedingungen fortgesetzt werden, wenn die grundlegende Struktur der Transistorelemente auf der Grundlage der Gateelektrodenstruktur 110a, 110b geschaffen wird.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Ein erster Transistor 150a ist in und über dem aktiven Gebiet 102a hergestellt und weist die Gateelektrodenstruktur 110a auf. In der gezeigten Ausführungsform ist der Transistor 150a ein n-Kanaltransistor, wie dies zuvor erläutert ist. Des weiteren ist ein zweiter Transistor 150b in und über dem aktiven Gebiet 102b hergestellt und repräsentiert einen p-Kanaltransistor, während in anderen Fällen, wie dies auch zuvor erläutert ist, die Transistoren 150a, 150b beliebige Transistoren sind, die unterschiedliche Austrittsarbeitswerte für die Gateelektrodenstrukturen 110a, 110b erfordern. Die Gateelektrodenstrukturen 110a, 110b weisen zusätzlich eine Beschichtung 117 auf, etwa ein Siliziumnitridmaterial, das die empfindlichen Materialien 111 und 112 einschließt, so dass eine unerwünschte Modifizierung der Eigenschaften zumindest der Gateelektrodenstruktur 110a vermieden wird, die beim Vorsehen des Gateschichtstapels 110 zuvor eingestellt wurden, wie dies auch in 1a gezeigt ist. Des weiteren besitzen die Transistoren 150a, 150b eine Seitenwandabstandshalterstruktur 151, die an Seitenwänden der Gateelektrodenstrukturen 110a, 110b, d. h. auf der Beschichtung 117, ausgebildet ist. Die Abstandshalterstruktur 151 besitzt einen beliebigen geeigneten Aufbau, beispielsweise eine Ätzstoppbeschichtung 151a in Verbindung mit einem Abstandshalterelement 151b, während jedoch auch andere geeignete Konfigurationen verwendet werden können. Die Transistoren 150a, 150b besitzen Drain- und Sourcegebiete 152 mit einem geeigneten Dotierstoffprofil, so dass der gewünschte Einbau von pn-Übergängen in den aktiven Gebieten 102a bzw. 102b erreicht wird. Zu beachten ist, dass die Drain- und Sourcegebiete 152 von inverser Leitfähigkeitsart für die Transistoren 150a, 150b sind, wenn diese komplementäre Transistoren repräsentieren. Die Drain- und Sourcegebiete 152 umschließen lateral ein Kanalgebiet 155, das wiederum vertikal an das Gatedielektrikumsmaterial 111 anschließt. Ferner sind Metallsilizidgebiete 153 in einem Teil der Drain- und Sourcegebiete 152 vorgesehen, wodurch der gesamte Reihenwiderstand in den Transistoren 150a, 150b verringert wird, und wodurch auch der Kontaktwiderstand bei der Herstellung von Kontaktelementen in einer späteren Fertigungsphase verringert wird. Ferner ist in einigen anschaulichen Ausführungsformen, wie dies beispielsweise in 1c für den Transistor 150b gezeigt ist, ein verformungsinduzierender Mechanismus auf der Grundlage einer verformungsinduzierenden Halbleiterlegierung 154 eingebaut, das zumindest in einem Teil der Drain- und Sourcegebiete 152 vorgesehen ist. Wie beispielsweise zuvor erläutert ist, kann eine kompressive Verformungskomponente die Ladungsträgerbeweglichkeit in dem Kanalgebiet 155 erhöhen, wenn dieses zu einem p-Kanaltransistor gehört. In diesem Falle ist das Material 154 etwa in Form einer Silizium/Germanium-Legierung, einer Silizium/Germanium/Zinn-Legierung und dergleichen vorgesehen, die in dem siliziumbasierten aktiven Gebiet 102b auf der Grundlage epitaktischer Aufwachstechniken hergestellt wird, so dass das Material 154 in einem verformten Zustand aufgewachsen wird, was wiederum eine entsprechende kompressive Verformungskomponente in dem benachbarten Kanalgebiet 155 hervorruft. In anderen Fällen wird das Material 154 als eine andere verformte Halbleiterlegierung bereitgestellt, etwa als ein Silizium/Kohlenstoffmaterial, das eine Zugverformungskomponente hervorruft, wenn dies für den Transistor 150b als geeignet erachtet wird.
  • In anderen anschaulichen Ausführungsformen wird zusätzlich oder alternativ zu dem durch das Material 154 verwirklichten verformungsinduzierenden Mechanismus in einem oder beiden Transistoren 150a, 150b ein weiterer verformungsinduzierender Mechanismus eingerichtet, beispielsweise durch einen stark verformten Zustand des anfänglichen Materials der aktiven Gebiete 102a, 102b. Wie zuvor erläutert ist, kann eine effiziente Verformungskomponente, etwa eine Zugverformungskomponente, in einem siliziumbasierten Material erreicht werden, indem das anfänglich kristalline Material amorphisiert oder zumindest deutlich geschädigt wird und indem das Material in Anwesenheit eines steifen Materials, etwa in Form von Siliziumnitrid, rekristallisiert wird, was somit zu einer Rekristallisierung in einem stark verformten Zustand resultiert, der zu einem wesentlichen Grade auch beibehalten wird, nachdem die entsprechende steife Materialschicht entfernt ist oder teilweise entfernt ist. Folglich repräsentiert in einigen anschaulichen Ausführungsformen ein Teil des aktiven Gebiets 102a, beispielsweise ein Teil der Drain- und Sourcegebiete 152, ein stark zugverformtes Material, das wiederum eine Zugverformungskomponente in dem Kanalgebiet 155 hervorruft, wodurch dessen Leistungsverhalten deutlich verbessert wird, wenn dieses einem n-Kanaltransistor angehört.
  • Ferner umfasst das Bauelement 100 ein dielektrisches Material 120, das zumindest lateral benachbart zu den Gateelektrodenstrukturen 110a, 110b ausgebildet ist, d. h. angrenzend zu den Abstandshalterstrukturen 151. Das Material 120 kann auch als ein dielektrisches Zwischenschichtmaterial bezeichnet werden, da weitere Bauteilschichten, etwa in Form eines Metallisierungssystems über dem dielektrischen Material 120 hergestellt werden. In einigen anschaulichen Ausführungsformen umfasst das Material 120 ein erstes dielektrisches Material 121a, 121b, etwa ein Siliziumnitridmaterial, und dergleichen, in Verbindung mit einem weiteren dielektrischen Material 122, etwa einem Siliziumdioxidmaterial. In einigen anschaulichen Ausführungsformen wird das Material 120 oder zuminderst Teile davon verwendet, um einen weiteren verformungsinduzierenden Mechanismus zumindest für einen der Transistoren 150a, 150b einzurichten. In der gezeigten Ausführungsform besitzt das dielektrische Material 121a einen hohen inneren Verspannungspegel, etwa eine Zugverspannung, wenn ein n-Kanaltransistor betrachtet wird, wodurch eine gewünschte Zugverformungskomponente in dem Kanalgebiet 155 des Transistors 150a hervorgerufen wird. Andererseits wird das Material 121b in Form eines im Wesentlichen relaxierten dielektrischen Materials vorgesehen, während in anderen Fällen ebenfalls eine hohe innere Verspannung in dem Material 121b vorgesehen wird, wenn dies mit der gesamten Prozessstrategie verträglich ist.
  • Das in 1c gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden.
  • Nach dem Strukturieren der Gateelektrodenstrukturen 110a, 110b, wie sie in 1b gezeigt sind, wird die schützende Beschichtung 117 durch gut etablierte Abscheidetechniken hergestellt, woran sich das Herstellen von Opferabstandshalterelementen für die Gateelektrodenstruktur 110b anschließt, wenn der Einbau des Materials 154 erforderlich ist. Zu diesem Zweck wird ein Abstandshaltermaterial aufgebracht und selektiv in die Opferabstandshalterelemente des aktiven Gebiets 102b strukturiert, das dann als eine Ätz- und Aufwachsmaske dient in Verbindung mit dem Deckmaterial 115, wenn ein Teil des Materials des aktiven Gebiets 102b entfernt wird und die jeweiligen Aussparungen mit dem Material 154 auf der Grundlage gut etablierter selektiver epitaktischer Aufwachstechniken wieder aufgefüllt werden. Daraufhin werden die Opferabstandshalterelemente und die Abstandshalterschicht, die über der Gateelektrodenstruktur 110a gebildet ist, entfernt, während in anderen Strategien die zuvor hergestellten Abstandshalterelemente permanente Abstandshalterelemente repräsentieren und ebenfalls entsprechende Abstandshalterelemente an der Gateelektrodenstruktur 110a hergestellt werden. In weiteren Fällen, wenn der Einbau des Materials 154 nicht erforderlich ist, wenn etwa Transistorelemente mit geringerer Leistungsaufnahme hergestellt werden, und dergleichen, werden die Dotierstoffprofile der Drain- und Sourcegebiete 152 auf der Grundlage der schützenden Beschichtung 117 hergestellt, beispielsweise in Verbindung mit zusätzlichen Abstandshalterelementen (nicht gezeigt) unter Anwendung geeigneter Maskierungsschemata und Implantationsprozessen. Ferner wird die Seitenwandabstandshalterstruktur 151 gemäß einer geeigneten Prozesstechnik hergestellt und die Abstandshalterstruktur 151 wird als eine Implantationsmaske für weitere Implantationsprozesse verwendet, die zum Erreichen des gewünschten Dotierstoffprofils der Drain- und Sourcegebiete 152 erforderlich sind.
  • Wie zuvor erläutert ist, wird in einigen anschaulichen Ausführungsformen das verformte Material 154 in einem oder beiden Transistoren 150a, 150b hergestellt, was bewerkstelligt werden kann, indem die Drain- und Sourcebereiche 152 im Wesentlichen amorphisiert werden und indem eine geeignete Materialschicht, etwa ein Siliziumnitridmaterial, über den aktiven Gebieten 102a, 102b gebildet wird. Beim Rekristallisieren der amorphisierten Bereiche in Anwesenheit des entsprechenden Materials wird ein stark verspannter Zustand erreicht, der auch beim Entfernen der Materialschicht beibehalten wird. Ohne die vorliegende Erfindung auf die folgende Erläuterung einschränken zu wollen, so wird dennoch angenommen, dass die Konservierung der Verformung während einer entsprechenden Verformungsgedächtnistechnik teilweise durch das Elektrodenmaterial 116 hervorgerufen wird, so dass eine verbesserte Verformungseffizienz erreicht wird, indem eine weitere Modifizierung des Materials 116 während der weiteren Bearbeitung vermieden wird, wenn beispielsweise das Material 116 ersetzt wird, wie dies für die Gateelektrodenstruktur 110b der Fall ist, wie dies nachfolgend detaillierter beschrieben ist.
  • Nach jeglichen Ausheizprozessen zum Aktivieren der Dotiermittel wird ein Metallsilizidprozess ausgeführt, um die Gebiete 153 zu schaffen, was auf der Grundlage einer beliebigen geeigneten Prozessstrategie bewerkstelligt werden kann. Als nächstes wird das dielektrische Material 120 aufgebracht, beispielsweise durch plasmaunterstützte CVD-Techniken, durch thermisch aktivierte CVD-Techniken und dergleichen. Beispielsweise werden die Materialien 121a, 121b hergestellt, indem eine stark verspannte dielektrische Materialschicht aufgebracht und nachfolgend die hohe innere Verspannung selektiv über dem Transistor 150b verringert wird, was bewerkstelligt werden kann, indem ein Ionenimplantationsprozess unter Anwendung einer schweren Implantationssorte, etwa von Xenon, Germanium, und dergleichen ausgeführt wird. Es sollte jedoch beachtet werden, dass das grundlegende Material der Beschichtung 121a, 121b eine geeignete innere Verspannungskomponente aufweisen kann, so dass das Leistungsverhalten des Transistors 150b verbessert wird und dass der innere Verspannungspegel selektiv über dem Transistor 150a verringert oder entspannt werden kann.
  • Schließlich wird das Material 122, falls dieses erforderlich ist, aufgebracht, während in anderen Fällen die Materialien 121a, 121b eine ausreichende Dicke besitzen, so dass ein nachfolgender Einebnungsprozess möglich ist, um damit das weitere Elektrodenmaterial 116 freizulegen. Zu diesem Zweck wird eine geeignete Einebnungstechnik angewendet, etwa CMP (chemisch-mechanisches Polieren), wodurch überschüssiges Material der Schicht 120 abgetragen wird und schließlich die Deckmaterialien 115 der Gateelektrodenstrukturen 110, 110b entfernt werden.
  • 1d zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen des dielektrischen Deckmaterials 115 (siehe 1c). Ferner ist eine Ätzmaske 130 über dem Transistor 150a ausgebildet, wodurch eine Oberfläche 113s des halbleiterbasierten Bereichs 113 des Elektrodenmaterials 116 zuverlässig abgedeckt wird. Andererseits liegt die Oberfläche 113s in dem Transistor 150b frei. Die Maske 130 wird in Form eines geeigneten Materials, etwa in Form von Siliziumdioxid, und dergleichen, vorgesehen. Zu diesem Zweck wird das Maskenmaterial aufgebracht und nachfolgend auf der Grundlage einer geeigneten Lithographietechnik strukturiert.
  • Folglich wird das Elektrodenmaterial 116 der Gateelektrodenstruktur 110b, d. h. die Materialien 113 und das Material 112, die die austrittsarbeitseinstellende Sorte, die für den Transistor 150a geeignet ist, aufweist, mittels eines selektiven Ätzprozesses entfernt, beispielsweise auf der Grundlage eines effizienten nasschemischen Ätzrezepts unter Anwendung von TMAH (Tetramethylammoniumhydroxid) und dergleichen. Während eines entsprechenden Ätzprozesses wird somit das Material 113 effizient entfernt, während die Schicht 112 als ein Ätzstoppmaterial dient. Daraufhin wird eine weitere geeignete Ätzchemie angewendet, etwa Chemien auf der Grundlage von Schwefelsäure und Wasserstoffperoxid, wodurch die Schicht 112 abgetragen wird. Folglich wird eine Gateöffnung 110o gebildet, die lateral durch die Schicht begrenzt ist, während die Unterseite der Gateöffnung 110o durch das Gatedielektrikumsmaterial 111 repräsentiert ist.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der die Gateöffnung 110o mit einem Elektrodenmaterial 116b gefüllt ist, in welchem eine geeignete Austrittsarbeitsmetallsorte eingebaut ist, um die Austrittsarbeit der Gateelektrodenstrukturen 110b einzustellen. Beispielsweise wird das Elektrodenmaterial 116b in Form von Aluminium vorgesehen, das auf dem dielektrischen Material 111 gebildet wird und das eine geeignete Austrittsarbeit für einen p-Kanaltransistor besitzt. In einigen anschaulichen Ausführungsformen, wie dies in 1e gezeigt ist, wird das Elektrodenmaterial 116b in Form von zwei oder mehr Materialschichten bereitgestellt, etwa als eine Materialschicht 118, die eine geeignete Austrittsarbeitsmetallsorte repräsentiert, etwa Aluminium, während ein weiterer Teil des Elektrodenmaterials 116b in Form einer Schicht 119 vorgesehen wird, die ein leitendes Material mit einem hohen inneren Verspannungspegel repräsentiert, der zum Verbessern des Leistungsverhaltens des Transistors 150b geeignet ist. Beispielsweise kann eine Vielzahl an metallenthaltenden Materialien, etwa Wolfram, Tantalnitrid, Titannitrid, und dergleichen, mit einer hohen inneren kompressiven Verspannung bereitgestellt werden, die bei etwa 3 GPa und höher liegt, wodurch eine hohe gewünschte komplementäre Verformungskomponente im Kanalgebiet 155 erreicht wird, die sich somit zu der durch das Material 154 hervorgerufenen Verformung hinzuaddiert, falls dieses Material vorgesehen ist. Die Materialien 118, 119 können auf der Grundlage einer beliebigen geeigneten Abscheidetechnik aufgebracht werden, etwa durch physikalische Dampfabscheidung, elektrochemische Abscheidung, CVD, und dergleichen.
  • Es sollte beachtet werden, dass in der in 1e gezeigten Ausführungsform die Ätzmaske 130 (siehe 1d) vor dem Abscheiden des Elektrodenmaterials 116b entfernt wurde. In anderen Fällen ist die Ätzmaske weiterhin über dem Transistor 150a vorhanden und liefert somit eine bessere Integrität der Gateelektrodenstruktur 110a. In diesem Falle kann die Ätzmaske dann beim Entfernen von überschüssigem Material des Elektrodenmaterials 116b abgetragen werden.
  • 1f zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen von überschüssigem Material. Somit umfasst die Gateelektrodenstruktur 110a das Elektrodenmaterial 116 mit dem Halbleiteranteil 113 und mit dem Metallmaterial 112, das die austrittsarbeitseinstellende Sorte für den Transistor 150a aufweist, während die Gateelektrodenstruktur 110b die Austrittsarbeitssorte 118 aufweist, möglicherweise in Verbindung mit dem Material 119, wobei die gewünschte Leitfähigkeit bereitgestellt wird und ggf. eine weitere verformungsinduzierende Wirkung erreicht wird.
  • 1g zeigt schematisch das Halbleiterbauelement 100 in einer Fertigungsphase, in der das dielektrische Zwischenschichtmaterial 120 eine weitere Komponente 123 aufweist, in der Kontaktelemente 124, 125 so gebildet sind, dass diese eine Verbindung zu den Gateelektrodenstrukturen 110a, 110b und zu den Drain- und Sourcegebieten 152 herstellen. Dazu wird das Material 123 beispielsweise in Form eines Siliziumdioxidmaterials aufgebracht, woran sich eine geeignete Strukturierungssequenz anschließt, um durch die Materialien 123, 122 zu ätzen, falls diese vorgesehen sind, und durch die Materialien 121a, 121b und das Wiederauffüllen der resultierenden Kontaktöffnungen mittels eines geeigneten leitenden Materials, etwa Wolfram, und dergleichen anschließt.
  • 2a zeigt schematisch das Halbleiterbauelement 100 in einer Fertigungsphase, in der die Oberfläche 113s des halbleiterbasierten Materials 113 in den Gateelektrodenstrukturen 110a, 110b freigelegt ist. In der gezeigten Ausführungsform enthält das Material 113 der Gateelektrodenstruktur 110a einen modifizierten Bereich 113a, der zu einer geringeren Abtragungsrate beim Ausführen eines Ätzprozesses führt, um im Wesentlichen vollständig das Material 113 aus der Gateelektrodenstruktur 110b zu entfernen. Beispielsweise ist in den modifizierten Bereich eine Implantationssorte eingebaut, etwa Xenon, und dergleichen, die zu einer deutlich geringeren Ätzrate führt, wenn gut etablierte Ätzprozesse, beispielsweise auf der Grundlage von TMAH, und dergleichen, ausgeführt werden. In anderen anschaulichen Ausführungsformen wird eine entsprechende Implantationssorte an einer geeigneten Höhe innerhalb des Materials 113 angeordnet, so dass dieses als ein effizientes Ätzstoppmaterial dient. Wenn ein Ätzprozess zum Entfernen des Materials 113 aus der Gateelektrodenstruktur 110b ausgeführt wird, wird daher zumindest ein deutlicher Anteil des Materials 113 in der Gateelektrodenstruktur 110a bewahrt. Beim Entfernen des metallenthaltenden Deckmaterials 112 aus der Gateelektrodenstruktur 110b kann in ähnlicher Weise ein gewisser Grad an Materialerosion in der Gateelektrodenstruktur 110a auftreten, wobei dennoch eine gewisse Menge an Material 113 beibehalten wird. Folglich können die gesamten elektronischen Eigenschaften, etwa die Austrittsarbeit und somit die Schwellwertspannung in dem Transistor 150a bewahrt werden, während andererseits ein Metall in die Gateelektrodenstruktur 110a während der weiteren Bearbeitung eingebaut wird, wodurch die Gesamtleitfähigkeit verbessert wird und insbesondere der Kontaktwiderstand verringert wird.
  • 2b zeigt schematisch das Bauelement 100 nach dem Abscheiden des Elektrodenmaterials 116b, das somit das Material 113 der Gateelektrodenstruktur 110b ersetzt. Wie beispielsweise zuvor erläutert ist, wird das Material 116b in Form zweier oder mehrerer unterschiedlicher Materialschichten vorgesehen, etwa als die Schichten 118, 119. Es sollte ferner beachtet werden, dass die Anwesenheit der Materialschicht 118, die ein Material mit einer geeigneten Austrittsarbeit für die Gateelektrodenstruktur 110b repräsentiert, die Gateelektrodenstruktur 110a im Hinblick auf die Schwellwertspannung und somit Austrittsarbeit nicht negativ beeinflusst, da diese Eigenschaften durch das Material 111 bestimmt sind, während der verbleibende Teil des Materials 113 als ein effizientes Puffermaterial dient. Andererseits besitzen die Materialien 118 und 119 eine deutlich höhere Leitfähigkeit im Vergleich zu dem anfänglichen Halbleitermaterial 113. Zu beachten ist ferner, dass das Material 119 und/oder das Material 118 mit einer gewünschten inneren Verspannung vorgesehen werden können, wie dies auch zuvor erläutert ist, was jedoch einen deutlich geringeren Einfluss auf den Transistor 150a auf Grund der Anwesenheit des „Puffermaterials” 113 ausübt. In anderen Fällen wird das Material 116b als ein im Wesentlichen spannungsneutrales Material vorgesehen.
  • Folglich erhält die Gateelektrodenstruktur 110 ein sehr gut leitendes metallenthaltendes Material in Form des Materials 116b, wodurch das Leistungsverhalten der Gateelektrodenstruktur 110a verbessert wird, ohne dass die Austrittsarbeit und die Schwellwertspannung beeinflusst werden. In anderen anschaulichen Ausführungsformen (nicht gezeigt) wird ein entsprechender gut leitender metallenthaltender Bereich in dem Material 113 auf der Grundlage eines Silizidierungsprozesses hergestellt, beispielsweise beginnend mit der in 2a gezeigten Konfiguration, wobei die Gateelektrodenstruktur 110b maskiert wird. Daraufhin wird ein geeignetes Metall aufgebracht und es wird ein Silizidierungsprozess in Gang gesetzt. In anderen anschaulichen Ausführungsformen (nicht gezeigt) wird das dielektrische Deckmaterial 115 (siehe 1c) selektiv von der Gateelektrodenstruktur 110a vor dem Bilden der Metallsilizidgebiete 153 entfernt, wodurch ebenfalls eine Silizidierung eines Teils der Gateelektrodenmaterials 113 in der Struktur 110a bewirkt wird, während die Gateelektrodenstruktur 110b zuverlässig durch das dielektrische Deckmaterial der 1c abgedeckt ist.
  • 3 zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen Prozessbedingungen beim Ersetzen des halbleiterbasierten Elektrodenmaterials durch ein gut leitendes Elektrodenmaterial, das ein geeignetes Austrittsarbeitmetall für die Gateelektrodenstruktur 110b aufweist, verbessert werden.
  • Wie gezeigt, ist die Gateöffnung 110o in der Gateelektrodenstruktur 110b ausgebildet, was bewerkstelligt werden kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie, wie dies auch zuvor erläutert ist. Das dielektrische Zwischenschichtmaterial 120 kann mit einem hohen inneren Zugverspannungspegel vorgesehen werden, wie es auch in dem Transistor 150b vorhanden ist. Beim Herstellen der Öffnung 110o führt somit die Zugverformungskomponente des dielektrischen Materials 120 zu einer sich verjüngenden Querschnittsform der Öffnung 110o, wodurch eine Breite 110w der Öffnung 110o an der Oberseite vergrößert wird, während eine Unterseite der Öffnung 110o im Wesentlichen die anfängliche Gatelänge besitzt. Beim Abscheiden des Elektrodenmaterials für die Gateelektrodenstruktur 110b werden somit bessere Prozessbedingungen während des Abscheidens erreicht, da beispielsweise jegliche Überhänge, die sich an der Oberseite der Öffnung 110o ausbilden können, einen deutlich geringeren Einfluss auf das weitere Füllverhalten ausüben. Folglich wird die Öffnung 110o gefüllt, ohne das durch Abscheidung hervorgerufene Ungleichmäßigkeiten auftreten, etwa Säume und Hohlräume, wobei dies durch die größere Bereiche 110w erreicht wird. Nach dem Füllen der Öffnung 110o geht die Bearbeitung weiter, wie dies zuvor beschrieben ist, d. h. überschüssiges Material wird beispielsweise durch CMP, und dergleichen, entfernt. Zu beachten ist, dass, wie zuvor erläutert ist, das entsprechende Elektrodenmaterial oder zumindest ein Teil davon mit einem gewünschten höheren Verspannungspegel aufgebracht werden kann, etwa mit einer kompressiven Verspannung, wenn dies für den Transistor 150b geeignet ist.
  • 4 zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Implantationsmaske 131 die Gateelektrodenstruktur 110a und das zugverspannte Material 121a, das benachbart zu der Gateelektrodenstruktur 110a ausgebildet ist, abdeckt. Andererseits liegen die Gateelektrodenstruktur 110b und das benachbarte dielektrische Material frei für einen Ionenbeschuss 132, der auf der Grundlage einer geeigneten Implantationssorte und Prozessparameter ausgeführt wird, um eine ausgeprägte Verringerung der inneren Verspannung zu erreichen, wodurch das dielektrische Material 121b geschaffen wird. Folglich kann ein unerwünschter Einfluss der Zugverspannungskomponente auf den Transistor 150b vermieden oder zumindest deutlich verringert werden. Ferner liefert bei Bedarf die Elektrodenstruktur 110b eine gewisse kompressive Verformungskomponente, etwa auf der Grundlage des Materials 119, wie dies auch zuvor erläutet ist.
  • Es gilt also: Die vorliegende Erfindung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen eine Hybrid-Prozessstrategie für Gateelektrodenstrukturen mit dielektrischen Materialien mit großem ε und einer Austrittsarbeitsmetallsorte für eine Art an Transistoren in einer frühen Fertigungsphase sorgt, während das halbleiterbasierte Elektrodenmaterial in Verbindung mit der Austrittsarbeitssorte in der anderen Art an Gateelektrodenstrukturen in einer sehr späten Fertigungsphase ersetzt wird. Folglich ist der gesamte Prozessablauf robuster im Hinblick auf Prozessschwankungen im Vergleich zu komplexen Verfahren, in denen die Gates sehr früh hergestellt werden, und im Vergleich zu Austauschgateverfahren, wie dies zuvor beschrieben ist. In einigen anschaulichen Ausführungsformen wird das Vorsehen einer Silizium/Germaniummischung als ein Teil des Kanalgebiets des p-Kanaltransistors vermieden, wodurch das Bauteilleistungsverhalten und die Stabilität verbessert und wodurch weniger prozessabhängige Schwankungen eingeführt werden. Des weiteren können verformungsinduzierende Mechanismen, beispielsweise in Form eines verformten Elektrodenmaterials, eingerichtet werden, wodurch das gesamte Produktleistungsverhalten noch weiter gesteigert wird. Die gemäß den hierin offenbarten Prinzipien hergestellten Feldeffekttransistoren können für beliebige komplexe Halbleiterbauelemente, etwa leistungsstarke Schaltungen, Produkte mit geringer Leistungsaufnahme, in Verbindung mit SOI-Architektur, Vollsubstratkonfiguration und global verformten Substraten verwendet werden.

Claims (19)

  1. Verfahren zur Herstellung eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden eines Gateschichtstapels mit dem gleichen Schichtaufbau über einem ersten aktiven Gebiet eines ersten Transistors und über einem zweiten aktiven Gebiet eines zweiten Transistors, wobei der Gateschichtstapel ein Gatedielektrikumsmaterial und ein erstes nicht-Halbleiter-Elektrodenmaterial, das eine erste austrittsarbeitseinstellende Sorte zum Einstellen einer Austrittsarbeit für den ersten Transistor aufweist, aufweist; Bilden einer ersten Gateelektrodenstruktur auf dem ersten aktiven Gebiet und einer zweiten Gateelektrodenstruktur auf dem zweiten aktiven Gebiet aus dem Gateschichtstapel; und Ersetzen des ersten Elektrodenmaterials in der zweiten Gateelektrodenstruktur durch ein zweites Elektrodenmaterial mit einer zweiten Austrittsarbeit, die für den zweiten Transistor geeignet ist.
  2. Verfahren nach Anspruch 1, wobei Ersetzen des ersten Elektrodenmaterials in der zweiten Gateelektrodenstruktur umfasst: Bilden des zweiten Elektrodenmaterials, so dass eine Verformung in einem Kanalgebiet des zweiten Transistors hervorgerufen wird.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Einrichten eines verformungsinduzierenden Mechanismus in dem ersten und/oder dem zweiten Transistor vor dem Ersetzen des ersten Elektrodenmaterials in der zweiten Gateelektrodenstruktur durch das zweite Elektrodenmaterial.
  4. Verfahren nach Anspruch 3, wobei Einrichten eines verformungsinduzierenden Mechanismus umfasst: Bilden eines verformten Halbleitermaterials in Drain- und Sourcegebieten des ersten und/oder des zweiten Transistors.
  5. Verfahren nach Anspruch 3, wobei Einrichten eines verformungsinduzierenden Mechanismus umfasst: Bilden eines verspannten dielektrischen Materials lateral benachbart zu der ersten und/oder der zweiten Gateelektrodenstruktur.
  6. Verfahren nach Anspruch 1, wobei Entfernen des ersten Elektrodenmaterials aus der zweiten Gateelektrodenstruktur umfasst: Freilegen einer Oberfläche des ersten Elektrodenmaterials in der ersten und der zweiten Gateelektrodenstruktur und Bilden einer Maske, so dass die erste Gateelektrodenstruktur abgedeckt ist.
  7. Verfahren nach Anspruch 1, wobei das Bilden des Gateschichtstapels das Bilden eines Halbleitermaterials auf dem ersten Gateelektrodenmaterial umfasst.
  8. Verfahren nach Anspruch 7, wobei Entfernen des ersten Elektrodenmaterials aus der zweiten Gateelektrodenstruktur umfasst: selektives Modifizieren eines Ätzverhaltens zumindest eines Teils des Halbleitermaterials in der ersten oder der zweiten Gateelektrodenstruktur.
  9. Verfahren nach Anspruch 1, das ferner umfasst: Bilden mindestens eines Teils eines dielektrischen Zwischenschichtmaterials lateral benachbart zu der ersten und der zweiten Gateelektrodenstruktur, wobei der mindestens eine Teil des dielektrischen Zwischenschichtmaterials einen inneren Verspannungspegel derart besitzt, dass eine Verformung in den Kanalgebieten des ersten und/oder des zweiten Transistors hervorgerufen wird.
  10. Verfahren nach Anspruch 9, das ferner umfasst: selektives Reduzieren des inneren Verspannungspegels in dem ersten oder dem zweiten Transistor vor dem Entfernen des ersten Elektrodenmaterials aus der zweiten Gateelektrodenstruktur.
  11. Verfahren nach Anspruch 9, wobei der innere Verspannungspegel eine Zugverspannung ist und wobei das Verfahren ferner umfasst: selektives Reduzieren des inneren Verspannungspegels in dem zweiten Transistor nach dem Füllen der Gateöffnung mit dem zweiten Elektrodenmaterial.
  12. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines metallenthaltenden Materials in der ersten Gateelektrode nach dem Bilden der Drain- und Sourcegebiete.
  13. Verfahren nach Anspruch 12, wobei Bilden eines metallenthaltenden Materials in der ersten Gateelektrodenstruktur umfasst: Bilden eines Metallsilizids.
  14. Verfahren nach Anspruch 7, das ferner umfasst: Bilden eines metallenthaltenden Materials nach dem Bilden der Drain- und Sourcegebiete, durch Ersetzen eines Teils des Halbleitermaterials durch das zweite Elektrodenmaterial.
  15. Verfahren nach Anspruch 1, wobei der erste Transistor ein n-Kanaltransistor ist und wobei der zweite Transistor ein p-Kanaltransistor ist.
  16. Verfahren nach Anspruch 1, wobei Bilden der ersten und der zweiten Gateelektrodenstruktur umfasst: Bilden eines Gatedielektrikumsmaterials, das ein dielektrisches Material mit großem ε aufweist, über einem ersten aktiven Gebiet des ersten Transistors und über einem zweiten aktiven Gebiet des zweiten Transistors.
  17. Halbleiterbauelement mit: einem ersten Transistor mit einer ersten Gateelektrodenstruktur, die eine erste Gateisolationsschicht aus einem dielektrischen Material mit großem ε, ein erstes Elektrodenmetall einer ersten austrittsarbeitseinstellenden Sorte, das auf der ersten Gateisolationsschicht gebildet ist, und ein Halbleitermaterial aufweist; und einem zweiten Transistor mit einer zweiten Gateelektrodenstruktur, die eine zweite Gateisolationsschicht aus dem dielektrischen Material mit großem ε und ein zweites in einem Gate-Austauschprozess eingebrachtes, zwei oder mehr Materialschichten aufweisendes nicht-Halbleiterelektrodenmaterial auf der zweiten Gateisolationsschicht aufweist, das eine zweite austrittsarbeitseinstellende Sorte enthält.
  18. Halbleiterbauelement nach Anspruch 17, wobei das zweite nicht-Halbleiterelektrodenmaterial mit einer Verspannung so vorgesehen ist, dass eine kompressive Verformung in einem Kanalgebiet des zweiten Transistors hervorgerufen wird.
  19. Halbleiterbauelement nach Anspruch 18, wobei der erste Transistor ein n-Kanaltransistor und der zweite Transistor ein p-Kanaltransistor ist.
DE102009055392.4A 2009-12-30 2009-12-30 Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements Active DE102009055392B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009055392.4A DE102009055392B4 (de) 2009-12-30 2009-12-30 Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US12/909,291 US8669151B2 (en) 2009-12-30 2010-10-21 High-K metal gate electrode structures formed at different process stages of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009055392.4A DE102009055392B4 (de) 2009-12-30 2009-12-30 Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements

Publications (2)

Publication Number Publication Date
DE102009055392A1 DE102009055392A1 (de) 2011-07-07
DE102009055392B4 true DE102009055392B4 (de) 2014-05-22

Family

ID=44186393

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009055392.4A Active DE102009055392B4 (de) 2009-12-30 2009-12-30 Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements

Country Status (2)

Country Link
US (1) US8669151B2 (de)
DE (1) DE102009055392B4 (de)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US8765561B2 (en) * 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
CN103077969B (zh) * 2011-10-26 2016-03-30 中国科学院微电子研究所 一种mos器件及其制造方法
CN103107075B (zh) * 2011-11-11 2015-12-09 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN103107073B (zh) * 2011-11-11 2016-08-10 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
US8669618B2 (en) 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US20130234252A1 (en) * 2012-03-06 2013-09-12 United Microelectronics Corporation Integrated circuit and method for fabricating the same
US8586436B2 (en) * 2012-03-20 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8753931B2 (en) 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
CN103855014B (zh) * 2012-11-30 2017-10-20 中国科学院微电子研究所 P型mosfet及其制造方法
US9013003B2 (en) 2012-12-27 2015-04-21 United Microelectronics Corp. Semiconductor structure and process thereof
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9337109B2 (en) * 2013-05-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-threshold voltage FETs
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9397100B2 (en) 2013-12-29 2016-07-19 Texas Instruments Incorporated Hybrid high-k first and high-k last replacement gate process
US9356120B2 (en) * 2013-12-31 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor and method for tuning metal gate profile
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9455330B2 (en) 2014-11-21 2016-09-27 International Business Machines Corporation Recessing RMG metal gate stack for forming self-aligned contact
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
CN106158630B (zh) * 2015-03-24 2019-07-02 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
CN106328501B (zh) * 2015-06-23 2019-01-01 中国科学院微电子研究所 半导体器件的制造方法
US9972694B2 (en) * 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US10062695B2 (en) * 2015-12-08 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10020401B2 (en) * 2016-11-29 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for straining a transistor gate through interlayer dielectric (ILD) doping schemes
CN109285811B (zh) * 2017-07-20 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US11791218B2 (en) * 2020-05-20 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole patterning for CMOS devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286729A1 (en) * 2005-06-21 2006-12-21 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
DE102007046849A1 (de) * 2007-09-29 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US20090117726A1 (en) * 2007-11-02 2009-05-07 Texas Instruments Incorporated Integration Scheme for an NMOS Metal Gate
US20090230479A1 (en) * 2008-03-12 2009-09-17 Peng-Fu Hsu Hybrid Process for Forming Metal Gates of MOS Devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286729A1 (en) * 2005-06-21 2006-12-21 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
DE102007046849A1 (de) * 2007-09-29 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US20090117726A1 (en) * 2007-11-02 2009-05-07 Texas Instruments Incorporated Integration Scheme for an NMOS Metal Gate
US20090230479A1 (en) * 2008-03-12 2009-09-17 Peng-Fu Hsu Hybrid Process for Forming Metal Gates of MOS Devices

Also Published As

Publication number Publication date
DE102009055392A1 (de) 2011-07-07
US8669151B2 (en) 2014-03-11
US20110156154A1 (en) 2011-06-30

Similar Documents

Publication Publication Date Title
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102009031155B4 (de) Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung
DE102010038737B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102009015747B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009021486B4 (de) Verfahren zur Feldeffekttransistor-Herstellung
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009046245A1 (de) Metallgateelektrodenstrukturen mit großem ε, die durch eine separate Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart hergestellt sind
DE102011004320B4 (de) Verfahren zur Herstellung komplementärer Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102007052053B4 (de) Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
DE102009055393B4 (de) Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102009046250A1 (de) Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE102010064291B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102008063402A1 (de) Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
DE102012213825A1 (de) Verhinderung eines ILD-Verlustes in Austauschgatetechnologien durch Oberflächenbehandlung

Legal Events

Date Code Title Description
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R020 Patent grant now final

Effective date: 20150224

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE