DE102007046849A1 - Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung - Google Patents

Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung Download PDF

Info

Publication number
DE102007046849A1
DE102007046849A1 DE102007046849A DE102007046849A DE102007046849A1 DE 102007046849 A1 DE102007046849 A1 DE 102007046849A1 DE 102007046849 A DE102007046849 A DE 102007046849A DE 102007046849 A DE102007046849 A DE 102007046849A DE 102007046849 A1 DE102007046849 A1 DE 102007046849A1
Authority
DE
Germany
Prior art keywords
gate electrode
transistor
forming
electrode structure
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102007046849A
Other languages
English (en)
Other versions
DE102007046849B4 (de
Inventor
Andrew Waite
Andy Wei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102007046849.2A priority Critical patent/DE102007046849B4/de
Priority to US12/163,023 priority patent/US20090087974A1/en
Priority to TW097137139A priority patent/TW200933820A/zh
Priority to PCT/US2008/011257 priority patent/WO2009045364A1/en
Priority to GB1010321A priority patent/GB2468445A/en
Publication of DE102007046849A1 publication Critical patent/DE102007046849A1/de
Application granted granted Critical
Publication of DE102007046849B4 publication Critical patent/DE102007046849B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Es wird eine moderne Metallgateelektrodenstruktur mit großem epsilon nach dem Ausbilden eines ersten Teils eines dielktrischen Zwischenschichtmaterials hergestellt, wodurch ein hohes Maß an Prozesskompatibilität mit konventionellen CMOS-Strategiern erreicht wird. Somit können anspruchsvolle verformungsinduzierende Mechanismen effizient in den Gesamtprozessablauf implementiert werden, wobei dennoch Hochtemperaturprozesse während der Herstellung anspruchsvoller Gatestapel mit Dielektrikum mit großem epsilon vermieden werden.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung die Herstellung modernster integrierter Schaltungen mit Transistorelementen, die hochkapazitive Gatestrukturen auf der Grundlage eines Gatedielektrikums mit großem ε aufweisen, so dass diese eine erhöhte Permittivität im Vergleich zu konventionellen Dielektrika besitzen, etwa im Vergleich zu Siliziumdioxid und Siliziumnitrid.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa CPUs, Speicherbauelemente, ASICs (anwendungsspezifische integrierte Schaltungen) und dergleichen, erfordert die Herstellung einer großen Anzahl an Schaltungselementen auf einer vorgegebenen Fläche gemäß einer spezifizierten Schaltungsanordnung, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die im Wesentlichen das Leistungsverhalten integrierten Schaltungen bedingen. Im Allgemeinen werden aktuell mehrere Prozesstechnologien eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die MOS-Technologie gegenwärtig eine der vielversprechendsten Vorgehensweisen aufgrund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der MOS-Technologie werden Millionen an Transistoren, beispielsweise n-Kanaltransistoren und/oder p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, so genannte pn-Übergänge, die durch eine Grenzfläche aus stark dotierten Gebieten, die als Drain- und Source-Gebiete bezeichnet werden, mit einem leicht dotierten oder nicht-dotierten Gebiet, etwa einem Kanalgebiet, gebildet sind, das benachbart zu den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor wird die Leitfähigkeit des Kanalgebiets, d. h. das Durchlassvermögen des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals aufgrund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Source-Gebiet und dem Drain-Gebiet ab, der auch als Kanallänge bezeichnet. Somit beeinflusst in Kombination mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets im Wesentlichen das Leistungsverhalten von MOS-Transistoren. Wenn daher die Geschwindigkeit für das Erzeugen des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand im Wesentlichen die Transistoreigenschaften bedingen, ist das Verringern der Kanallänge – und damit verknüpft die Verringerung des Kanalswiderstands mit einem Anstieg des Gatewiderstands – ein wesentliches Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Gegenwärtig wird der größte Teil der integrierten Schaltungen auf Grundlage von Silizium hergestellt aufgrund der im Wesentlichen unbegrenzten Verfügbarkeit, der gut verstandenen Eigenschaften des Siliziums und der zugehörigen Materialien und Prozesse und der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die dominierende Bedeutung von Silizium bei der Herstellung von Halbleiterbauelementen waren die guten Eigenschaften der Silizium/Siliziumdioxid-Grenzfläche, die eine zuverlässige elektrische Trennung unterschiedlicher Gebiete voneinander ermöglicht. Diese Silizium/Siliziumdioxid-Grenzfläche ist bei hohen Temperaturen stabil und erlaubt somit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für Ausheizprozesse erforderlich sind, um Dotierstoffe zu aktivieren und Kristallschäden auszuheilen, ohne dass die elektrischen Eigenschaften der Grenzfläche beeinträchtigt werden.
  • Aus den zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als eine Gateisolationsschicht in Feldeffekttransistoren verwendet, die die Gateelektrode, die häufig Polysilizium oder andere metallenthaltende Materialien aufweist, von dem Siliziumgebiet trennt. Durch das stetige Verbessern des Leistungsverhaltens von Feldeffekttransistoren wurde die Lnge des Kanalgebiets stetig reduziert, um damit die Schallgeschwindigkeit und das Durchlassstromverhalten zu verbessern. Da das Transistorleistungsverhalten durch die Spannung gesteuert wird, die an die Gateelektrode zur Invertierung der Oberfläche des Kanalgebiets mit einer ausreichend hohen Ladungsdichte zugeführt wird, um den gewünschten Durchlassstrom für eine vorgegebene Versorgungsspannung zu erreichen, muss eine gewisse kapazitive Kopplung vorhanden sein, die durch den Kondensator entsteht, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet ist, hervorgerufen wird. Es zeigt sich, dass eine Verringerung der Kanal länge eine größere kapazitive Kopplung notwendig macht, um das so genannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Stark größenreduzierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer reduzierten Schwellwertspannung können einen exponentiellen Anstieg des Leckstromes zeigen, wobei ebenfalls eine erhöhte Kapazitätskopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Somit muss die Dicke der Siliziumdioxidschicht entsprechend reduziert werden, um für die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu sorgen. Beispielsweise kann eine Gatelänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid erfordern, das eine Dicke von ungefähr 1,2 nm aufweist. Obwohl im Allgemeinen Hochgeschwindigkeitstransistorelemente mit äußerst kurzem Kanal vorzugsweise für Hochgeschwindigkeitsanwendungen eingesetzt werden, wohingegen Transistorelemente mit einem längeren Kanal für weniger kritische Anwendungen eingesetzt werden, etwa als Speichertransistoren, kann dennoch der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxidgateisolationsschicht hervorgerufen wird, Werte für eine Oxiddicke im Bereich von 1–2 nm erreichen, die nicht mehr mit den Erfordernissen für die thermische Entwurfsleistung für leistungsbezogene Schaltungen verträglich sind.
  • Daher wurde das Ersetzen von Siliziumdioxid als Material für die Gateisolationsschichten vorgeschlagen, insbesondere für äußerst dünne Siliziumdioxidgateschichten. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittität aufweisen, so dass eine physikalisch größere Dicke einer entsprechend hergestellten Gateisolationsschicht eine kapazitive Kopplung bietet, die ansonsten von einer sehr dünnen Siliziumdioxidschicht erreicht würde. Im Allgemeinen wird eine Dicke, die zum Erreichen einer spezifizierten kapazitiven Kopplung mit Siliziumdioxid erforderlich ist, als eine Kapazitätsäquivalenzdicke (CET) bezeichnet. Daher erscheint es zunächst so, dass einfaches Ersetzen des Siliziumdioxids durch Material mit großem ε eine naheliegende Entwicklung ist, um damit eine Kapazitätsäquivalenzdicke im Bereich von 1 nm oder weniger zu erhalten.
  • Es wurde daher vorgeschlagen, Siliziumdioxid durch Materialien mit hoher Permittität, etwa Tantaloxid (Ta2O5) mit einem ε von etwa 25, Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 150, Hafniumoxid (HfO2), HfSiO, Zirkoniumoxid (ZrO2) und dergleichen zu ersetzen.
  • Des Weiteren kann das Transistorleistungsverhalten erhöht werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, um damit das üblicherweise verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine erhöhte Ladungsträgerver armung in der Nähe der Grenzfläche zu dem Gatedielektrikum zeigt, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Es wurde daher ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε eine größere Kapazität auf der Grundlage der gleichen Dicke wie eine Siliziumdioxidschicht bietet, wobei zusätzlich Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits kann das Nicht-Polysiliziummaterial, etwa Titannitrid und dergleichen, so gebildet werden, dass es an das dielektrische Material mit großem ε anschließt, wodurch das Vorhandensein einer Verarmungszone im Wesentlichen verhindert wird. Da typischerweise eine geringe Schwellwertspannung des Transistors gewünscht ist, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet aufbaut, so dass hohe Durchlassströme erzeugt werden, erfordert typischerweise die Steuerbarkeit des entsprechenden Kanals ein ausgeprägtes laterales Dotierstoffprofil und Dotierstoffgradienten, zumindest in der Nähe der pn-Übergänge. Daher werden üblicherweise so genannte Halo-Gebiete durch Ionenimplantation hergestellt, um eine Dotierstoffsorte einzuführen, dessen Leitfähigkeitsart der Leitfähigkeitsart des verbleibenden Kanals und des Halbleitergebiets entspricht, um damit den resultierenden Dotierstoffgradienten am pn-Übergang nach der Herstellung der Erweiterungsgebiete und der tiefen Drain- und Source-Gebiete zu "verstärken". Auf diese Weise bestimmt die Schwellwertspannung des Transistors wesentlich die Steuerbarkeit des Kanals, wobei eine deutliche Variabilität der Schwellwertspannung bei geringen Gatelängen beobachtet werden kann. Somit kann durch das Vorsehen eines geeigneten Halo-Implantationsgebietes die Steuerbarkeit des Kanals verbessert werden, wodurch auch die Variabilität der Schwellewertspannung verringert wird, was auch als Schwellwert-Variabilität bezeichnet wird, und wodurch auch deutliche Schwankungen des Transistorleistungsverhaltens bei einer Änderung der Gatelänge verringert werden. Da die Schwellwertspannung der Transistoren deutlich durch die Austrittsarbeit des Metalls bestimmt ist, das in dem Gatematerial enthalten ist, muss eine geeignete Einstellung der effektiven Austrittsarbeit im Hinblick auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
  • Nach der Herstellung moderner Gatestrukturen mit einem Dielektrikum mit großem ε und einem Gatematerial auf Metallbasis, sind jedoch Hochtemperaturbehandlungen erforderlich, die zu einer Abnahme der Permittivität des Gatedielektrikums führen können, was durch einen Anstieg des Sauerstoffanteils in dem Material mit großem ε hervorgerufen wird, woraus sich auch eine Zunahme der Schichtdicke ergeben kann. Des Weiteren wird häufig eine Verschiebung der Austrittsarbeit beobachtet, wobei man annimmt, dass dies durch die erhöhte Sauerstoffaffinität vieler dielektrischer Materialien mit großem ε verknüpft ist, woraus sich eine Umverteilung des Sauerstoffs in der Grabenisolationsstruktur über das dielektrische Material mit großem ε bei gemeinsam ausgebildeten Gateleitungsstrukturen ins besondere bei moderat hohen Temperaturen ergibt, wie sie für die Fertigstellung der Transistoren nach der Herstellung der Metallgatestrukturen mit hohem ε erforderlich sind. Aufgrund dieser Fermi-Niveauverschiebung in den Metall enthaltenden Gatematerialien kann die resultierende Schwellwertspannung zu hoch werden, um damit Halo-Implantationsverfahren einsetzen zu können, um in der üblichen Weise zu Transistoreigenschaften im Hinblick auf das Steuern der Schwellwertspannungsvariabilität zu ermöglichen, so dass dann die gewünschten hohen Durchlassströme bei moderat geringen Schwellwertspannungen erreicht werden.
  • Die moderaten und hohen Temperaturen während des Transistorfertigungsprozesses können vermieden werden, indem ein Integrationsschema angewendet wird, in welchem die Gateelektrode gemäß konventionellen Techniken hergestellt wird und schließlich durch eine Metallgatestruktur mit großem ε ersetzt wird, wobei die entsprechenden Metalle in geeigneter Weise so gewählt sind, dass geeignete Austrittsarbeitsfunktionen für n-Kanaltransistoren und p-Kanaltransistoren erhalten werden. Somit wird in diesem Integrationsschema die konventionelle Polysilizium/Oxidgatestruktur entfernt und durch einen Metallstapel mit großem ε nach dem letzten Hochtemperaturausheizprozess und der Silizitierung der Drain- und Source-Gebiete ersetzt. Somit findet die Metallgateelektrodenstruktur mit großem ε lediglich tiefe Temperaturen, die in der Metallisierungsverarbeitung eingesetzt werden, vor, d. h. Temperaturen von ungefähr 400°C, wodurch im Wesentlichen die vorbeschriebenen Probleme im Hinblick auf eine Änderung der Eigenschaften des Materials mit großem ε und eine Verschiebung der Austrittsarbeit der Metalle in den Gateelektroden vermieden werden.
  • Wie zuvor erläutert ist, erfordern die n-Kanaltransistoren und p-Kanaltransistoren sehr unterschiedliche metallenthaltende Materialien für ein geeignetes Einstellen der Austrittsarbeit und damit der Schwellwertspannung der unterschiedlichen Transistorarbeiten. Daher sind entsprechende Integrationsschemata äußerst komplex und sind unter Umständen nur sehr schwer mit gut etablierten Verfahren zur Herstellung dualer übergelagerter Verspannungsschichten verträglich, die typischerweise eingesetzt werden, um ein stark verspanntes dielektrisches Material mit unterschiedlicher innerer Verspannung über den n-Kanaltransistoren bzw. den p-Kanaltransistoren vorzusehen. Ferner werden in vielen Fällen Transistoren in unterschiedlichen Bauteilgebieten, etwa CPU-Kernen, peripheren Gebieten für die Eingabe/Ausgabe, Speichergebiete, und dergleichen bei unterschiedlichen Versorgungsspannungen betrieben, wodurch eine geeignet eingestellte Schichtdicke der Gateiso-lationsschichten erforderlich ist, was in konventionellen Integrationsstrategien erreicht wird, indem eine erhöhte Oxiddicke aufgewachsen wird, wie sie für die höchste Betriebsspannung erforderlich ist und indem selektiv die Oxiddicke abgetragen wird, um erneut ein Oxid in Gebieten mit hohem Leistungsverhalten bei geringen Versorgungsspannungen betrieben werden, aufzuwachsen. Die Integration von Gatedielektrika, die für unterschiedliche Betriebsspannungen ausgelegt sind, kann nur schwer mit einer Vorgehensweise zur Herstellung von Metallgates mit großem ε nach der Fertigstellung der Transistorstrukturen kombiniert werden, da eine Reihe konvexer Maskierungsschritte auf Transistorebene erforderlich ist.
  • Angesichts dieser Situation betrifft die vorliegende Offenbarung Verfahren und Bauelemente mit modernsten Gatestrukturen mit Dielektrikum mit großem ε, wobei ein oder mehrere der zuvor bekannten Probleme vermieden oder zumindest in ihrer Auswirkung reduziert werden.
  • Überblick über die vorliegende Offenbarung
  • Im Allgemeinen betrifft der hier offenbarte Gegenstand moderne Halbleiterbauelemente und Verfahren zur Herstellung derselben, wobei die Gateelektrodenstrukturen auf der Grundlage eines Dielektrikums mit großem ε in Verbindung mit geeigneten Metall enthaltenden leitenden Materialien mit geeigneten Austrittsarbeitsfunktionen für p-Kanaltransistoren und n-Kanaltransistoren hergestellt werden, wobei die Gateelektrodenstrukturen während einer Fertigungsphase nach Hochtemperaturbehandlungen und nach der Herstellung eines Teils des dielektrischen Zwischenschichtmaterials gebildet werden, wodurch ein hohes Maß an Kompatibilität mit gut etablierten verspannungsinduzierenden Mechanismen erreicht wird, wobei dennoch im Wesentlichen eine Verschiebung der Austrittsarbeit und eine nachteilige Auswirkung auf die dielektrischen Materien mit großem ε, wie dies zuvor beschrieben ist, im Wesentlichen vermieden wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden eines ersten Transistors mit einer ersten Gateelektrodenstruktur über einem ersten Bauteilgebiet und Bilden eines ersten Teils eines ersten dielektrischen Zwischenschichtmaterials über dem ersten Transistor. Ferner umfasst das Verfahren das Entfernen von Material des ersten dielektrischen Zwischenschichtmaterials, um eine obere Fläche der ersten Gateelektrodenstruktur freizulegen, und umfasst das Ersetzen der ersten Gateelektrodenstruktur durch eine erste Austauschgateelektrodenstruktur mit einem dielektrischen Material mit großem ε. Des Weiteren umfasst das Verfahren das Bilden eines zweiten dielektrischen Zwischenschichtmaterials über der ersten Austauschgateelektrodenstruktur.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden eines ersten dielektrischen Zwischenschichtmaterials über einem ersten Transistorelement über einem zweiten Transistor. Des Weiteren umfasst das Verfahren das selektive Ersetzen einer ersten Gateelektrodenstruktur des ersten Transistors durch eine erste Austauschgateelektrodenstruktur mit einer Gateisolationsschicht, die ein dielektrisches Material mit großem ε aufweist. Das Verfahren umfasst ferner das selektive Ersetzen einer zweiten Gateelektrodenstruktur des zweiten Transistors durch eine zweite Austauschgateelektrodenstruktur mit einer Gateisolationsschicht, die ein dielektrisches Material mit großem ε aufweist. Schließlich umfasst das Verfahren das Bilden eines zweiten dielektrischen Zwischenschichtmaterials über dem ersten und dem zweiten Transistor.
  • Ein noch weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden eines ersten Transistors auf der Grundlage einer ersten Platzhalterstruktur und Bilden eines dielektrischen Materials lateral benachbart zu dem ersten Transistor. Des Weiteren wird die erste Platzhalterstruktur durch eine erste Gateelektrodenstruktur ersetzt, die ein Metall enthaltendes Gateelektrodenmaterial und eine Gateisolationsschicht aufweist, die ein dielektrisches Material mit großem ε enthält.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlich aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a1s schematisch Querschnittsansichten eines Halbleiterbauelements zeigen, das Feldeffekttransistoren mit modernen Gateelektrodenstrukturen aufweist, wobei diverse Fertigungsphasen gezeigt sind, und wobei das dielektrische Material mit großem ε der modernen Gateelektrodenstrukturen nach dem Einbetten der Transistoren in einen Teil eines dielektrischen Zwischenschichtmaterials gemäß anschaulicher Ausführungsformen gebildet wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen gezeigt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellen anteiligen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen stellt der hierin offenbarte Gegenstand verbesserte Techniken und Bauelemente bereit, wobei modernste Metallgatestapel mit einem Dielektrikum mit großem ε nach der Fertigstellung der Transistorstrukturen und nach der Ausbildung eines Teils eines dielektrischen Zwischenschichtmaterials gebildet werden, wodurch ein hohes Maß an Kompatibilität mit gut etablierten CMOS-Integrationsschemata erreicht wird. Das heißt, die Integration gut etablierter verformungsinduzierender Mechanismen etwa das Vorsehen verformter Halbleiterlegierungen in Drain- und Source-Gebiete der Transistoren, hoch verspannte dielektrische Materialien zum Einbetten der Transistorstrukturen, und dergleichen, können in Verbindung mit modernen Gateelektroden mit einem Dielektrikum mit großem ε kombiniert werden, ohne dass zu der Gesamtprozesskomplexität beigetragen wird. Des Weiteren kann die hierin offenbarte Prozesssequenz zum Ersetzen der Platzhaltergateelektrodenstruktur durch moderne Elektrodenstapel ebenfalls günstigere Bedingungen zur Verbesserung des gesamten verspannungsinduzierenden Mechanismus bieten, indem das Abscheiden eines weiteren Teils des dielektrischen Zwischenschichtmaterials auf der Grundlage einer eingeebneten Oberflächentopografie erfolgt.
  • Mit Bezug zu den 1a1s werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer Fertigungsphase, in der die grundlegenden Transistorstrukturen fertig gestellt sind, d. h. Hochtemperaturprozesse wurden bereits ausgeführt, so dass die Herstellung moderner Gateelektrodenstrukturen auf der Grundlage eines dielektrischen Materials mit großem ε und geeignet ausgewählter metallenthaltender Materialien möglich ist, wobei die nachteiligen Auswirkungen auf das Material mit großem ε, wie sie zuvor beschrieben sind, vermieden werden. Ein dielektrisches Material mit großem ε ist als ein Dielektrikum zu verstehen, das eine größere Permittivität im Vergleich zu Materialien auf Siliziumdioxidbasis oder Materialien auf Siliziumnitridbasis besitzt. Beispielsweise besitzt ein dielektrisches Material mit großem ε eine dielektrische Konstante von ungefähr 10 oder größer. Das Halbleiterbauelement 100 umfasst in dieser Fertigungsphase ein Substrat 101, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darauf eine Halbleiterschicht 102, etwa eine siliziumbasierte Schicht oder ein anderes geeignetes Halbleitermaterial zu bilden, um darin und darauf Transistorelemente 150p, 150n herzustellen, die in der gezeigten Ausführungsform einen p-Kanaltransistor bzw. einen n-Kanaltransistor repräsentieren. Es sollte beachtet werden, dass in anderen Ausführungsformen eine einzelne Art an Transistoren betrachtet wird, so dass die nachfolgende Prozesssequenz auf einen oder mehrere Transistoren der gleichen Art angewendet wird. Des Weiteren ist zu beachten, dass die Halbleiterschicht 102, selbst wenn diese als eine siliziumbasierte Schicht vorgesehen wird, andere Materialien aufweisen kann, etwa Germanium, Kohlenstoff, und dergleichen, zusätzlich zu geeigneten Dotierstoffsorten, um das erforderliche laterale und vertikale Dotierstoffprofil in den Transistoren 150p, 150n zu erzeugen. Beispielsweise weist in der gezeigten Ausführungsform der Transistor 150p eine Halbleiterlegierung 118 auf, die in Form einer beliebigen geeigneten Halbleiterverbindung vorgesehen werden kann, um damit eine gewünschte Art an Verformungen in einem Kanalgebiet 117 zu erzeugen, das im Wesentlichen aus Silizium aufgebaut ist, da Silizium eine deutliche Änderung der Ladungsträgerbeweglichkeit zeigt, wenn es in einen verformten Zustand versetzt wird. Beispielsweise kann die Halbleiterlegierung 118, die in Form einer Silizium/Germaniumlegierung für einen p-Kanaltransistor vorgesehen kann, zumindest in einem Teil entsprechender Drain- und Source-Gebiete 114 angeordnet werden, wobei die Halbleiterlegierung 118 eine kleinere Gitterkonstante im Vergleich zu ihrer natürlichen Gitterkonstante aufgrund des verformten Zustands aufweist, wodurch eine gewisse Größe an kompressiver Verformung in dem Kanalgebiet 117 hervorgerufen wird, wodurch die Beweglichkeit von Löchern verbessert wird. Es sollte beachtet werden, dass andere verformungsinduzierende Mechanismen in dem Transistor 150p, 150n vorgesehen können, abhängig von der Gesamtprozessstrategie. Das heißt, für Transistorbauelemente auf Siliziumbasis kann eine Silizium/Kohlenstoffverbindung in den Drain- und Source-Gebieten des Transistors 150n vorgesehen werden, wenn dieser einen n-Kanaltransistor repräsentiert. Des Weiteren können "Verspannungsgedächtnisverfahren" während der Herstellung der Transistoren 150p, 150n eingesetzt werden, wodurch die Verformung zumindest in einer Transistorenart erhöht wird.
  • Es sollte beachtet werden, dass die Transistoren 150p, 150n als "Vollsubstrattransistoren" hergestellt werden können, d. h. die Halbleiterschicht 102 ist auf einem im Wesentlichen kristallinen Substratmaterial hergestellt, während in anderen Fallen die Halbleiterschicht 102 zumindest in speziellen Bauteilgebieten auf einer vergrabenen isolierenden Schicht 103 gebildet ist, wodurch eine SOI-(Silizium-auf-Isolator)-Konfiguration geschaffen wird. Es sollte jedoch beachtet werden, dass eine SOI-Konfiguration und eine Vollsubstratkonfiguration gleichzeitig in unterschiedlichen Bauteilgebieten des Halbleiterbauelements 100 vorgesehen sein können, wobei Hochleistungstransistoren in Form von SOI-Transistoren bereitgestellt werden, während andere Bauteilbereiche, etwa Speicherbereiche und dergleichen auf Grundlage einer Vollsubstratkonfiguration aufgebaut sein können.
  • Die Transistoren 150p, 150n sind voneinander durch eine geeignete Isolationsstruktur getrennt, etwa eine Grabenisolation 104, die sich bis zu einer spezifizierten Tiefe erstreckt, wobei in der gezeigten Ausführungsform die Isolationsstruktur 104 mit der vergrabenen iso lierenden Schicht 103 in Verbindung steht, durch die Transistoren 150p, 150n elektrisch isoliert werden. Des Weiteren enthält jeder der Transistoren 150p, 150n eine Gateelektrodenstruktur 110, die eine beliebige geeignete Struktur repräsentieren kann, etwa eine Platzhalterstruktur, die im Wesentlichen aus dielektrischen Materialien aufgebaut ist, während in anderen Fällen die Gateelektrodenstrukturen 110 funktionierende konventionelle Gateelektrodenstrukturen repräsentieren, beispielsweise auf der Grundlage von Polysilizium, wobei in einigen anschaulichen Ausführungsformen entsprechend die Gateelektrodenstrukturen im Wesentlichen in anderen Bauteilgebieten (nicht gezeigt) beibehalten werden, während die Strukturen 110 der Transistoren 150n, 150p durch eine moderne Gateelektrodenstruktur mit dielektrischem Material mit großem ε und einem gut leitenden Metall enthaltenden Elektrodenmaterial ersetzt werden. Beispielsweise weist die Gateelektrodenstruktur 110 ein konventionelles Gatedielektrikumsmaterial 112 auf, etwa ein Gatedielektrikum auf Siliziumdioxidbasis, über welchem ein konventionelles Gateelektrodenmaterial 113, etwa ein Polysiliziummaterial und dergleichen, ausgebildet ist, woran sich ein Metallsilizidgebiet 111 anschließt. In ähnlicher Weise können Metallsilizidgebiete 116 in den Drain- und Source-Gebieten 115 vorgesehen sein. Abhängig von der Prozessstrategie wird eine Seitenwandabstandshalterstruktur 114 an Seitenwänden der Gateelektrodenstrukturen 110 vorgesehen. Die Seitenwandabstandshalterstruktur 114 umfasst in dieser Fertigungsphase eine beliebige Anzahl einzelner Abstandshalterelemente, abhängig von Prozess- und Bauteilerfordemissen.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage gut etablierter Prozessverfahren hergestellt werden. Beispielsweise können die Gateelektrodenstrukturen 110 auf der Grundlage moderner Abscheide- und/oder Oxidationsverfahren zur Herstellung der Gatedielektrikumsmaterialien 112 gebildet werden, wobei eine geeignete Dicke ausgewählt wird, wenn das konventionelle Gatedielektrikumsmaterial 112 in anderen Bauteilgebieten (nicht gezeigt) beibehalten werden soll. Des Weiteren werden anspruchsvolle Lithografie- und Ätzverfahren eingesetzt, um das Gateelektrodenmaterial 113 zu bilden, beispielsweise in Form von Polysilizium und der gleichen. In anderen Fallen wird ein beliebiges geeignetes Platzhaltematerial verwendet, wenn die Gateelektrodenstrukturen 110 des gesamten Bauelements durch moderne Metallgateelektrodenstrukturen mit großem ε zu ersetzen sind. Als Nächstes wird die Seitenwandabstandshalterstruktur 114 hergestellt, zumindest teilweise, um als eine geeignete Ätzmasse zu dienen, wenn eine Halbleiterlegierung 118 in entsprechenden Vertiefungen zu bilden ist, die auf der Grundlage der Abstandshalterstruktur 114 hergestellt werden. In anderen Fällen können entsprechende Abstandshalter zur Herstellung von Vertiefungen für die Halbleiterlegierung 118 abgetragen und nachfolgend wird ein Teil der Struktur 114 vorgesehen, so dass diese als eine geeigne te Implantationsmaske zum Erzeugen des lateralen Dotierstoffprofils für die Drain- und Source-Gebiete 115 dienen kann. Es sollte beachtet werden, dass mehrere Implantationsprozesse erforderlich sein können, etwa eine Erweiterungsimplantation, eine Halo-Implantation, eine Amorphisierungsimplantation und Implantationen für die tiefen Drain- und Source-Gebiete, um das erforderliche komplexe Dotierstoffprofil zu erreichen. Wie zuvor erläutert ist, hängt die Effizienz einer entsprechenden Halo-Implantation von einer geeigneten Austrittsarbeit eines Gateelektrodenmetalls ab, das noch herzustellen ist, wenn die Gateelektrodenstruktur 110 durch den Metallgatestapel mit Dielektrium mit großem ε zu ersetzen ist. Während der Herstellung der Transistorstrukturen 150p, 150n sind eine oder mehrere Hochtemperaturbehandlungen erforderlich, beispielsweise zum Aktivieren von Dotierstoffen und zum Rekristallisieren von durch Implantation hervorgerufener Schäden und dergleichen. Schließlich werden die Metallsilizidgebiete 116 und 111 in einer gemeinsamen Prozesssequenz mit Prozessparametern hergestellt, die geeignet sind, so dass die gewünschte Konfiguration des Metallsilizids in den Gebieten 116 erreicht wird, wobei eine Anpassung der Prozessparameter im Hinblick auf die Metallsilizidgebiete 111 nicht erforderlich ist, da diese Gebiete in einer späteren Fertigungsphase entfernt werden. Wie zuvor erläutert ist, können die entsprechenden Prozessverfahren auch eine Prozesssequenz miteinschließen, um gewünschte verformungsinduzierenden Mechanismen einzubauen, etwa das Vorsehen der Halbleiterlegierung 118 in einem Teil der Drain- und Source-Gebiete 115, während in anderen Fallen entsprechende Verspannungsgedächtnisverfahren eingesetzt werden, d. h. Bereiche der Drain- und Source-Gebiete 115 werden amorphisiert und bei Anwesenheit einer steifen Deckschicht wieder aufgewachsen, um damit eine gewisse Verformung bei Rekristallisieren der Struktur zu erzeugen, wobei die Verformung selbst nach dem Entfernen der steifen Deckschicht erhalten bleibt.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Teil eines ersten dielektrischen Zwischenschichtmaterials 119 über den Transistoren 150p, 150n gebildet ist. Das erste dielektrische Zwischenschichtmaterial 119 wird in Form einer oder mehrerer Materialschichten bereitgestellt, abhängig von den Prozess- und Bauteilerfordernissen. Beispielsweise kann der Teil 119 des ersten dielektrischen Zwischenschichtmaterials ein konventionelles Ätzstoppmaterial repräsentieren, wie es zum Steuern eines Ätzprozesses verwendet wird, um damit Kontaktöffnungen zu bilden, die eine Verbindung zu den Transistoren 150p, 150n durch ein weiteres dielektrisches Zwischenschichtmaterial, das in einer späteren Phase zu bilden ist, herstellen. Beispielsweise können Siliziumnitrid, stickstoffangereichertes Siliziumkarbid und dergleichen in Verbindung mit Materialien auf Basis von Siliziumdioxid verwendet werden, wodurch ein hohes Maß an Ätzselektivität erreicht wird. Der Teil 119 des ersten dielektrischen Zwischenmaterials kann auf der Grundlage gut etablierter Prozessstrategien hergestellt werden, wodurch ein hoher Grad an Kompatibilität mit konventionellen Techniken erreicht wird. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen das Material 119 mit einem hohen Maß an Verspannung vorgesehen wird, um damit eine gewisse Art an Verformung in einem oder in beiden Transistoren 150p, 150n hervorzurufen.
  • 1c zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, wobei der Teil 119 des dielektrischen Zwischenschichtmaterials in Form unterschiedlicher Bereiche 119p, 119n vorgesehen ist, die so gestaltet sind, dass unterschiedliche Verformungsbedingungen in den Transistoren 150p, 150n geschaffen werden. Beispielsweise wird der Bereich 119p in Form von Siliziumnitrid, stickstoffangereichertem Siliziumkarbid, und dergleichen vorgesehen, so dass dieser eine hohe innere kompressive Verspannung aufweist, wodurch eine entsprechende Verformung in dem Kanalgebiet 117 zur Erhöhung der Löcherbeweglichkeit noch weiter vergrößert wird. In ähnlicher Weise kann der Bereich 119n eine unterschiedliche Art an innerer Verspannung oder zumindest einen deutlich geringeren Betrag an innerer Verspannung im Vergleich zu dem Bereich 119p aufweisen. Beispielsweise wird der Bereich 119n in Form eines Siliziumnitridmaterials oder mit Stickstoff angereicherten Siliziumkarbidmaterials mit einem im Wesentlichen neutralen Verspannungsverhalten realisieren. In anderen Fallen wird der Bereich 119n in Form von Siliziumnitrid mit einer hohen Zugverspannung vorgesehen, um damit eine entsprechende Verformung im Kanalgebiet 117 des Transistors 150n hervorzurufen, um damit die Elektronenbeweglichkeit darin zu erhöhen.
  • Das in 1c gezeigte Material 119 kann auf der Grundlage der folgenden Prozesse gebildet werden. In einigen anschaulichen Ausführungsformen wird ein stark verspanntes dielektrisches Material, wie Siliziumnitrid, stickstoffangereichertes Siliziumkarbid und dergleichen auf Grundlage geeignet ausgewählter Prozessparameter abgeschieden, für die gut etablierte Rezepte eingesetzt werden können. Beispielsweise wird das Material 119 mit hoher kompressiver Verspannung abgeschieden, d. h. in einem Bereich von 2–3 GPa oder mehr, wobei eine Dicke der Schicht 119 entsprechend der Bauteilgeometrie festgelegt ist. Das heißt, das Spaltfüllvermögen des entsprechenden Abscheideprozesses kann möglicherweise die Menge des verspannten Materials der Schicht 119 begrenzen. Danach wird ein Teil der Schicht 119 einem Innenbeschuss ausgesetzt, um die innere Verspannung deutlich zu verringern, wodurch der Bereich 119n gebildet wird. Es sollte beachtet werden, dass das Material 119 mit hoher innerer Verspannung abgeschieden werden kann, die so ausgewählt ist, dass die Eigenschaften des Transistors 119n verbessert werden, und nachfolgende Relaxation führt dann zu einem im Wesentlichen verspannungsneutralen Bereich 119p. In anderen anschaulichen Ausführungsformen werden beide Bereiche 119p, 119n mit einer hohen inneren Verspannung unterschiedlicher Art gebildet. Dazu wird ein dielektrisches Material mit einer ersten inneren Verspannung abgeschieden und nachfolgend von einem der Transistoren 150n, 150p entfernt, woran das Abscheiden eines weiteren dielektrischen Materials mit einer unterschiedlichen Art an innerer Verspannung anschließt, die so ausgewählt ist, um das Leistungsverhalten des zuvor freigelegten Transistors zu verbessern. Danach wird überschüssiges Material dieser stark verspannten Schicht von dem anderen Transistor auf der Grundlage geeigneter Ätzverfahren entfernt. Es sollte beachtet werden, dass das Bilden der stark verspannten Bereiche 119p, 119n gemäß dieser Prozessstrategie das Abscheiden entsprechender geeigneter Ätzstoppmaterialien oder Ätzindikatormaterialien, abhängig von den Prozessstrategien, beinhalten kann.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, wobei ein zweiter Teil des ersten dielektrischen Zwischenschichtmaterials, das als 119c bezeichnet ist, über den Transistoren 150p, 150n gebildet ist. In einer anschaulichen Ausführungsform wird der zweite Teil 119c, der zusammen mit dem zuvor abgeschiedenen Teil 119 als erstes dielektrisches Zwischenschichtmaterial 119f bezeichnet ist, auf der Grundlage einer Abscheidetechnik hergestellt, die das gewünschte Spaltfüllvermögen aufweist, um damit eine im Wesentlichen hohlraumfreie Konfiguration des ersten dielektrischen Zwischenschichtmaterials 119f zu erreichen. Beispielsweise kann der zweite Teil 119c in Form von Siliziumdioxid vorgesehen werden, das durch subatmosphärische CVD (chemische Dampfabscheidung) oder durch plasmaunterstützte CVD mit hochdichtem Plasma auf der Grundlage von TEOS gemäß gut etablierter Prozessrezepter abgeschieden wird. In anderen Fallen wird der zweite Teil 119c in Form eines stark verspannten dielektrischen Materials vorgesehen, um damit das Leistungsverhalten eines der Transistoren 150p, 150n zu verbessern, wie dies zuvor mit Bezug zu den Bereichen 119p, 119n erläutert ist. Wenn beispielsweise der Bereich 119p zuvor als ein im Wesentliches verspannungsneutraler Materialbereich vorgesehen wurde, während der Bereich 119n eine hohe innere Verspannung zur Verbesserung der Eigenschaften des Transistors 150n aufweist, wird das Material 119c mit hoher innerer Verspannung so vorgesehen, dass in dem Transistor 150p eine Verformung hervorgerufen wird. In anderen anschaulichen Ausführungsformen wird das Material 119c mit im Wesentlichen der gleichen Materialzusammensetzung wie die Schicht 119 bereitgestellt, jedoch mit Prozessparametern, die so ausgewählt sind, dass das Spaltfüllverhalten anstatt der internen Verspannung verbessert ist.
  • 1e zeigt schematisch das Halbleiterbauelement 100 während einer Prozesssequenz zum Einebnen der Oberflächentopografie und schließlich das Freilegen des oberen Teils der Gateelektrodenstrukturen 110. Beispielsweise ist in der in 1e gezeigten Fertigungsphase Material des Teils 119c auf Grundlage gut etablierter CMP-(chemische mechanische Polier-)Verfahren entfernt, wobei geeignete selektive Rezepte verwendet werden, wenn der Teil 119c aus einem unterschiedlichen Material im Vergleich zu dem Schichtbereich 119 aufgebaut ist. Beispielsweise sind sehr selektive CMP-Rezepte für Siliziumdioxid und Siliziumnitrid verfügbar. In anderen Fällen besitzen die Materialien der Teile 119c und 119 im Wesentlichen die gleiche Zusammensetzung und ein entsprechender CMP-Prozess kann fortgesetzt werden, bis schließlich die Metallsilizidgebiete 111 freigelegt werden. In anderen Fällen, wie in 1e gezeigt ist, wird der entsprechende CMP-Prozess beim Freilegen einer Oberfläche 119s des Schichtbereichs 119 angehalten und danach wird ein weiterer Prozess, beispielsweise in Form eines nicht-selektiven CMP-Prozesses, eines Ätzprozesses, und dergleichen ausgeführt.
  • 1f zeigt schematisch das Halbleiterbauelement 100 nach dem Ende der oben beschriebenen Prozesssequenz. Beispielsweise kann ein nicht-selektiver plasmagestützter Ätzprozess eingesetzt werden, um schließlich die obere Fläche 111s der Gateelektrodenstrukturen, d. h. die Metallsilizidgebiete 111, freizulegen.
  • 1g zeigt schematisch das Halbleiterbauelement 100 mit einer darauf ausgebildeten geeigneten Ätzmaske 121, um damit einen der Transistoren 150p, 150n während eines nachfolgenden selektiven Ätzprozesses zum Entfernen von Material der Gateelektrodenstrukturen 110 zu schützen. In der in 1g gezeigten Ausführungsform bedeckt die Ätzmaske 111, die in Form einer Lackmaske und dergleichen vorgesehen wird, den Transistor 150n ab und bedeckt auch andere Bauteilstrukturen des Halbleiterbauelements 100, für die zumindest ein Teil der ursprünglich hergestellten Gateelektrodenstrukturen vorbehalten werden soll. Beispielsweise besitzt in anderen Bauteilbereichen die zuvor ausgebildete Isolationsschicht 112 eine geeignete Dicke und Konfiguration und somit wird zumindest ein Teil des entsprechenden Gateelektrodenmaterials 113 und die Gateisolationsschicht 112 beibehalten.
  • 1h zeigt schematisch das Halbleiterbauelement 100 während eines selektiven Ätzprozesses 122, um selektiv das Gateelektrodenmaterial 113 mit verbleibendem Metallsilizid 111 des Transistors 150p zu entfernen. Wenn beispielsweise das Gateelektrodenmaterial 113 im Wesentlichen aus Polysilizium aufgebaut ist, können gut etablierte plasmagestützte Rezepte angewendet werden, beispielsweise auf der Grundlage von Wasserstoffbromid (HBr), um selektiv Siliziummaterial bei Anwesenheit der Abstandshalterstruktur 114 und dem Rest des ersten dielektrischen Zwischenschichtmaterials 119f zu ätzen.
  • In anderen anschaulichen Ausführungsformen wird der Ätzprozess 122 auf der Grundlage eines geeigneten nass-chemischen Rezepts durchgeführt, wodurch ein gewünschtes Maß an Ätzselektivität in Bezug auf die Materialien der Abstandshalterstruktur 114 und des dielektrischen Zwischenschichtmaterials 119f erreicht wird. Es kann beispielsweise eine Lösung mit TMAH (Tetramethylammoniumhydroxid) verwendet werden, wobei TMAH die Grundkomponente eines Fotolithografieentwicklermaterials ist, das auch Silizium ätzt, wenn es in höheren Konzentrationen und bei höheren Temperaturen angewendet wird. Andererseits sind Siliziumdioxid und Siliziumnitrid äußerst resistent gegen diese Lösung.
  • Des Weiteren kann der Ätzprozess 122 einen Ätzschritt beinhalten, um das konventionelle Gateisolationsmaterial 122 zu entfernen, beispielsweise auf der Grundlage von Flusssäure und dergleichen. Vor oder nach diesem zusätzlichen Ätzschritt zum Entfernen der Gateisolationsschicht 112 wird die Ätzmaske 121 entfernt.
  • 1i zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz. In einigen anschaulichen Ausführungsformen wird das Bauelement 100, wie es in 1i gezeigt ist, einer Behandlung 123 unterzogen, um damit ein dünnes dielektrisches Material 112a über dem Kanalgebiet 117 auszubilden, wenn ein direkter Kontakt eines dielektrischen Materials mit großem ε, das noch herzustellen ist, mit dem Material des Kanalgebiets 117 als ungeeignet erachtet wird, da einige dielektrische Materialien mit großem ε zu einer Beeinträchtigung der Beweglichkeit führen, wenn diese in direktem Kontakt mit einem siliziumbasierten Material sind. Beispielsweise wird das dielektrische Material 112a in Form eines Oxids gesehen, wobei jedoch eine deutliche reduzierte Dicke im Vergleich zu dem konventionellen Material 112 angewendet wird. Beispielsweise kann die Dicke der Schicht 112a im Bereich von ungefähr 4 bis 6 Å liegen. In anderen Fällen wird ein anderes geeignetes dielektrisches Material, etwa Siliziumnitrid, und dergleichen eingesetzt. Die Behandlung 123 kann einen beliebigen geeigneten Prozess umfassen, etwa eine nasschemische Oxidation, um damit die Schicht 112, falls dies erforderlich ist, in einer gut steuerbaren Weise vorzusehen. In anderen Fallen wird die Behandlung 123 als ein Prozess zum Einbau einer gewünschten Gattungssorte ausgeführt, etwa von Stickstoff, Sauerstoff und dergleichen, wobei dies auf der Grundlage eines plasmaunterstützten Prozesses erfolgt, um die Schicht 112a mit der gewünschten Dicke zu bilden.
  • 1j zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein dielektrisches Material mit großem ε und ein metallenthaltendes leitendes Material vorgesehen werden, um die konventionelle Gateelektrodenstruktur 110 zu ersetzen. Wie gezeigt, wird eine Schicht 124 aus dielektrischem Material mit großem ε, das eines der zuvor genannten Materialien repräsentieren kann, mit einer geeig neten Dicke in einem Bereich von ungefähr 15–25 Å in anspruchsvollen Anwendungen in der Vertiefung gebildet wird, die durch das Entfernen der konventionellen Gateelektrodenstruktur 110 geschaffen wird. Des Weiteren wird eine geeignete metallenthaltende leitende Materialschicht 125 so gebildet, dass diese die zuvor ausgebildete Vertiefung ausfüllt, wobei, wie zuvor erläutert ist, das metallenthaltende Material 125 eine geeignete Austrittsarbeit aufweist, wie dies zum Erreichen einer gewünschten Schwellwertspannung für den Transistor 150p erforderlich ist. Beispielsweise können Titannitrid, Tantalnitrid, und dergleichen als geeignete Materialien für die Schicht 125 vorgesehen werden, wobei geeignet ausgewählte legierungsbildende Sorten eingebaut werden können, um in geeigneterweise die Austrittsarbeit der Schicht 125 einzustellen.
  • Das dielektrische Material mit großem ε 124 wird beispielsweise auf der Grundlage moderner ALD(Atomlageabscheide-)-Verfahren aufgebracht, in welchen beispielsweise ein selbstbegrenzender Prozess ausgeführt wird, um damit Schicht auf Schicht vorzusehen, wobei jede Teilschicht eine gut definierte Dicke aufweist, wodurch schließlich die gewünschte Gesamtdicke der Schicht 124 erhalten wird. Anschließend wird das metallenthaltende Material der Schicht 125 abgeschieden, beispielsweise durch physikalische Dampfabscheidung, CVD, elektro-chemische Abscheideverfahren und dergleichen, abhängig von der Art des verwendeten Metalls. Beispielsweise können Tantalnitrid- oder Titannitridbasierte Materialien auf der Grundlage gut etablierter PVD-(physikalische Dampfabscheidung-)Rezepte aufgebracht werden.
  • 1k zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen von überschüssigen Materialschichten 124 und 125. Zu diesem Zweck kann ein CMP-Prozess eingesetzt werden, wobei das dielektrische Zwischenschichtmaterial 119f als eine Ätzstoppschicht dient. In einigen anschaulichen Ausführungsformen wird anschließend ein im Wesentlichen nicht-selektiver CMP-Schritt ausgeführt, um die Oberflächenebenheit weiter zu verbessern, wobei auch zuverlässig Metallreste entfernt werden oder wodurch die gewünschte Höhe der Gateelektrodenstrukturen eingestellt wird.
  • 1l zeigt schematisch das Hableiterbauelement 100 mit einer weiteren Ätzmaske 126, etwa einer Lackmaske, um den Transistor 150p abzudecken, während der Transistor 150n freiliegt. Wie zuvor mit Bezug zu der Ätzmaske 121 erläutert ist, kann auch in diesem Falle die Maske 126 andere Bauteilstrukturelemente des Halbleiterbauelements 100 abdecken, die während eines nachfolgenden selektiven Ätzprozesses zum Entfernen der Gateelektrodenstruktur 110 des Transistors 150n zu schützen sind. Somit können durch geeignetes Gestalten der Ätzmaske 126 die konventionellen Gatelektrodenstrukturen 110 in speziellen Bauteilgebieten geschützt werden und damit beibehalten werden, wenn dies für das Funktionsverhalten dieser betrachteten Bauelemente geeignet ist.
  • 1m zeigt schematisch das Halbleiterbauelement 100 während eines selektiven Ätzprozesses 127, der so gestaltet ist, dass das Gateelektrodenmaterial 113 der Struktur 110 selektiv entfernt wird. Beispielsweise können ähnliche Prozessrezepte eingesetzt werden, wie sie auch zuvor mit Bezug zu dem Ätzprozess 123 beschrieben sind. In anderen Fallen wird, wenn der Ätzprozess 127 eine ausreichende Ätzselektivität in Bezug auf das Material 125 aufweist, die Ätzmaske 126 weggelassen, wodurch die Prozesskomplexität verringert wird. Wie zuvor erläutert ist, kann der Ätzprozess 127 einen Ätzschritt zum Entfernen des konventionellen Gatedielektrikumsmaterials 122 auf der Grundlage eines geeigneten Rezepts aufweisen. In einigen anschaulichen Ausführungsformen wird eine Oberflächenbehandlung durchgeführt, ähnlich zu der Behandlung 123, wie sie zuvor beschrieben ist, um damit eine dünne dielektrische Materialschicht auf dem freiliegenden Kanalgebiet 117 des Transistors 150n zu bilden. Dies kann durch eine Plasmabehandlung erreicht werden, wie dies zuvor erläutert ist, oder durch eine geeignete nass-chemische Behandlung, wobei die Ätzmaske 126 ebenfalls das Material 125 im Transistor 150p schützt. In anderen Fällen wird die Ätzmaske 126 vor dem Bilden eines entsprechenden dielektrischen Materials entfernt, wenn das dielektrische Material durch einen entsprechenden Ätzprozess zum Entfernen der Ätzmaske 126 angegriffen würde.
  • 1n zeigt schematisch das Halbleiterbauelement 100, wobei die Ätzmaske 126 entfernt ist, falls diese für den Ätzprozess 127 erforderlich ist, und mit einer dünnen dielektrischen Materialschicht 122a, die über dem Kanalgebiet 117 des Transistors 150n ausgebildet ist. Wie zuvor angegeben ist, kann die Schicht 112a bei freiliegendem Transistor 150p gebildet werden, was beispielsweise auf der Grundlage von Ozon enthaltendem Wasser bewerkstelligt werden kann, das im Wesentlichen das metallenthaltende Material 125 nicht angreift, während die freiliegende Oberfläche des Kanalgebiets 117 oxidiert wird.
  • 1o zeigt schematisch das Halbleiterbauelement 100 nach dem Abscheiden eines dielektrischen Materials mit großem ε 128, das das gleiche Material wie das Material 124 sein kann, oder das abhängig von der Prozessstrategie ein anderes Material repräsentieren kann. Des Weiteren ist eine metallenthaltende leitende Materialschicht 129 auf der dielektrischen Schicht 128 mit großem ε so ausgebildet, dass die Vertiefung über dem Kanalgebiet 117 des Transistors 150n ausgefüllt ist. Im Hinblick auf Prozessverfahren zur Herstellung der Schichten 128, 129 sei auf die entsprechende Prozessstrategien verwiesen, die mit Bezug zu den Schichten 124 und 125 erläutert sind. Es sollte jedoch beachtet werden, dass die metallenthaltende Schicht 129 in geeigneter Weise so gebildet ist, dass diese eine Austrittsarbeit aufweist, die der Leitfähigkeitsart des Transistors 150n angepasst ist.
  • 1p zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen von überschüssigem Material der Schichten 128 und 129, was auf der Grundlage eines CMP-Prozesses, von Ätzprozessen und dergleichen bewerkstelligt werden kann, wie dies zuvor mit Bezug zu den Schichten 124 und 125 beschrieben ist. Somit weist das Halbleiterbauelement 100 eine erste Austauschgateelektrodenstruktur 110p auf, die das dielektrische Material 124 mit großem ε und das metallenthaltende Material 125 aufweist, möglicherweise in Verbindung mit der dielektrischen Schicht 112a, und weist ferner eine zweite Austauschgateelektrodenstruktur 110n mit einem dielektrischen Material mit großem ε 128 und dem metallenthaltenden Material 129, möglicherweise in Verbindung mit der dielektrischen Schicht 112a. Auf der Grundlage der in 1p gezeigten Konfiguration kann die weitere Bearbeitung fortgesetzt werden, indem ein zweites dielektrisches Zwischenschichtmaterial vorgesehen wird, wodurch die Bauteilebene des Halbleiterbauelements 100 fertig gestellt wird.
  • Es sollte beachtet werden, dass typischerweise Gateelektroden unterschiedlicher Arten an Transistoren über entsprechenden Isolationsstrukturen (nicht gezeigt) gemäß gewisser Schaltungsarchitekturen verbunden sein können, um damit die Gateelektroden von p-Kanaltransistoren und n-Kanaltransistoren auf der Grundlage eines einzelnen Spannungssignals zu steuern. In diesem Falle können eines oder beide der dielektrischen Materialien mit großem ε 124 und 128 noch zwischen den jeweiligen Metallbereichen 125 und 129 an diesen speziellen Bauteilbereichen vorhanden sein, die daher zu einer elektrischen Isolierung entsprechender kombinierter Gateelektrodenbereiche führen können. In diesem Falle wird in einigen anschaulichen Ausführungsformen ein Teil der Austauschgateelektrodenstrukturen 110p, 110n entfernt und es wird ein geeignetes leitendes Material aufgefüllt, um damit eine elektrische Verbindung innerhalb der Gateelektrodenstrukturen herzustellen, die sich von einem p-Kanaltransistorbereich in einen n-Kanaltransistorbereich erstrecken.
  • 1q zeigt schematisch das Halbleiterbauelement 100 während eines entsprechenden selektiven Ätzprozesses 120, um Vertiefungen 110r in den Gateelektrodenstrukturen 110p, 110n herzustellen. Zu diesem Zweck werden ein geeignetes nass-chemisches Rezeptor oder ein plasmagestütztes Ätzrezept eingesetzt, mit welchem das metallenthaltende Material der Schichten 125, 129 mit Moderatorselektivität in Bezug auf das erste selektive Zwischenschichtmaterial 129f und/oder die Abstandshalterstruktur 114 geätzt wird. Während des Ätzprozesses 130 können auch freiliegende Bereiche der Schichten 124 und 128 abhängig von den Eigenschaften des Ätzprozesses 130 entfernt werden. Während des Ätzprozesses 130 können auch dünne Barrieren, die aus dem Material der Schichten 124 und 128 gebildet ist, und zwischen aneinanderstoßende Gateelektrodenbereiche (nicht gezeigt) auftritt, ebenfalls zuverlässig entfernt, wodurch die Ausbildung kombinierter Gateelektrodenleitungen, die Transistoren unterschiedlicher Leitfähigkeitsart verbinden, möglich ist.
  • 1r zeigt schematisch das Halbleiterbauelement 100 während eines Abscheideprozesses 122 zur Herstellung eines weiteren leitenden Materials 121, beispielsweise eines geeigneten metallenthaltenden Materials, über den Transistoren 150p, 150n, wodurch die Vertiefungen 100r aufgefüllt werden, In ähnlicher Weise bietet die Schicht 131 eine leitende Verbindung zwischen aneinanderstoßender Gateelektrodenbereiche (nicht gezeigt) in Bauteilbereichen über Isolationsstrukturen, die Transistoren unterschiedlicher Leitfähigkeitsart trennen. Als Nächstes wird das überschüssige Material der Schicht 131 beispielsweise auf der Grundlage von CMP entfernt, wie dies auch zuvor mit Bezug zu den Schichten 125 und 129 erläutert ist, um in zuverlässiger Weise elektrisch isolierte Austauschgateelektrodenstrukturen 110p, 110n zu schaffen, wobei für eine gewünschte Verbindung zwischen aneinanderstoßenden Gateelektrodenbereichen in anderen Bauteilbereichen gesorgt ist.
  • 1s zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Wie gezeigt, enthalten die Austauschgateelektrodenstrukturen 110p, 110n das leitende Material 131, falls dies erforderlich ist, und die Strukturen sind in dieser Fertigungsphase durch ein zweites dielektrisches Material 133 bedeckt, das in Form konventioneller dielektrischer Materialien, etwa Siliziumdioxid, vorgesehen sein kann. In anderen anschaulichen Ausführungsformen wird das zweite dielektrische Zwischenschichtmaterial 133 als ein stark verspanntes Material vorgesehen, um damit die verformungsinduzierenden Mechanismen weiter zu verbessern, zumindest in einem der Transistoren 150p, 150n. Wie zuvor erläutert ist, kann bei dem Bereitstellen einer ausreichenden Menge an stark verspanntem Material, benachbart zu den Transistoren 150p, 150n, ein Mangel an Spaltfüllvermögen der jeweiligen Abscheideprozesse auftreten. Aufgrund der vorhergehenden Prozesssequenz wird das erste dielektrische Zwischenschichtmaterial 119f mit einer verbesserten Oberflächentopografie vorgesehen, wobei möglicherweise Spalten zwischen benachbarten Transistoren mit geeigneten Verfahren gefüllt werden, etwa subatmosphärischer CVD und dergleichen, indem das Material 119c abgeschieden wird, so dass das zweite dielektrische Zwischenschichtmaterial 123 unter deutlich besseren Prozessbedingungen vorgesehen werden kann, wodurch das Abscheiden eines hoch verspannten Materials möglich ist, ohne dass eine Beschränkung im Hinblick auf das Spaltfüllvermögen auftritt. Somit wird in einigen anschaulichen Ausführungsformen ein stark verspanntes Material vorgesehen, das über einer Art an Transistor in geeigneter Weise entspannt werden kann, beispielsweise auf der Grundlage von Ionenimplantationsverfahren. In anderen Fällen wird ein geeignetes Abscheideregime eingesetzt, um Schichtbereiche unterschiedlicher Arten an Spannung über den jeweiligen Transistoren 150p, 150n vorzusehen, wobei die verbesserte Oberflächentopografie des ersten dielektrischen Materials 119f ein effizientes und zuverlässiges Strukturierungsschema ermöglicht. Danach kann ein weiteres geeignetes dielektrisches Zwischenschichtmaterial gebildet werden, etwa Siliziumdioxid und dergleichen, wobei gut etablierte Prozessstrategien eingesetzt werden.
  • Es gilt also: der hierin offenbarte Gegenstand stellt eine Technik zur Herstellung von Transistorelementen mit modernen Gateisolationsschichten mit Dielektrikum mit großem ε in Verbindung mit gut leitenden metallenthaltenden Elektrodenmaterialien mit geeignet ausgewählten Austrittsarbeitsfunktionen für unterschiedliche Transistorarten bereit. Da ein konventionell gestalteter Gateelektrodenstapel oder eine geeignete Platzhalterstruktur bewahrt werden kann, bis ein erster Teil eines dielektrischen Zwischenschichtmaterials lateral benachbart zu den Transistorelementen ausgebildet ist, wird ein hohes Maß an Prozesskompatibilität beibehalten, wodurch die Integration jeder Arten von verformungsinduzierenden Mechanismen möglich ist, etwa von "Verspannungsgedächtnisverfahren", verformten Halbleitermaterialien und dergleichen. Ferner können verspannte dielektrische Zwischenschichtmaterialien in sehr effizienter Weise vorgesehen werden, wobei die verbesserte Oberflächentopografie, die während des selektiven Ersetzens der konventionellen Gateelektrodenstapel erreicht wird, zu einer weiteren Verbesserung der Gesamtprozesssequenz beitragen kann.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden von dem Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich veranschaulichend für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (23)

  1. Verfahren mit: Bilden eines ersten Transistors mit einer Gateelektrodenstruktur über eine Halbleiterschicht; Bilden eines ersten dielektrischen Zwischenschichtmaterials über dem ersten Transistor; Entfernen von Material des ersten dielektrischen Zwischenschichtmaterials, um eine obere Fläche der ersten Gateelektrodenstruktur freizulegen; Ersetzen der ersten Gateelektrodenstruktur durch eine erste Austauschgateelektrodenstruktur, die ein Gatedielektrikumsmaterial mit großem ε aufweist; und Bilden eines zweiten dielektrischen Zwischenschichtmaterials über der ersten Austauschgateelektrodenstruktur.
  2. Verfahren nach Anspruch 1, wobei das erste dielektrische Zwischenschichtmaterial so gebildet wird, dass es eine hohe innere Verspannung aufweist, um eine Verformung in einem Kanalgebiet des ersten Transistors hervorzurufen.
  3. Verfahren nach Anspruch 1, wobei Bilden der ersten dielektrischen Zwischenschichtmaterial umfasst: Abscheiden einer ersten Materialschicht und einer zweiten Materialschicht, wobei die erste und die zweite Materialschicht unterschiedliche Materialzusammensetzungen aufweisen.
  4. Verfahren nach Anspruch 3, das ferner umfasst: Einebnen einer Oberflächentopografie zumindest des ersten dielektrischen Zwischenschichtmaterials vor dem Ersetzen der ersten Gateelektrodenstruktur.
  5. Verfahren nach Anspruch 4, wobei Bilden der ersten Austauschgateelektrodenstruktur umfasst: Bilden einer ersten Gateisolationsschicht mit einem Material mit großem ε, Abscheiden eines ersten metallenthaltenden leitenden Materials über dem dielektrischen Material mit großem ε und Entfernen von überschüssigem Material der ersten Gateisolationsschicht und des ersten metallenthaltenden leitenden Materials.
  6. Verfahren nach Anspruch 1, wobei Material der ersten Gateelektrodenstruktur durch einen selektiven Trockenätzprozess entfernt wird.
  7. Verfahren nach Anspruch 1, wobei Material der ersten Gateelektrodenstruktur durch einen selektiven Nassätzprozess entfernt wird.
  8. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines zweiten Transistors mit einer zweiten Gateelektrodenstruktur über der Halbleiterschicht; Bilden des ersten dielektrischen Zwischenschichtmaterials über dem zweiten Transistor; Entfernen von Material des ersten dielektrischen Zwischenschichtmaterials, um eine obere Fläche der zweiten Gateelektrodenstruktur freizulegen; Ersetzen der zweiten Gateelektrodenstruktur durch eine zweite Austauschgateelektrodenstruktur, die ein Gatedielektrikumsmaterial mit großem ε und ein zweites metallenthaltendes leitendes Material aufweist; und Bilden des zweiten dielektrischen Zwischenschichtmaterials über der zweiten Austauschgateelektrodenstruktur.
  9. Verfahren nach Anspruch 8, das ferner umfasst: selektives Entfernen von Material der ersten und der zweiten Austauschgateelektrodenstruktur, um Vertiefungen darin zu erzeugen und um die Vertiefungen mit einem dritten metallenthaltenden Material aufzufüllen.
  10. Verfahren nach Anspruch 8, wobei die erste Austauschgateelektrodenstruktur ein erstes metallenthaltendes leitendes Material aufweist, das eine erste Austrittsarbeit besitzt, wobei das zweite metallenthaltende leitende Material eine zweite Austrittsarbeit aufweist, die sich von der ersten Austrittsarbeit unterscheidet.
  11. Verfahren nach Anspruch 8, wobei Bilden des zweiten Teils des ersten dielektrischen Zwischenschichtmaterials umfasst: Abscheiden eines verspannten Materials über dem zweiten Bauteilgebiet, wobei das verspannte Material eine hohe innere Verspannung aufweist, um eine Verformung in einem Kanalgebiet des zweiten Transistors zu erzeugen.
  12. Verfahren nach Anspruch 8, wobei das zweite dielektrische Zwischenschichtmaterial über dem ersten Bauteilgebiet mit einer ersten inneren Verspannung und über dem zweiten Bauteilgebiet mit einer zweiten inneren Verspannung, die sich von der ersten inneren Verspannung unterscheidet, gebildet wird.
  13. Verfahren nach Anspruch 5, wobei Bilden der Gateisolationsschicht umfasst: Bilden einer ersten dielektrischen Schicht und Bilden einer zweiten dielektrischen Schicht, die das dielektrische Material mit großem ε aufweist.
  14. Verfahren mit: Bilden eines ersten dielektrischen Zwischenschichtmaterials über einem ersten Transistor und einem zweiten Transistor; selektives Ersetzen einer ersten Gateelektrodenstruktur des ersten Transistors durch eine erste Austauschgateelektrodenstruktur mit einer Gateisolationsschicht, die ein dielektrisches Material mit großem ε aufweist; selektives Ersetzen einer zweite Gateelektrodenstruktur des zweiten Transistors durch eine zweite Austauschgateelektrodenstruktur mit einer Gateisolationsschicht, die ein dielektrisches Material mit großem ε aufweist; und Bilden eines zweiten dielektrischen Zwischenmaterials über dem ersten und dem zweiten Transistor.
  15. Verfahren nach Anspruch 14, wobei Bilden des ersten dielektrischen Zwischenschichtmaterials umfasst: Bilden eines ersten Teils des ersten dielektrischen Zwischenschichtmaterials mit einer ersten Art an innerer Verspannung über dem ersten Transistor und Bilden eines zweiten Teils über dem zweiten Transistor.
  16. Verfahren nach Anspruch 14, das ferner umfasst: Einebnen einer Oberflächentopografie durch Entfernen von Material des ersten dielektrischen Zwischenschichtmaterials vor dem Ersetzen der ersten und der zweiten Gateelektrodenstruktur.
  17. Verfahren nach Anspruch 14, wobei Bilden des zweiten dielektrischen Zwischenschichtmaterials umfasst: Bilden eines verspannten Materials über dem ersten und/oder dem zweiten Transistor.
  18. Verfahren nach Anspruch 17, das ferner umfasst: Bilden eines ersten Teils des verspannten Materials mit einer ersten Art innerer Verspannung über dem ersten Transistor und eines zweiten Teils des verspannten Materials mit einer zweiten Art innerer Verspannung über dem zweiten Transistor.
  19. Verfahren nach Anspruch 14, das ferner umfasst: Bilden einer ersten Vertiefung in der ersten Austauschgateelektrodenstruktur und einer zweiten Vertiefung in der zweiten Austauschgateelektrodenstruktur und Füllen der ersten und der zweiten Vertiefung mit einem leitenden Material.
  20. Verfahren nach Anspruch 14, wobei selektives Austauschen der Gateelektrodenstruktur umfasst: Bilden einer ersten dielektrischen Schicht auf einem freiliegenden Oberflächenbereich nach dem Entfernen der Gateelektrodenstruktur und Bilden einer zweiten dielektrischen Schicht, die das dielektrische Material mit großem ε aufweist.
  21. Verfahren mit: Bilden eines ersten Transistors auf der Grundlage einer ersten Platzhalterstruktur; Bilden eines ersten dielektrischen Materials lateral benachbart zu dem ersten Transistor; und Ersetzen der ersten Platzhalterstruktur durch eine erste Gateelektrodenstruktur, die ein metallenthaltendes Gateelektrodenmaterial und eine Gateisolationsschicht mit einem dielektrischen Material mit großem ε aufweist.
  22. Verfahren nach Anspruch 21, das ferner umfasst: Bilden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material, wobei das erste und das zweite dielektrische Material ein dielektrisches Zwischenschichtmaterial für den ersten Transistor bilden.
  23. Verfahren nach Anspruch 22, das ferner umfasst: Bilden einer Vertiefung in der ersten Gateelektrodenstruktur und Füllen der Vertiefung mit einem leitenden Material vor dem Bilden des zweiten dielektrischen Material.
DE102007046849.2A 2007-09-29 2007-09-29 Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung Active DE102007046849B4 (de)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE102007046849.2A DE102007046849B4 (de) 2007-09-29 2007-09-29 Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US12/163,023 US20090087974A1 (en) 2007-09-29 2008-06-27 Method of forming high-k gate electrode structures after transistor fabrication
TW097137139A TW200933820A (en) 2007-09-29 2008-09-26 Method of forming high-k gate electrode structures after transistor fabrication
PCT/US2008/011257 WO2009045364A1 (en) 2007-09-29 2008-09-29 Method of forming high-k gate electrode structures after transistor fabrication
GB1010321A GB2468445A (en) 2007-09-29 2008-09-29 Method of forming high-K gate electrode structures after transistor fabrication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007046849.2A DE102007046849B4 (de) 2007-09-29 2007-09-29 Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung

Publications (2)

Publication Number Publication Date
DE102007046849A1 true DE102007046849A1 (de) 2009-04-16
DE102007046849B4 DE102007046849B4 (de) 2014-11-06

Family

ID=40435231

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007046849.2A Active DE102007046849B4 (de) 2007-09-29 2007-09-29 Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung

Country Status (5)

Country Link
US (1) US20090087974A1 (de)
DE (1) DE102007046849B4 (de)
GB (1) GB2468445A (de)
TW (1) TW200933820A (de)
WO (1) WO2009045364A1 (de)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009031113A1 (de) * 2009-06-30 2011-01-05 Globalfoundries Dresden Module One Llc & Co. Kg Technik zum Freilegen eines Platzhaltermaterials in einem Austausch-Gate-Verfahren durch Modifizieren der Abtragsrate verspannter dielektrischer Deckschichten
DE102009047306A1 (de) * 2009-11-30 2011-06-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallgateelektrodenstrukturen mit großem ε, die durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung hergestellt sind
US8198147B2 (en) 2009-08-31 2012-06-12 GlobalFoundries, Inc. Superior fill conditions in a replacement gate approach by using a tensile stressed overlayer
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE112011102606B4 (de) * 2010-09-11 2018-05-09 Globalfoundries Inc. Verfahren zur Herstellung einer Halbleiter-Transistoreinheit
DE112012003020B4 (de) * 2011-07-20 2020-12-24 International Business Machines Corporation Verfahren zum Herstellen einer Ersatz-Gate-Elektrode mit planaren Austrittsarbeits-Materialschichten

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5309619B2 (ja) * 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US7981801B2 (en) * 2008-09-12 2011-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) method for gate last process
US8017997B2 (en) * 2008-12-29 2011-09-13 International Business Machines Corporation Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
DE102009021489B4 (de) * 2009-05-15 2012-01-12 Globalfoundries Dresden Module One Llc & Co. Kg Erhöhen der Abscheidegleichmäßigkeit für eine zur Schwellwerteinstellung in einem aktiven Gebiet vorgesehene Halbleiterlegierung
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102009046260B4 (de) * 2009-10-30 2020-02-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
TWI463638B (zh) * 2009-12-30 2014-12-01 United Microelectronics Corp 半導體元件及其製造方法
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
CN102194693B (zh) * 2010-03-16 2013-05-22 中国科学院微电子研究所 一种半导体器件及其制造方法
KR101675373B1 (ko) 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8440519B2 (en) 2010-05-12 2013-05-14 International Business Machines Corporation Semiconductor structures using replacement gate and methods of manufacture
DE102010038737B4 (de) * 2010-07-30 2017-05-11 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
US9202913B2 (en) * 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8497210B2 (en) 2010-10-04 2013-07-30 International Business Machines Corporation Shallow trench isolation chemical mechanical planarization
US11018191B1 (en) * 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
CN102569076B (zh) * 2010-12-08 2015-06-10 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102543698B (zh) * 2010-12-22 2014-03-12 中芯国际集成电路制造(上海)有限公司 一种金属栅极的制作方法
CN102593000B (zh) * 2011-01-13 2015-01-14 中国科学院微电子研究所 半导体器件及其制造方法
US8574990B2 (en) * 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8421132B2 (en) 2011-05-09 2013-04-16 International Business Machines Corporation Post-planarization UV curing of stress inducing layers in replacement gate transistor fabrication
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US8586436B2 (en) * 2012-03-20 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
US9276004B2 (en) * 2012-03-30 2016-03-01 Broadcom Corporation ROM arrays having memory cell transistors programmed using metal gates
CN102637590A (zh) * 2012-04-06 2012-08-15 上海华力微电子有限公司 一种双应力薄膜的制备方法
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8803253B2 (en) * 2012-09-11 2014-08-12 Texas Instruments Incorporated Replacement metal gate process for CMOS integrated circuits
CN103681503B (zh) * 2012-09-19 2017-11-03 中国科学院微电子研究所 半导体器件制造方法
CN103681504B (zh) * 2012-09-19 2017-07-21 中国科学院微电子研究所 半导体器件制造方法
KR20140047920A (ko) * 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN103794562B (zh) * 2012-11-03 2018-02-13 中国科学院微电子研究所 半导体器件制造方法
CN103855092B (zh) * 2012-11-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8853084B2 (en) * 2013-01-31 2014-10-07 International Business Machines Corporation Self-adjusting gate hard mask
US8956931B2 (en) 2013-02-21 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a multi-gate device
US9059208B2 (en) * 2013-04-10 2015-06-16 International Business Machines Corporation Replacement gate integration scheme employing multiple types of disposable gate structures
CN104143534B (zh) * 2013-05-10 2018-05-15 中国科学院微电子研究所 半导体器件制造方法
CN104253049B (zh) * 2013-06-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
US9379021B2 (en) * 2013-10-03 2016-06-28 Applied Materials, Inc. Method to reduce K value of dielectric layer for advanced FinFET formation
FR3014244B1 (fr) * 2013-11-29 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede ameliore de realisation d'un substrat semi-conducteur contraint sur isolant
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US9660084B2 (en) * 2015-07-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
KR102292812B1 (ko) * 2015-08-18 2021-08-23 삼성전자주식회사 반도체 장치
US9780301B1 (en) * 2016-04-15 2017-10-03 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing mixed-dimension and void-free MRAM structure
WO2018004607A1 (en) * 2016-06-30 2018-01-04 Intel Corporation Co-integration of gan and self-aligned thin body group iv transistors
KR102342550B1 (ko) * 2017-06-09 2021-12-23 삼성전자주식회사 반도체 장치
CN110828377B (zh) * 2018-08-08 2022-06-21 联华电子股份有限公司 一种具有不对称功函数金属层的半导体元件

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6849511B2 (en) * 2000-03-24 2005-02-01 Fujitsu Limited Semiconductor device and method for fabricating the same including interconnection of two electrodes
DE102004052617A1 (de) * 2004-10-29 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen, und Verfahren zur Herstellung des Halbleiterelements
US20060286729A1 (en) * 2005-06-21 2006-12-21 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159782A (en) * 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6436840B1 (en) * 2000-10-19 2002-08-20 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer and a barrier layer for CMOS devices and method of making with a replacement gate process
US6528362B1 (en) * 2000-10-19 2003-03-04 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
US6495437B1 (en) * 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
JP2003347420A (ja) * 2002-05-23 2003-12-05 Nec Electronics Corp 半導体装置及びその製造方法
US6864163B1 (en) * 2002-10-30 2005-03-08 Advanced Micro Devices, Inc. Fabrication of dual work-function metal gate structure for complementary field effect transistors
US7748873B2 (en) * 2004-10-07 2010-07-06 Seoul Semiconductor Co., Ltd. Side illumination lens and luminescent device using the same
WO2006049834A1 (en) * 2004-10-29 2006-05-11 Advanced Micro Devices, Inc. A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US20060091490A1 (en) * 2004-11-03 2006-05-04 Hung-Wei Chen Self-aligned gated p-i-n diode for ultra-fast switching
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US8101485B2 (en) * 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
EP2087563B1 (de) * 2006-11-15 2014-09-24 The Regents of The University of California Leuchtdiode mit strukturierter leuchtstoff-umwandlungsschicht
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6849511B2 (en) * 2000-03-24 2005-02-01 Fujitsu Limited Semiconductor device and method for fabricating the same including interconnection of two electrodes
DE102004052617A1 (de) * 2004-10-29 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen, und Verfahren zur Herstellung des Halbleiterelements
US20060286729A1 (en) * 2005-06-21 2006-12-21 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8247281B2 (en) 2009-06-30 2012-08-21 GlobalFoundries, Inc. Technique for exposing a placeholder material in a replacement gate approach by modifying a removal rate of stressed dielectric overlayers
DE102009031113B4 (de) * 2009-06-30 2011-04-14 Globalfoundries Dresden Module One Llc & Co. Kg Technik zum Freilegen eines Platzhaltermaterials in einem Austausch-Gate-Verfahren durch Modifizieren der Abtragsrate verspannter dielektrischer Deckschichten
US8357575B2 (en) 2009-06-30 2013-01-22 Globalfoundries Inc. Technique for exposing a placeholder material in a replacement gate approach by modifying a removal rate of stressed dielectric overlayers
DE102009031113A1 (de) * 2009-06-30 2011-01-05 Globalfoundries Dresden Module One Llc & Co. Kg Technik zum Freilegen eines Platzhaltermaterials in einem Austausch-Gate-Verfahren durch Modifizieren der Abtragsrate verspannter dielektrischer Deckschichten
US8198147B2 (en) 2009-08-31 2012-06-12 GlobalFoundries, Inc. Superior fill conditions in a replacement gate approach by using a tensile stressed overlayer
DE102009039521B4 (de) * 2009-08-31 2018-02-15 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
US8232188B2 (en) 2009-11-30 2012-07-31 Globalfoundries Inc. High-K metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning
DE102009047306A1 (de) * 2009-11-30 2011-06-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallgateelektrodenstrukturen mit großem ε, die durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung hergestellt sind
US8652956B2 (en) 2009-11-30 2014-02-18 Globalfoundries Inc. High-k metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning
DE102009047306B4 (de) * 2009-11-30 2015-02-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE112011102606B4 (de) * 2010-09-11 2018-05-09 Globalfoundries Inc. Verfahren zur Herstellung einer Halbleiter-Transistoreinheit
DE112012003020B4 (de) * 2011-07-20 2020-12-24 International Business Machines Corporation Verfahren zum Herstellen einer Ersatz-Gate-Elektrode mit planaren Austrittsarbeits-Materialschichten

Also Published As

Publication number Publication date
DE102007046849B4 (de) 2014-11-06
GB2468445A (en) 2010-09-08
WO2009045364A1 (en) 2009-04-09
TW200933820A (en) 2009-08-01
US20090087974A1 (en) 2009-04-02
GB201010321D0 (en) 2010-08-04

Similar Documents

Publication Publication Date Title
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007020258B4 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE102005030583B4 (de) Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
DE102009015747B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
DE102010038737B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102005057073B4 (de) Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
DE102009006802B3 (de) Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102007025342B4 (de) Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102009023298B4 (de) Verformungserhöhung in Transistoren mit einer eingebetteten verformungsinduzierenden Halbleiterlegierung durch Erzeugen von Strukturierungsungleichmäßigkeiten an der Unterseite der Gateelektrode
DE102010063296B4 (de) Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102009055393B4 (de) Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
DE102009015715A1 (de) Bewahren der Integrität eines Gatestapels mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstandes einer verformungsinduzierenden Halbleiterlegierung verwendet wird
DE102006030264B4 (de) Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final