WO2006049834A1 - A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same - Google Patents

A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same Download PDF

Info

Publication number
WO2006049834A1
WO2006049834A1 PCT/US2005/036779 US2005036779W WO2006049834A1 WO 2006049834 A1 WO2006049834 A1 WO 2006049834A1 US 2005036779 W US2005036779 W US 2005036779W WO 2006049834 A1 WO2006049834 A1 WO 2006049834A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor
regions
layer
dielectric layer
place holder
Prior art date
Application number
PCT/US2005/036779
Other languages
French (fr)
Inventor
Manfred Horstmann
Ekkehard Pruefer
Wolfgang Buchholtz
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102004052617A external-priority patent/DE102004052617B4/en
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to JP2007538967A priority Critical patent/JP2008518476A/en
Publication of WO2006049834A1 publication Critical patent/WO2006049834A1/en
Priority to GB0708894A priority patent/GB2434036A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • a SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR REGIONS HAVING DIFFERENTLY STRAINED CHANNEL REGIONS AND A METHOD OF MANUFACTURING
  • the present invention relates to the formation of integrated circuits, and, more particularly, to the formation of semiconductor regions of increased charge carrier mobility, such as a channel region of a field effect transistor, by creating strain in the semiconductor region.
  • MOS complementary metal-oxide-semiconductor
  • a MOS transistor irrespective of whether an N-channel transistor or a P-channel transistor is considered, comprises so-called PN junctions that are formed by an interface of highly doped drain and source regions with a slightly doped or non-doped channel region disposed between the drain region and the source region.
  • the conductivity of the channel region i.e., the drive current capability of the conductive channel, is controlled by a gate electrode formed adjacent to the channel region and separated therefrom by a thin insulating layer.
  • the conductivity of the channel region upon formation of a conductive channel due to the application of an appropriate control voltage to the gate electrode depends on the dopant concentration, the mobility of the charge carriers, and, for a given extension of the channel region in the transistor width direction, on the distance between the source and drain regions, which is also referred to as channel length.
  • the conductivity of the channel region substantially affects the performance of the MOS transistors.
  • the speed of creating the channel i.e., the conductivity of the gate electrode, and the channel resistivity substantially determine the transistor characteristics
  • the reduction of the channel length, and associated therewith the reduction of channel resistivity and increase of gate resistivity renders the channel length a dominant design criterion for accomplishing an increase in the operating speed of the integrated circuits.
  • the vertical location of the PN junctions with respect to the gate insulation layer also represents a critical design cnie ⁇ on in view ot leakage current control, as reducing the channel length also requires reducing the depth of the drain and source regions with respect to the interface formed by the gate insulation layer and the channel region, thereby requiring sophisticated implantation techniques.
  • epitaxially grown regions are formed with a specified offset to the gate electrode, which are referred to as raised drain and source regions, to provide increased conductivity of the raised drain and source regions, while at the same time maintaining a shallow PN junction with respect to the gate insulation layer.
  • the problem of increased resistivity of polysilicon gate electrodes in extremely scaled devices is addressed by replacing the currently used doped polysilicon by a metal as the gate electrode material, while nevertheless maintaining a self-aligned process sequence for the formation of the drain and source regions and the gate electrode.
  • This may be accomplished by forming a dummy gate which may, in combination with removable sidewall spacers, act as an implantation mask during the formation of the drain and source regions.
  • the dummy gate may be replaced by a highly conductive gate material, such as a metal.
  • the continuous size reduction of the critical dimensions i.e., the gate length of the transistors
  • at least two mechanisms may be used, in combination or separately, to increase the mobility of the charge carriers in the channel region.
  • the dopant concentration within the channel region may be reduced, thereby reducing scattering events for the charge carriers and thus increasing the conductivity.
  • the lattice structure in the channel region may be modified, for instance by creating tensile or compressive stress to produce a corresponding strain in the channel region, which results in a modified mobility for electrons and holes, respectively.
  • creating tensile strain in the channel region increases the mobility of electrons, wherein, depending on the magnitude and direction of the tensile strain, an increase in mobility of up to 120% or more may be obtained, which, in turn, may directly translate into a corresponding increase in the conductivity.
  • compressive strain in the channel region may increase the mobility of holes, thereby providing the potential for enhancing the performance of P-type transistors.
  • the introduction of stress or strain engineering into integrated circuit fabrication is an extremely promising approach for further device generations, since, for example, strained silicon may be considered as a "new" type of semiconductor, which may enable the fabrication of fast powerful semiconductor devices without requiring expensive semiconductor materials and manufacturing techniques.
  • the present invention is directed to a technique that enables the combination of the process strategies having the potential for forming enhanced transistor architectures, such as transistor elements including so-called "in-laid” gate electrodes, with enhanced stress or strain engineering to provide at least two different magnitudes or types of strain in two different semiconductor regions. Consequently, different regions within a die area or across the entire substrate bearing a plurality of individual die areas may receive differently strained semiconductor regions to individually adapt the charge carrier mobility and thus the conductivity thereof to specified process and device requirements.
  • different types of transistors such as N-type or N-channel transistors and P-type or P-channel transistors, may receive a different type or a different magnitude of strain within the respective channel regions while at the same time the gate conductivity may be enhanced, if desired, due to the possibility of forming in-laid gate electrode structures on the basis of highly conductive materials, such as metals.
  • a method comprises forming a first place holder structure above a first semiconductor region formed in a semiconductor layer that is located on a substrate.
  • a second place holder structure is formed above a second semiconductor region which is formed in the semiconductor layer, and a dielectric layer having a specified intrinsic stress is deposited above the semiconductor layer to enclose the first and second place holder structures.
  • a portion of the dielectric layer enclosing the second place holder structure is modified to change the intrinsic stress within the portion.
  • the first and second place holder structures are replaced by a conductive material.
  • a method comprises forming a first place holder structure above a first channel region of a first transistor and forming a second place holder structure above a second channel region of a second transistor. Moreover, first drain and source regions are formed adjacent to the first channel region and second drain and source regions are formed adjacent to the second channel region. Furthermore, above the first drain and source regions, a first dielectric layer having a first intrinsic stress is formed, and, above the second drain and source regions, a second dielectric layer having a second intrinsic stress that differs from the first intrinsic stress is formed. Finally, the first place holder structure is replaced with a first gate electrode structure and the second place holder structure is replaced with a second gate electrode structure.
  • a semiconductor device comprises a first transistor element having a first gate electrode with a first height and a second transistor element having a second gate electrode with a second height.
  • the device further comprises a first dielectric layer having a first intrinsic stress and laterally enclosing the first gate electrode, wherein the first intrinsic stress acts substantially homogenously within the first dielectric layer up to the first height.
  • the device comprises a second dielectric layer having a second intrinsic stress and laterally enclosing the second gate electrode, wherein the second intrinsic stress differs from the first intrinsic stress and acts substantially homogenously within the second dielectric layer up to the second height.
  • Figures Ia-Ih schematically show cross-sectional views of a semiconductor device during various manufacturing stages, wherein different strain is created at different semiconductor regions by means of respective stress layers formed in the vicinity of the semiconductor regions in accordance with a process strategy that enables the formation of in-laid gate electrode structures;
  • Figure 2 schematically depicts a semiconductor device in cross-sectional view during a manufacturing stage in which an intrinsic stress of a stress layer is locally modified in accordance with further illustrative embodiments.
  • Figures 3a and 3b schematically show a semiconductor device in cross-section in a manufacturing stage during which ion species are deposited at certain locations to enhance the stress transfer into respective semiconductor regions in accordance with further illustrative embodiments of the present invention.
  • the present invention is based on the concept that strain in a semiconductor region, such as a channel region of a transistor element, may highly efficiently be generated by a material layer having a specified intrinsic stress that is formed close to the semiconductor region of interest.
  • a process strategy that allows an effective local adjustment of strain within a die area or within different substrate areas including a plurality of die areas and even at a very small scale, such as at different channel regions of a complementary transistor pair, an enhanced strain engineering may be combined with an enhanced transistor architecture, thereby providing high gate conductivity in combination with high charge carrier mobility and thus channel conductivity even for highly scaled transistor devices.
  • Figure Ia schematically shows a cross-sectional view of a semiconductor device 100 that comprises a substrate 101, which may represent any appropriate substrate for forming thereon circuit elements of integrated circuits such as microprocessors, storage chips and the like.
  • the substrate 101 may represent a bulk semiconductor substrate, such as a silicon substrate, or may represent, in particular embodiments, a silicon-on- insulator (SOI) substrate, wherein a semiconductor layer 102 may represent the crystalline silicon layer formed on an insulating layer (not shown) within the substrate 101.
  • SOI silicon-on- insulator
  • the semiconductor layer 102 may frequently be referred to silicon with respect to the semiconductor layer 102, wherein it should be appreciated that any other suitable semiconductor materials, such as gallium arsenide, germanium, silicon/germanium, or any other III-V or II-VI semiconductor materials, may also be used with the present invention.
  • the semiconductor layer 102 may represent an upper portion of a bulk semiconductor substrate, although it is shown as a separate layer.
  • the semiconductor device 100 comprises a first place holder structure 104a formed of any appropriate material, such as silicon dioxide, amorphous carbon, and the like.
  • the first place holder structure 104a is formed above a first semiconductor region 107a, which may represent a first channel region, if a transistor is to be formed by means of the first place holder 104a.
  • First doped regions 106a which may be arranged symmetrically or asymmetrically with respect to the first semiconductor region 107a, may be formed within the layer 102 and may be provided, in the embodiment shown, in the form of drain and source regions. That is, the vertical and lateral dopant profile of the first doped regions 106a may be designed in accordance with device requirements of a specified transistor type.
  • the doped regions 106a represent first drain and source regions having included therein a dopant material that imparts a specified type of conductivity to these regions.
  • the regions 106a may be N-doped and the regions 106a in combination with the first semiconductor region 107a may have the characteristics of an N-channel transistor.
  • sidewall spacers 105a are formed on sidewalls of the first place holder 104a, wherein the sidewall spacer 105a may differ in material composition from the first place holder 104a to exhibit, in particular embodiments, a desired high etch selectivity in subsequent etch procedures.
  • the sidewall spacer 105a may be comprised of amorphous carbon, silicon nitride, silicon dioxide and the like.
  • a second place holder structure 104b may be formed above a second semiconductor region 107b, which may, in some embodiments, represent the channel region of a second transistor element.
  • doped regions 106b may be formed adjacent to the second semiconductor region 107b to define, in particular embodiments, the drain and source regions and the channel region of a specified transistor type.
  • the second semiconductor region 107b enclosed by the doped regions 106b may be located next to the first semiconductor region 107a enclosed by the respective doped regions 106a, but separated therefrom by an isolation structure 103 which may be provided in the form of a trench isolation structure as is typically used in advanced semiconductor devices.
  • the regions 107b, 106b may be of the same type as the regions 107a, 106a or may represent a different type of transistor, such as a P-type or P-channel transistor.
  • the first and second semiconductor regions 107a, 107b may represent circuit elements that are located at very different positions within the same die area, but which require to receive a different type or magnitude of strain to provide different electrical characteristics.
  • the regions 107a, 107b may represent different circuit elements or even different die portions located at different substrate regions, such as a center region and a peripheral region, wherein the strain engineering for the first and second semiconductor regions 107a, 107b may provide more uniform electrical behavior of semiconductor devices fabricated on the central and peripheral regions of the substrate 101.
  • the material composition of the second place holder 104b and a sidewall spacer 105b formed on sidewalls thereof the same criteria apply as are referred to with respect to the corresponding components 104a and 105a.
  • a typical process flow for forming the semiconductor device 100 as shown in Figure Ia may comprise the following processes. After forming the substrate 101 including the semiconductor layer 102 or receiving the same from substrate manufacturers, implantation sequences may be performed to establish a specified vertical dopant profile within the first and second semiconductor regions 107a, 107b. Thereafter, the first and second place holders 104a, 104b may be formed by well-established deposition, photolithography and etch techniques, wherein a length of the first and second place holders 104a, 104b, that is, the horizontal dimension (or gate length dimension) of these components in Figure Ia, may be adapted to design requirements and may be approximately 100 run and significantly less for highly advanced integrated circuits.
  • dopant species may be introduced to form the doped regions 106a, 106b therein.
  • the device 100 may correspondingly be masked, for instance by a photoresist mask, to individually form the regions 106a, 106b with a desired type of dopant material.
  • the place holders 104a, 104b act as an implantation mask to substantially avoid dopant penetration of the respective semiconductor regions 107a, 107b.
  • the sidewall spacers 105a, 105b may be formed by depositing a corresponding layer of material and anisotropically etching the material layer.
  • a liner material may be deposited prior to a spacer material so as to not unduly damage the surface of the semiconductor layer 102 when exposed to the anisotropic etch atmosphere.
  • a corresponding liner is not shown in Figure 1 a.
  • a further implantation process may be performed, possibly on the basis of a further photoresist mask, wherein also the first and second place holders 104a, 104b in combination with the respective sidewall spacers 105a, 105b act as an implantation mask to obtain the desired lateral dopant profile in the doped regions 106a, 106b, respectively.
  • corresponding anneal cycles may be performed to activate the dopants in the regions 106a, 106b and re-crystallize damaged crystal portions.
  • corresponding anneal processes may be performed after one or more of the above-described implantations.
  • additional sidewall spacers (not shown) may be formed, followed by a further implantation step to obtain a more complex dopant profile within the regions 106a, 106b.
  • the sidewall spacers 105a, 105b may be removed by a selective etch process on the basis of well-established process recipes.
  • the spacers 105a, 105b when comprised of silicon nitride, may selectively be removed by hot phosphoric acid.
  • the spacers 105a, 105b may be removed by a plasma etch process, wherein, in some embodiments, the liner (not shown), typically used as an etch stop layer, may be maintained during the implantation cycle and may now be used as an etch stop layer during the removal of the spacers 105a, 105b.
  • the spacers 105a, 105b may be maintained during the further processing of the device 100.
  • Figure Ib schematically shows the device 100 in an advanced manufacturing stage.
  • the device 100 comprises a dielectric layer 108 having a specified intrinsic stress, which is formed to enclose the first and second place holders 104a, 104b.
  • intrinsic stress is to be understood as specifying a certain type of stress, that is tensile or compressive, or any variation thereof, i.e., orientation dependent tensile or compressive stress, as well as the magnitude of the stress.
  • the dielectric layer 108 may have an intrinsic tensile stress with a magnitude of approximately 0.1-1.0 GPa (Giga-Pascal).
  • the dielectric layer 108 may be comprised of any appropriate material, such as silicon nitride.
  • the device 100 further comprises a conformal etch stop layer 109 having a different material composition compared to the dielectric layer 108 and having a significantly smaller thickness compared to the dielectric layer 108.
  • the etch stop layer 109 may be comprised of silicon dioxide.
  • the etch stop layer 109 may be formed by well-established plasma enhanced chemical vapor deposition (PECVD) techniques on the basis of precursor materials, such as TEOS or silane.
  • PECVD plasma enhanced chemical vapor deposition
  • the dielectric layer 108 may be formed by PECVD techniques on the basis of well-known process recipes, wherein process parameters may be adjusted to achieve the desired intrinsic stress.
  • silicon nitride may be deposited with high compressive or tensile stress, wherein the type and magnitude of the stress may readily be adjusted by controlling process parameters, such as deposition temperature, deposition pressure, tool configuration, bias power for adjusting an ion bombardment during the deposition process, plasma power, and the like.
  • the resulting topography may be planarized, for instance by chemical mechanical polishing (CMP) in accordance with well-established process recipes.
  • CMP chemical mechanical polishing
  • excess material of the dielectric layer 108 may be removed to a specified degree to arrive at a substantially planar surface or, in some illustrative embodiments, the material removal may be continued until top surfaces of the first and second place holders 104a, 104b are exposed. In other embodiments, however, the further processing may be performed without planarizing the layer 108.
  • Figure Ic schematically shows the device 100 in a further advanced manufacturing stage, in which a portion of the layer 108, which has surrounded the second place holder 104b, is removed while the first place holder 104a is still embedded, at least laterally, by the remaining dielectric layer 108, which is now referred to as 108a. Furthermore, a resist mask 110 is formed on the device 100 to expose the second place holder 104b and the associated portion of the layer 102 including the etch stop layer 109, if provided.
  • the resist mask 110 may be formed in accordance with photolithography techniques that may also be used in differently doping P-type and N-type transistors and hence corresponding processes are well established. i nereaiter, the dielectric layer 108 may selectively be etched by an anisotropic process recipe to finally obtain the dielectric layer 108a having the specified intrinsic stress. During the anisotropic etch process, the etch stop layer 109, if provided, may prevent undue material removal and/or damage of exposed portions of the semiconductor layer 102.
  • Figure Id schematically shows the device 100 with a second dielectric layer 111 having a second specified intrinsic stress, which covers the dielectric layer portion 108a and the second place holder 104b and the exposed semiconductor layer 102 or the etch stop layer 109.
  • the exposed portion of the etch stop layer 109 may be removed prior to the deposition of the second dielectric layer 111, when the exposed portion of the etch stop layer 109 is considered inappropriate owing to any damage caused by the preceding anisotropic etch process of the dielectric layer 108.
  • a further etch stop layer similar to the layer 109 may be deposited, which may then also cover the dielectric layer portion 108a (shown in dashed lines) and may cover the exposed portions of the semiconductor layer 102 and the second place holder 104b.
  • this portion of the etch stop layer is still denoted as 109.
  • Providing the etch stop layer 109 on the semiconductor layer 102 may be advantageous in forming contact openings in a later manufacturing stage. In other embodiments, however, the etch stop layer 109 may be omitted.
  • the second dielectric layer 111 which may be comprised of any appropriate material, such as silicon nitride, may be deposited by well-established deposition recipes, wherein process parameters are controlled to provide the desired intrinsic stress in accordance with device requirements.
  • silicon nitride may readily be deposited on the basis of well-known process recipes with a wide range of compressive and tensile stress, for example reaching from 1.0 GPa compressive stress to 1.0 GPa tensile stress.
  • the intrinsic stress of the second dielectric layer 111 is designed to impart a compressive stress to the second semiconductor region 107b, when this region is to represent the channel region of a P-type transistor.
  • Figure Ie schematically shows the device 100 after the above-described process sequence.
  • the device 100 comprises a substantially planar topography with the layer portion 108a laterally enclosing the first place holder 104a and with a second layer portion 111b laterally enclosing the second place holder 104b. Consequently, a substantially homogeneously acting intrinsic stress of the layer portion 108a, herein shown as a tensile stress indicated as 118a, creates a respective deformation and thus strain in the first semiconductor region 107a, that is, in the present example a tensile strain, which typically increases the mobility of electrons in this region.
  • the layer portion 1 1 1 1b having the substantially homogeneously acting second intrinsic stress in this example illustrated in the form of a compressive stress 121b, correspondingly creates a deformation or strain within the second semiconductor region 107b, which is in the present example a compressive strain, thereby increasing the mobility of holes.
  • the intrinsic stress 118a may be compressive and the intrinsic stress 121b may be tensile, or the intrinsic stresses 118a and 121b may both be tensile or compressive and may differ in their magnitudes.
  • the intrinsic stress 118a or 121b may be selected to yield a substantially zero strain in the respective semiconductor region, whereas the other semiconductor region receives a desired magnitude of strain.
  • This configuration may be advantageous in providing more uniform electrical characteristics of P-type transistors and N-type transistors, wherein the mobility of the P-type transistors is to be increased, while the performance of the N-type transistors should not be deteriorated.
  • the removal of the place holders 104a, 104b may be accomplished by a selective etch process, which may include a plasma etch process and/or a wet chemical etch process.
  • a selective etch process which may include a plasma etch process and/or a wet chemical etch process.
  • the place holders 104a, 104b when comprised of silicon dioxide or amorphous carbon may readily be selectively etched with respect to the layer portions 108a, 111b when for instance comprised of silicon nitride, and with respect to the material of the first and second semiconductor regions 107a, 107b on the basis of well-established process recipes.
  • the removal process may include a plasma etch process for selectively removing the essential amount of the first and second place holders 104a, 104b, while the remaining portion of these place holders may then be removed by a highly isotropic or wet chemical etch process so as to not unduly damage the regions 107a, 107b.
  • damaged surface portions of the regions 107a, 107b may be oxidized, for instance by thermal oxidation or wet chemical oxidation, and the oxidized portion may be removed by a highly selective wet chemical etch process, for instance, on the basis of fluoric acid (HF) without significantly damaging the regions 107a, 107b.
  • HF fluoric acid
  • the gate insulation layers 113a, 1 13b may be formed by oxidation and/or deposition in accordance with design requirements.
  • the gate insulation layers 113a, 113b may be formed by thermal or wet chemical oxidation in accordance with well-established recipes to obtain a finely tuned layer thickness as required for advanced transistor devices.
  • a thickness of the gate insulation layer may range from 1.5 to several nanometers.
  • an extremely thin thermal oxide may be formed, followed by the deposition of an appropriate dielectric material to achieve the desired final thickness of the gate insulation layers 113a, 113b.
  • a corresponding deposited layer is shown in dashed lines and is indicated as 112.
  • the gate insulation layers 113a, 113b may also be formed by means of the deposited layer 112 only.
  • a dielectric layer such as the layer 112 may be deposited in a highly conformal fashion and with a precisely defined layer thickness, when the initial length 112a of the opening defined by the place holder 104a is considered too great for a desired value of the gate electrode to be formed.
  • the material deposited at the bottom of this opening i.e., on the region 107a, may be removed by an anisotropic etch process, similarly as is used in typical sidewall spacer techniques.
  • the gate length of transistor structures may be fine-tuned to compensate for fluctuations in the photolithography or to extend the resolution of the photolithography.
  • the respective gate insulation layers may be formed as is described above.
  • Figure Ig schematically shows the semiconductor device 100 with a layer of conductive material 123 formed above the structure of Figure If.
  • the layer 123 may be comprised of doped polysilicon or, in embodiments for highly advanced semiconductor devices, may comprise a metal or a metal compound.
  • the layer 123 may comprise tungsten, tungsten suicide, aluminum, nickel, copper, or any compounds thereof, and the like.
  • corresponding deposition techniques may be used. For instance, polysilicon, aluminum, tungsten, tungsten suicide, and the like may readily be deposited on the basis of well-established chemical vapor deposition (CVD) techniques.
  • CVD chemical vapor deposition
  • plating methods such as electroplating or electroless plating may be used for reliably filling the respective openings above the first and second semiconductor regions 107a, 107b. Thereafter, any excess material of the layer 123 may be removed by any appropriate technique, such as etching, chemical mechanical polishing, and any combination thereof.
  • Figure Ih schematically shows the semiconductor device 100 with the excess material of the layer 123 removed and with a further interlayer dielectric 126 formed as the uppermost layer of the resulting structure.
  • the device 100 comprises a gate electrode structure 124a above the first semiconductor region 107a and a second gate electrode structure 124b above the second semiconductor region 107b, thereby defining a first transistor element 130a and a second transistor element 130b.
  • the layer portion 108a provides the first intrinsic stress 118a acting substantially homogeneously on the gate electrode structure 124a up to a height 125a
  • the second layer portion 111b provides the second intrinsic stress 121b that acts substantially homogeneously on the second gate electrode structure 124b up to a height 125b thereof. Consequently, depending on the stresses 118a, 121b, respective deformations or strains are achieved in the associated semiconductor regions or channel regions 107a, 107b.
  • the charge carrier mobility in these channel regions is individually adjustable by correspondingly controlling the stress 1 18a, 121b.
  • the transistor configuration as shown in Figure Ih is substantially planar and enables a self-aligned formation of the doped regions 106a, 106b, i.e., the respective drain and source regions, with respect to the associated gate electrode structures 124a, 124b.
  • the gate electrode structures 124a, 124b may be formed of a highly conductive material, such as a metal, metal compound, highly doped polysilicon, or any combination thereof, and the like.
  • the gate electrode structures 124a, 124b are substantially comprised of a metal.
  • FIG 2 schematically shows a semiconductor device 200 in an intermediate manufacturing stage in accordance with further illustrative embodiments of the present invention.
  • the device 200 comprises the substrate 201 with the semiconductor layer 202 formed thereon including the first and second semiconductor regions 207a, 207b with the associated doped regions 206a, 206b.
  • the place holders 204a, 204b are laterally embedded into the dielectric layer 208 having a specified intrinsic stress.
  • the resist mask 210 is formed above the dielectric layer 208 to expose that portion of the device 200 that is associated with the second semiconductor region 207b.
  • the device 200 is subjected to an ion bombardment 240 to modify the stress characteristics of a layer portion 208b of the dielectric layer 208, which is not covered by the resist mask 210.
  • an ion bombardment 240 to modify the stress characteristics of a layer portion 208b of the dielectric layer 208, which is not covered by the resist mask 210.
  • heavy inert ions such as xenon, argon, silicon, and the like, may be implanted into the portion 208b, thereby relaxing, at least partially, the specified intrinsic stress. Consequently, the layer portion 208a maintains the specified intrinsic stress, thereby creating a specified deformation within the first semiconductor region 207a, while the corresponding strain in the second semiconductor region 207b may significantly differ therefrom, depending on the degree of relaxation within the layer portion 208b.
  • the dielectric layer 208 may have been deposited with a high compressive stress, for instance when the regions 206a, 207a are to represent a P-type transistor configuration, to significantly improve the hole mobility in the first semiconductor region 207a.
  • a high compressive stress for instance when the regions 206a, 207a are to represent a P-type transistor configuration, to significantly improve the hole mobility in the first semiconductor region 207a.
  • first and second semiconductor regions 207a, 207b may not necessarily need to represent different types of channel regions but may also represent identical channel regions, wherein for instance a different degree of operational behavior or a desired degree for adjustment of device uniformity may be achieved by the process technique as shown in Figure 2.
  • the further processing of the device 200 may then be continued as is also described with reference to the device 100 depicted in Figures Ie-Ih.
  • FIG 3a schematically shows a semiconductor device 300 in accordance with further illustrative embodiments of the present invention.
  • the device 300 may represent a device similar to that shown in Figure Ie so that similar or identical components are denoted by the same reference numbers except for a leading "3" instead of a "1.” Thus, a detailed description of these components is omitted here.
  • the device 300 is subjected to an ion implantation 350 for inserting a light ion species, such as hydrogen, helium or oxygen, into the semiconductor layer 302 or the substrate 301.
  • the ion implantation 350 is performed with a high dose and an appropriate energy to achieve a high impurity concentration at a desired depth within the layer 302 and/or the substrate 301.
  • initially implanted peak concentration may be selected to achieve a concentration in the range of approximately 10 2l -10 23 atoms/cm 3 .
  • Typical implantation parameters for helium or hydrogen may be approximately 3-15 keV, depending on the desired penetration depth with a dose of approximately 5 x 10 15 to 2 x 10 16 ions per cm 2 .
  • a heat treatment may be performed, for instance at temperatures of approximately 350-1,000 0 C and typically at approximately 700-950°C for a time period of several minutes to create "bubbles" or "voids" 351 within the layer 302 and/or the substrate 301.
  • the ion implantation 350 is performed through the layer portions 308a, 311b with the place holders 304a, 304b still being present, a substantially uniform depth for the bubbles 351 is achieved. Since a light inert species is introduced, the stop mechanism during the implantation is mainly based on an interaction with crystal electrons so that damage in the layers 308a, 31 Ib and thus stress relaxation is negligible. Due to the bubbles 351, a certain degree of mechanical decoupling of the regions 306a, 307a, 306b, 307b from the remaining layer 302 and/or the substrate 301 is achieved, thereby significantly enhancing the transfer of stress from the layer portions 308a, 311b into the respective regions 307a, 307b. Thus, the strain engineering of the regions 307a, 307b may significantly be enhanced and thus the charge carrier mobility and the channel conductivity may be improved more efficiently.
  • the ion implantation 350 may be performed at an earlier manufacturing stage, for instance prior to the formation of the layer portions 308a, 311b and possibly prior to the formation of the place holders 304a, 304b, thereby avoiding any relaxation effects, even though they may be very small as explained above.
  • the bubbles 351 may then be created during any anneal cycles for activating the dopants in the region 306a, 306b.
  • Figure 3b schematically shows the semiconductor device 300, in which the place holders 304a, 304b are removed prior to the ion implantation 350.
  • the implantation energy may be selected to position the light ion species within the semiconductor layer 302 substantially without affecting the regions 306a, 306b.
  • the semiconductor regions 307a, 307b may highly efficiently be decoupled from the remaining semiconductor layer 302 by means of the bubbles 351.
  • the stress transferred to the regions 307a, 307b is also significantly increased.
  • the bubbles 351 themselves may act as a source of stress, thereby also creating a corresponding strain within the respective regions 307a, 307b. In this way, two effective strain- inducing mechanisms may be combined.
  • the present invention provides a semiconductor device and a technique for forming the same wherein different semiconductor regions may receive a different strain, while the formation process allows the formation of planar transistor architectures including highly conductive gate electrodes.
  • a dielectric layer laterally enclosing the gate electrode structures of various transistor elements is locally modified such that at least two different strain components are obtained in the respective channel regions.
  • complementary transistor pairs may be formed, each transistor having a differently strained channel region.
  • the modification of the strain-inducing stress layer may be accomplished by removing a specified portion of the layer and replacing it with a layer portion of a different intrinsic stress and/or by relaxing the intrinsic stress to a desired degree.
  • the enhanced stress or strain engineering technique with a process for in-laid gate electrode structures, extremely highly conductive gate electrode structures may be attained, thereby providing enhanced gate and channel conductivity even for extremely scaled devices having a gate length of 100 nm and significantly less.
  • the local stress modification may advantageously be combined with mechanisms for effectively decoupling the channel regions from the surrounding material, thereby remarkably enhancing the efficiency of stress transfer into the respective channel regions.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

By locally modifying the intrinsic stress of a dielectric layer laterally enclosing gate electrode structures of a transistor configuration formed in accordance with in-laid gate techniques, the charge carrier mobility of different transistor elements may individually be adjusted. In particular, in in-laid gate structure transistor architecture, NMOS transistors and PMOS transistors may receive a tensile and a compressive stress, respectively.

Description

A SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR REGIONS HAVING DIFFERENTLY STRAINED CHANNEL REGIONS AND A METHOD OF MANUFACTURING
THE SAME BACKGROUND OF THE INVENTION
1. TECHNICAL FIELD
Generally, the present invention relates to the formation of integrated circuits, and, more particularly, to the formation of semiconductor regions of increased charge carrier mobility, such as a channel region of a field effect transistor, by creating strain in the semiconductor region.
2. BACKGROUND ART
The fabrication of integrated circuits requires the formation of a large number of circuit elements on a given chip area according to a specified circuit layout. For this purpose, substantially crystalline semiconductor regions with or without additional dopant materials are defined at specified substrate locations to act as "active" regions, that is, to act, at least temporarily, as conductive areas. Generally, a plurality of process technologies are currently practiced, wherein for complex circuitry, such as microprocessors, storage chips and the like, MOS technology is currently the most promising approach, due to the superior characteristics in view of operating speed and/or power consumption and/or cost efficiency. During the fabrication of complex integrated circuits using MOS technology, millions of transistors, i.e., N-channel transistors and/or P-channel transistors, are formed on a substrate including a crystalline semiconductor layer. A MOS transistor, irrespective of whether an N-channel transistor or a P-channel transistor is considered, comprises so-called PN junctions that are formed by an interface of highly doped drain and source regions with a slightly doped or non-doped channel region disposed between the drain region and the source region. The conductivity of the channel region, i.e., the drive current capability of the conductive channel, is controlled by a gate electrode formed adjacent to the channel region and separated therefrom by a thin insulating layer. The conductivity of the channel region upon formation of a conductive channel due to the application of an appropriate control voltage to the gate electrode, depends on the dopant concentration, the mobility of the charge carriers, and, for a given extension of the channel region in the transistor width direction, on the distance between the source and drain regions, which is also referred to as channel length. Hence, in combination with the capability of rapidly creating a conductive channel below the insulating layer upon application of the control voltage to the gate electrode, the conductivity of the channel region substantially affects the performance of the MOS transistors. Thus, as the speed of creating the channel, i.e., the conductivity of the gate electrode, and the channel resistivity substantially determine the transistor characteristics, the reduction of the channel length, and associated therewith the reduction of channel resistivity and increase of gate resistivity, renders the channel length a dominant design criterion for accomplishing an increase in the operating speed of the integrated circuits.
The continuing shrinkage of the transistor dimensions, however, entails a plurality of issues associated therewith that have to be addressed so as to not unduly offset the advantages obtained by steadily decreasing the channel length of MOS transistors. One major problem in this respect is the development of enhanced photolithography and etch strategies to reliably and reproducibly create circuit elements of critical dimensions, such as the gate electrode of the transistors, for a new device generation. Moreover, highly sophisticated dopant profiles, in the vertical direction as well as in the lateral direction, are required in the drain and source regions to provide low sheet and contact resistivity in combination with a desired channel controllability. In addition, the vertical location of the PN junctions with respect to the gate insulation layer also represents a critical design cnieπon in view ot leakage current control, as reducing the channel length also requires reducing the depth of the drain and source regions with respect to the interface formed by the gate insulation layer and the channel region, thereby requiring sophisticated implantation techniques. According to other approaches, epitaxially grown regions are formed with a specified offset to the gate electrode, which are referred to as raised drain and source regions, to provide increased conductivity of the raised drain and source regions, while at the same time maintaining a shallow PN junction with respect to the gate insulation layer.
In other conventional solutions, the problem of increased resistivity of polysilicon gate electrodes in extremely scaled devices is addressed by replacing the currently used doped polysilicon by a metal as the gate electrode material, while nevertheless maintaining a self-aligned process sequence for the formation of the drain and source regions and the gate electrode. This may be accomplished by forming a dummy gate which may, in combination with removable sidewall spacers, act as an implantation mask during the formation of the drain and source regions. After embedding the dummy gate in an interlayer dielectric, the dummy gate may be replaced by a highly conductive gate material, such as a metal. With this approach of an "in-laid" gate electrode, the transistor performance may significantly be improved. The problem of restricted channel conductivity, however, is not addressed by this approach.
Furthermore, since the continuous size reduction of the critical dimensions, i.e., the gate length of the transistors, necessitates the adaptation and possibly the new development of highly complex process techniques concerning the above-identified process steps, it has been proposed to also enhance device performance of the transistor elements by increasing the charge carrier mobility in the channel region for a given channel length, thereby offering the potential for achieving a performance improvement that is comparable with the advance to a future technology node of down-sized devices while avoiding many of the above process adaptations associated with device scaling. In principle, at least two mechanisms may be used, in combination or separately, to increase the mobility of the charge carriers in the channel region. First, the dopant concentration within the channel region may be reduced, thereby reducing scattering events for the charge carriers and thus increasing the conductivity. However, reducing the dopant concentration in the channel region significantly affects the threshold voltage of the transistor device, thereby presently making a reduction of the dopant concentration a less attractive approach unless other mechanisms are developed to adjust a desired threshold voltage. Second, the lattice structure in the channel region may be modified, for instance by creating tensile or compressive stress to produce a corresponding strain in the channel region, which results in a modified mobility for electrons and holes, respectively. For example, creating tensile strain in the channel region increases the mobility of electrons, wherein, depending on the magnitude and direction of the tensile strain, an increase in mobility of up to 120% or more may be obtained, which, in turn, may directly translate into a corresponding increase in the conductivity. On the other hand, compressive strain in the channel region may increase the mobility of holes, thereby providing the potential for enhancing the performance of P-type transistors. The introduction of stress or strain engineering into integrated circuit fabrication is an extremely promising approach for further device generations, since, for example, strained silicon may be considered as a "new" type of semiconductor, which may enable the fabrication of fast powerful semiconductor devices without requiring expensive semiconductor materials and manufacturing techniques.
Consequently, it has been proposed to introduce, for instance, a silicon/germanium layer or a silicon/carbon layer in or below the channel region to create tensile or compressive stress that may result in a corresponding strain. Although the transistor performance may be considerably enhanced by the introduction of stress-creating layers in or below the channel region, significant efforts have to be made to implement the formation of corresponding stress layers into the conventional and well-approved MOS technique. For instance, additional epitaxial growth techniques have to be developed and implemented into the process flow to form the germanium- or carbon-containing stress layers at appropriate locations in or below the channel region. Hence, process complexity is significantly increased, thereby also increasing production costs and the potential for a reduction in production yield.
In view of the above-described situation, there exists a need for an alternative technique that enables the creation of different desired stress conditions in different semiconductor regions, while providing the potential for the formation of improved transistor architectures including the introduction of highly conductive gate electrodes.
DISCLOSURE OF INVENTION
The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
Generally, the present invention is directed to a technique that enables the combination of the process strategies having the potential for forming enhanced transistor architectures, such as transistor elements including so-called "in-laid" gate electrodes, with enhanced stress or strain engineering to provide at least two different magnitudes or types of strain in two different semiconductor regions. Consequently, different regions within a die area or across the entire substrate bearing a plurality of individual die areas may receive differently strained semiconductor regions to individually adapt the charge carrier mobility and thus the conductivity thereof to specified process and device requirements. In particular, different types of transistors, such as N-type or N-channel transistors and P-type or P-channel transistors, may receive a different type or a different magnitude of strain within the respective channel regions while at the same time the gate conductivity may be enhanced, if desired, due to the possibility of forming in-laid gate electrode structures on the basis of highly conductive materials, such as metals.
According to one illustrative embodiment of the present invention, a method comprises forming a first place holder structure above a first semiconductor region formed in a semiconductor layer that is located on a substrate. A second place holder structure is formed above a second semiconductor region which is formed in the semiconductor layer, and a dielectric layer having a specified intrinsic stress is deposited above the semiconductor layer to enclose the first and second place holder structures. Additionally, a portion of the dielectric layer enclosing the second place holder structure is modified to change the intrinsic stress within the portion. Finally, the first and second place holder structures are replaced by a conductive material.
According to another illustrative embodiment of the present invention, a method comprises forming a first place holder structure above a first channel region of a first transistor and forming a second place holder structure above a second channel region of a second transistor. Moreover, first drain and source regions are formed adjacent to the first channel region and second drain and source regions are formed adjacent to the second channel region. Furthermore, above the first drain and source regions, a first dielectric layer having a first intrinsic stress is formed, and, above the second drain and source regions, a second dielectric layer having a second intrinsic stress that differs from the first intrinsic stress is formed. Finally, the first place holder structure is replaced with a first gate electrode structure and the second place holder structure is replaced with a second gate electrode structure. According to yet another illustrative embodiment of the present invention, a semiconductor device comprises a first transistor element having a first gate electrode with a first height and a second transistor element having a second gate electrode with a second height. The device further comprises a first dielectric layer having a first intrinsic stress and laterally enclosing the first gate electrode, wherein the first intrinsic stress acts substantially homogenously within the first dielectric layer up to the first height. Moreover, the device comprises a second dielectric layer having a second intrinsic stress and laterally enclosing the second gate electrode, wherein the second intrinsic stress differs from the first intrinsic stress and acts substantially homogenously within the second dielectric layer up to the second height.
BRIEF DESCRIPTION QF DRAWINGS
The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
Figures Ia-Ih schematically show cross-sectional views of a semiconductor device during various manufacturing stages, wherein different strain is created at different semiconductor regions by means of respective stress layers formed in the vicinity of the semiconductor regions in accordance with a process strategy that enables the formation of in-laid gate electrode structures;
Figure 2 schematically depicts a semiconductor device in cross-sectional view during a manufacturing stage in which an intrinsic stress of a stress layer is locally modified in accordance with further illustrative embodiments; and
Figures 3a and 3b schematically show a semiconductor device in cross-section in a manufacturing stage during which ion species are deposited at certain locations to enhance the stress transfer into respective semiconductor regions in accordance with further illustrative embodiments of the present invention.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
MODE(S) FOR CARRYING OUT THE INVENTION
Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
The present invention will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present invention with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present invention. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the under¬ standing of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, <.c, α αerinmon mat is αirrerem rrom me ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
The present invention is based on the concept that strain in a semiconductor region, such as a channel region of a transistor element, may highly efficiently be generated by a material layer having a specified intrinsic stress that is formed close to the semiconductor region of interest. By providing a process strategy that allows an effective local adjustment of strain within a die area or within different substrate areas including a plurality of die areas and even at a very small scale, such as at different channel regions of a complementary transistor pair, an enhanced strain engineering may be combined with an enhanced transistor architecture, thereby providing high gate conductivity in combination with high charge carrier mobility and thus channel conductivity even for highly scaled transistor devices. With reference to the accompanying drawings, further illustrative embodiments of the present invention will now be described in more detail.
Figure Ia schematically shows a cross-sectional view of a semiconductor device 100 that comprises a substrate 101, which may represent any appropriate substrate for forming thereon circuit elements of integrated circuits such as microprocessors, storage chips and the like. The substrate 101 may represent a bulk semiconductor substrate, such as a silicon substrate, or may represent, in particular embodiments, a silicon-on- insulator (SOI) substrate, wherein a semiconductor layer 102 may represent the crystalline silicon layer formed on an insulating layer (not shown) within the substrate 101. Since the vast majority of advanced integrated circuits fabricated in accordance with MOS techniques are fabricated on the basis of silicon, in the following detailed description it may frequently be referred to silicon with respect to the semiconductor layer 102, wherein it should be appreciated that any other suitable semiconductor materials, such as gallium arsenide, germanium, silicon/germanium, or any other III-V or II-VI semiconductor materials, may also be used with the present invention. Similarly, the semiconductor layer 102 may represent an upper portion of a bulk semiconductor substrate, although it is shown as a separate layer.
The semiconductor device 100 comprises a first place holder structure 104a formed of any appropriate material, such as silicon dioxide, amorphous carbon, and the like. The first place holder structure 104a is formed above a first semiconductor region 107a, which may represent a first channel region, if a transistor is to be formed by means of the first place holder 104a. First doped regions 106a, which may be arranged symmetrically or asymmetrically with respect to the first semiconductor region 107a, may be formed within the layer 102 and may be provided, in the embodiment shown, in the form of drain and source regions. That is, the vertical and lateral dopant profile of the first doped regions 106a may be designed in accordance with device requirements of a specified transistor type. Hence, in particular embodiments, the doped regions 106a represent first drain and source regions having included therein a dopant material that imparts a specified type of conductivity to these regions. In this embodiment, the regions 106a may be N-doped and the regions 106a in combination with the first semiconductor region 107a may have the characteristics of an N-channel transistor. Moreover, sidewall spacers 105a are formed on sidewalls of the first place holder 104a, wherein the sidewall spacer 105a may differ in material composition from the first place holder 104a to exhibit, in particular embodiments, a desired high etch selectivity in subsequent etch procedures. For example, the sidewall spacer 105a may be comprised of amorphous carbon, silicon nitride, silicon dioxide and the like. Similarly, a second place holder structure 104b may be formed above a second semiconductor region 107b, which may, in some embodiments, represent the channel region of a second transistor element. Moreover, doped regions 106b may be formed adjacent to the second semiconductor region 107b to define, in particular embodiments, the drain and source regions and the channel region of a specified transistor type. For example, the second semiconductor region 107b enclosed by the doped regions 106b may be located next to the first semiconductor region 107a enclosed by the respective doped regions 106a, but separated therefrom by an isolation structure 103 which may be provided in the form of a trench isolation structure as is typically used in advanced semiconductor devices. When representing a transistor configuration, the regions 107b, 106b may be of the same type as the regions 107a, 106a or may represent a different type of transistor, such as a P-type or P-channel transistor. It should be appreciated, however, that the first and second semiconductor regions 107a, 107b may represent circuit elements that are located at very different positions within the same die area, but which require to receive a different type or magnitude of strain to provide different electrical characteristics. Similarly, the regions 107a, 107b may represent different circuit elements or even different die portions located at different substrate regions, such as a center region and a peripheral region, wherein the strain engineering for the first and second semiconductor regions 107a, 107b may provide more uniform electrical behavior of semiconductor devices fabricated on the central and peripheral regions of the substrate 101. Regarding the material composition of the second place holder 104b and a sidewall spacer 105b formed on sidewalls thereof, the same criteria apply as are referred to with respect to the corresponding components 104a and 105a.
A typical process flow for forming the semiconductor device 100 as shown in Figure Ia may comprise the following processes. After forming the substrate 101 including the semiconductor layer 102 or receiving the same from substrate manufacturers, implantation sequences may be performed to establish a specified vertical dopant profile within the first and second semiconductor regions 107a, 107b. Thereafter, the first and second place holders 104a, 104b may be formed by well-established deposition, photolithography and etch techniques, wherein a length of the first and second place holders 104a, 104b, that is, the horizontal dimension (or gate length dimension) of these components in Figure Ia, may be adapted to design requirements and may be approximately 100 run and significantly less for highly advanced integrated circuits. Thereafter, dopant species may be introduced to form the doped regions 106a, 106b therein. Depending on device requirements, the device 100 may correspondingly be masked, for instance by a photoresist mask, to individually form the regions 106a, 106b with a desired type of dopant material. During these implantations, the place holders 104a, 104b act as an implantation mask to substantially avoid dopant penetration of the respective semiconductor regions 107a, 107b. Thereafter, the sidewall spacers 105a, 105b may be formed by depositing a corresponding layer of material and anisotropically etching the material layer. It should be appreciated that, typically, a liner material may be deposited prior to a spacer material so as to not unduly damage the surface of the semiconductor layer 102 when exposed to the anisotropic etch atmosphere. For convenience, a corresponding liner is not shown in Figure 1 a. Then, a further implantation process may be performed, possibly on the basis of a further photoresist mask, wherein also the first and second place holders 104a, 104b in combination with the respective sidewall spacers 105a, 105b act as an implantation mask to obtain the desired lateral dopant profile in the doped regions 106a, 106b, respectively. Then, corresponding anneal cycles may be performed to activate the dopants in the regions 106a, 106b and re-crystallize damaged crystal portions. Alternatively, corresponding anneal processes may be performed after one or more of the above-described implantations. It should be noted that in some examples when a highly sophisticated lateral dopant profile is required, additional sidewall spacers (not shown) may be formed, followed by a further implantation step to obtain a more complex dopant profile within the regions 106a, 106b. Thereafter, in particular embodiments, the sidewall spacers 105a, 105b may be removed by a selective etch process on the basis of well-established process recipes. For instance, the spacers 105a, 105b, when comprised of silicon nitride, may selectively be removed by hot phosphoric acid. In other examples, the spacers 105a, 105b may be removed by a plasma etch process, wherein, in some embodiments, the liner (not shown), typically used as an etch stop layer, may be maintained during the implantation cycle and may now be used as an etch stop layer during the removal of the spacers 105a, 105b. In other embodiments, the spacers 105a, 105b may be maintained during the further processing of the device 100.
Figure Ib schematically shows the device 100 in an advanced manufacturing stage. Here, the device 100 comprises a dielectric layer 108 having a specified intrinsic stress, which is formed to enclose the first and second place holders 104a, 104b. The term "intrinsic stress" is to be understood as specifying a certain type of stress, that is tensile or compressive, or any variation thereof, i.e., orientation dependent tensile or compressive stress, as well as the magnitude of the stress. Thus, in one embodiment, the dielectric layer 108 may have an intrinsic tensile stress with a magnitude of approximately 0.1-1.0 GPa (Giga-Pascal). The dielectric layer 108 may be comprised of any appropriate material, such as silicon nitride. In one illustrative embodiment, the device 100 further comprises a conformal etch stop layer 109 having a different material composition compared to the dielectric layer 108 and having a significantly smaller thickness compared to the dielectric layer 108. For example, the etch stop layer 109 may be comprised of silicon dioxide.
The etch stop layer 109, if provided, may be formed by well-established plasma enhanced chemical vapor deposition (PECVD) techniques on the basis of precursor materials, such as TEOS or silane. The dielectric layer 108 may be formed by PECVD techniques on the basis of well-known process recipes, wherein process parameters may be adjusted to achieve the desired intrinsic stress. For example, silicon nitride may be deposited with high compressive or tensile stress, wherein the type and magnitude of the stress may readily be adjusted by controlling process parameters, such as deposition temperature, deposition pressure, tool configuration, bias power for adjusting an ion bombardment during the deposition process, plasma power, and the like. For example, increased ion bombardment, that is increased bias power, during the deposition of silicon nitride promotes the creation of compressive stress given that the remaining parameters are the same. After the deposition of the dielectric layer 108, in some particular embodiments, the resulting topography may be planarized, for instance by chemical mechanical polishing (CMP) in accordance with well-established process recipes. Thereby, excess material of the dielectric layer 108 may be removed to a specified degree to arrive at a substantially planar surface or, in some illustrative embodiments, the material removal may be continued until top surfaces of the first and second place holders 104a, 104b are exposed. In other embodiments, however, the further processing may be performed without planarizing the layer 108.
Figure Ic schematically shows the device 100 in a further advanced manufacturing stage, in which a portion of the layer 108, which has surrounded the second place holder 104b, is removed while the first place holder 104a is still embedded, at least laterally, by the remaining dielectric layer 108, which is now referred to as 108a. Furthermore, a resist mask 110 is formed on the device 100 to expose the second place holder 104b and the associated portion of the layer 102 including the etch stop layer 109, if provided.
The resist mask 110 may be formed in accordance with photolithography techniques that may also be used in differently doping P-type and N-type transistors and hence corresponding processes are well established. i nereaiter, the dielectric layer 108 may selectively be etched by an anisotropic process recipe to finally obtain the dielectric layer 108a having the specified intrinsic stress. During the anisotropic etch process, the etch stop layer 109, if provided, may prevent undue material removal and/or damage of exposed portions of the semiconductor layer 102.
Figure Id schematically shows the device 100 with a second dielectric layer 111 having a second specified intrinsic stress, which covers the dielectric layer portion 108a and the second place holder 104b and the exposed semiconductor layer 102 or the etch stop layer 109. It should be noted that the exposed portion of the etch stop layer 109 may be removed prior to the deposition of the second dielectric layer 111, when the exposed portion of the etch stop layer 109 is considered inappropriate owing to any damage caused by the preceding anisotropic etch process of the dielectric layer 108. In this case, a further etch stop layer similar to the layer 109 may be deposited, which may then also cover the dielectric layer portion 108a (shown in dashed lines) and may cover the exposed portions of the semiconductor layer 102 and the second place holder 104b. For convenience, this portion of the etch stop layer is still denoted as 109. Providing the etch stop layer 109 on the semiconductor layer 102 may be advantageous in forming contact openings in a later manufacturing stage. In other embodiments, however, the etch stop layer 109 may be omitted.
The second dielectric layer 111, which may be comprised of any appropriate material, such as silicon nitride, may be deposited by well-established deposition recipes, wherein process parameters are controlled to provide the desired intrinsic stress in accordance with device requirements. As previously noted, silicon nitride may readily be deposited on the basis of well-known process recipes with a wide range of compressive and tensile stress, for example reaching from 1.0 GPa compressive stress to 1.0 GPa tensile stress. In one particular embodiment, the intrinsic stress of the second dielectric layer 111 is designed to impart a compressive stress to the second semiconductor region 107b, when this region is to represent the channel region of a P-type transistor. Thereafter, excess material of the dielectric layer 111 and possibly of the layer portion 108a, when the dielectric layer 108 has not been planarized or has been planarized to a level well above the first place holder 104a, as shown in Figures Ic and Id, may be removed by a CMP process, thereby also planarizing the topography of the device 100.
Figure Ie schematically shows the device 100 after the above-described process sequence. Hence, the device 100 comprises a substantially planar topography with the layer portion 108a laterally enclosing the first place holder 104a and with a second layer portion 111b laterally enclosing the second place holder 104b. Consequently, a substantially homogeneously acting intrinsic stress of the layer portion 108a, herein shown as a tensile stress indicated as 118a, creates a respective deformation and thus strain in the first semiconductor region 107a, that is, in the present example a tensile strain, which typically increases the mobility of electrons in this region. Similarly, the layer portion 1 1 1b having the substantially homogeneously acting second intrinsic stress, in this example illustrated in the form of a compressive stress 121b, correspondingly creates a deformation or strain within the second semiconductor region 107b, which is in the present example a compressive strain, thereby increasing the mobility of holes. It should be appreciated that other configurations may be contemplated for creating different strain in the semiconductor regions 107a, 107b. For example, the intrinsic stress 118a may be compressive and the intrinsic stress 121b may be tensile, or the intrinsic stresses 118a and 121b may both be tensile or compressive and may differ in their magnitudes. In other examples, the intrinsic stress 118a or 121b may be selected to yield a substantially zero strain in the respective semiconductor region, whereas the other semiconductor region receives a desired magnitude of strain. This configuration may be advantageous in providing more uniform electrical characteristics of P-type transistors and N-type transistors, wherein the mobility of the P-type transistors is to be increased, while the performance of the N-type transistors should not be deteriorated.
Figure If schematically shows the device 100 with the place holders 104a, 104b removed. Furthermore, respective gate insulation layers 113a, 113b are formed above the first and second semiconductor regions 107a, 107b, respectively.
The removal of the place holders 104a, 104b may be accomplished by a selective etch process, which may include a plasma etch process and/or a wet chemical etch process. For instance, the place holders 104a, 104b when comprised of silicon dioxide or amorphous carbon, may readily be selectively etched with respect to the layer portions 108a, 111b when for instance comprised of silicon nitride, and with respect to the material of the first and second semiconductor regions 107a, 107b on the basis of well-established process recipes. For example, the removal process may include a plasma etch process for selectively removing the essential amount of the first and second place holders 104a, 104b, while the remaining portion of these place holders may then be removed by a highly isotropic or wet chemical etch process so as to not unduly damage the regions 107a, 107b. In other embodiments, additionally or alternatively, damaged surface portions of the regions 107a, 107b may be oxidized, for instance by thermal oxidation or wet chemical oxidation, and the oxidized portion may be removed by a highly selective wet chemical etch process, for instance, on the basis of fluoric acid (HF) without significantly damaging the regions 107a, 107b.
After removing the place holders 104a, 104b, the gate insulation layers 113a, 1 13b may be formed by oxidation and/or deposition in accordance with design requirements. For example, the gate insulation layers 113a, 113b may be formed by thermal or wet chemical oxidation in accordance with well-established recipes to obtain a finely tuned layer thickness as required for advanced transistor devices. Thereby, a thickness of the gate insulation layer may range from 1.5 to several nanometers. In other embodiments, an extremely thin thermal oxide may be formed, followed by the deposition of an appropriate dielectric material to achieve the desired final thickness of the gate insulation layers 113a, 113b. A corresponding deposited layer is shown in dashed lines and is indicated as 112. It should be appreciated that the gate insulation layers 113a, 113b may also be formed by means of the deposited layer 112 only. In some illustrative embodiments, prior to the formation of the gate insulation layers 113a, 113b, a dielectric layer such as the layer 112 may be deposited in a highly conformal fashion and with a precisely defined layer thickness, when the initial length 112a of the opening defined by the place holder 104a is considered too great for a desired value of the gate electrode to be formed. Thereafter, the material deposited at the bottom of this opening, i.e., on the region 107a, may be removed by an anisotropic etch process, similarly as is used in typical sidewall spacer techniques. In this way, the gate length of transistor structures may be fine-tuned to compensate for fluctuations in the photolithography or to extend the resolution of the photolithography. Thereafter, the respective gate insulation layers may be formed as is described above.
Figure Ig schematically shows the semiconductor device 100 with a layer of conductive material 123 formed above the structure of Figure If. The layer 123 may be comprised of doped polysilicon or, in embodiments for highly advanced semiconductor devices, may comprise a metal or a metal compound. For example, the layer 123 may comprise tungsten, tungsten suicide, aluminum, nickel, copper, or any compounds thereof, and the like. Depending on the type of material used for the layer 123, corresponding deposition techniques may be used. For instance, polysilicon, aluminum, tungsten, tungsten suicide, and the like may readily be deposited on the basis of well-established chemical vapor deposition (CVD) techniques. In other cases, plating methods such as electroplating or electroless plating may be used for reliably filling the respective openings above the first and second semiconductor regions 107a, 107b. Thereafter, any excess material of the layer 123 may be removed by any appropriate technique, such as etching, chemical mechanical polishing, and any combination thereof.
Figure Ih schematically shows the semiconductor device 100 with the excess material of the layer 123 removed and with a further interlayer dielectric 126 formed as the uppermost layer of the resulting structure. Thus, the device 100 comprises a gate electrode structure 124a above the first semiconductor region 107a and a second gate electrode structure 124b above the second semiconductor region 107b, thereby defining a first transistor element 130a and a second transistor element 130b. Moreover, as shown in Figure Ih, the layer portion 108a provides the first intrinsic stress 118a acting substantially homogeneously on the gate electrode structure 124a up to a height 125a, whereas the second layer portion 111b provides the second intrinsic stress 121b that acts substantially homogeneously on the second gate electrode structure 124b up to a height 125b thereof. Consequently, depending on the stresses 118a, 121b, respective deformations or strains are achieved in the associated semiconductor regions or channel regions 107a, 107b. Hence, the charge carrier mobility in these channel regions is individually adjustable by correspondingly controlling the stress 1 18a, 121b. In particular, the transistor configuration as shown in Figure Ih is substantially planar and enables a self-aligned formation of the doped regions 106a, 106b, i.e., the respective drain and source regions, with respect to the associated gate electrode structures 124a, 124b. Moreover, the gate electrode structures 124a, 124b may be formed of a highly conductive material, such as a metal, metal compound, highly doped polysilicon, or any combination thereof, and the like. In particular embodiments, the gate electrode structures 124a, 124b are substantially comprised of a metal.
Figure 2 schematically shows a semiconductor device 200 in an intermediate manufacturing stage in accordance with further illustrative embodiments of the present invention. In Figure 2, identical or similar components as are shown in Figures 1 d and 1 e are denoted by the same reference signs except for a leading "2" instead of a "1." Hence, the device 200 comprises the substrate 201 with the semiconductor layer 202 formed thereon including the first and second semiconductor regions 207a, 207b with the associated doped regions 206a, 206b. The place holders 204a, 204b are laterally embedded into the dielectric layer 208 having a specified intrinsic stress. Moreover, the resist mask 210 is formed above the dielectric layer 208 to expose that portion of the device 200 that is associated with the second semiconductor region 207b. Regarding the formation of the device 200 as shown in Figure 2, it is referred to the description with reference to Figures Ia, Ib and Ic.
Moreover, the device 200 is subjected to an ion bombardment 240 to modify the stress characteristics of a layer portion 208b of the dielectric layer 208, which is not covered by the resist mask 210. For example, heavy inert ions, such as xenon, argon, silicon, and the like, may be implanted into the portion 208b, thereby relaxing, at least partially, the specified intrinsic stress. Consequently, the layer portion 208a maintains the specified intrinsic stress, thereby creating a specified deformation within the first semiconductor region 207a, while the corresponding strain in the second semiconductor region 207b may significantly differ therefrom, depending on the degree of relaxation within the layer portion 208b. For example, the dielectric layer 208 may have been deposited with a high compressive stress, for instance when the regions 206a, 207a are to represent a P-type transistor configuration, to significantly improve the hole mobility in the first semiconductor region 207a. By relaxing the initially compressive stress in the layer portion 208b to a specified degree, the amount of reduction of electron mobility within the second semiconductor region 207b, when designed as an N-type channel region, may tnen oe adjusted in accordance with design requirements. As already previously stated, the first and second semiconductor regions 207a, 207b may not necessarily need to represent different types of channel regions but may also represent identical channel regions, wherein for instance a different degree of operational behavior or a desired degree for adjustment of device uniformity may be achieved by the process technique as shown in Figure 2.
The further processing of the device 200 may then be continued as is also described with reference to the device 100 depicted in Figures Ie-Ih.
Figure 3a schematically shows a semiconductor device 300 in accordance with further illustrative embodiments of the present invention. The device 300 may represent a device similar to that shown in Figure Ie so that similar or identical components are denoted by the same reference numbers except for a leading "3" instead of a "1." Thus, a detailed description of these components is omitted here. Moreover, the device 300 is subjected to an ion implantation 350 for inserting a light ion species, such as hydrogen, helium or oxygen, into the semiconductor layer 302 or the substrate 301. The ion implantation 350 is performed with a high dose and an appropriate energy to achieve a high impurity concentration at a desired depth within the layer 302 and/or the substrate 301. For example, initially implanted peak concentration may be selected to achieve a concentration in the range of approximately 102l-1023 atoms/cm3. Typical implantation parameters for helium or hydrogen may be approximately 3-15 keV, depending on the desired penetration depth with a dose of approximately 5 x 1015 to 2 x 1016 ions per cm2. Thereafter, a heat treatment may be performed, for instance at temperatures of approximately 350-1,0000C and typically at approximately 700-950°C for a time period of several minutes to create "bubbles" or "voids" 351 within the layer 302 and/or the substrate 301. Since the ion implantation 350 is performed through the layer portions 308a, 311b with the place holders 304a, 304b still being present, a substantially uniform depth for the bubbles 351 is achieved. Since a light inert species is introduced, the stop mechanism during the implantation is mainly based on an interaction with crystal electrons so that damage in the layers 308a, 31 Ib and thus stress relaxation is negligible. Due to the bubbles 351, a certain degree of mechanical decoupling of the regions 306a, 307a, 306b, 307b from the remaining layer 302 and/or the substrate 301 is achieved, thereby significantly enhancing the transfer of stress from the layer portions 308a, 311b into the respective regions 307a, 307b. Thus, the strain engineering of the regions 307a, 307b may significantly be enhanced and thus the charge carrier mobility and the channel conductivity may be improved more efficiently.
It should be appreciated that in other embodiments the ion implantation 350 may be performed at an earlier manufacturing stage, for instance prior to the formation of the layer portions 308a, 311b and possibly prior to the formation of the place holders 304a, 304b, thereby avoiding any relaxation effects, even though they may be very small as explained above. The bubbles 351 may then be created during any anneal cycles for activating the dopants in the region 306a, 306b.
Figure 3b schematically shows the semiconductor device 300, in which the place holders 304a, 304b are removed prior to the ion implantation 350. In this case, the implantation energy may be selected to position the light ion species within the semiconductor layer 302 substantially without affecting the regions 306a, 306b. Hence, the semiconductor regions 307a, 307b may highly efficiently be decoupled from the remaining semiconductor layer 302 by means of the bubbles 351. Thus, the stress transferred to the regions 307a, 307b is also significantly increased. Moreover, the bubbles 351 themselves may act as a source of stress, thereby also creating a corresponding strain within the respective regions 307a, 307b. In this way, two effective strain- inducing mechanisms may be combined. As a result, the present invention provides a semiconductor device and a technique for forming the same wherein different semiconductor regions may receive a different strain, while the formation process allows the formation of planar transistor architectures including highly conductive gate electrodes. For this purpose, a dielectric layer laterally enclosing the gate electrode structures of various transistor elements is locally modified such that at least two different strain components are obtained in the respective channel regions. Thus, complementary transistor pairs may be formed, each transistor having a differently strained channel region. The modification of the strain-inducing stress layer may be accomplished by removing a specified portion of the layer and replacing it with a layer portion of a different intrinsic stress and/or by relaxing the intrinsic stress to a desired degree. Furthermore, due to the combination of the enhanced stress or strain engineering technique with a process for in-laid gate electrode structures, extremely highly conductive gate electrode structures may be attained, thereby providing enhanced gate and channel conductivity even for extremely scaled devices having a gate length of 100 nm and significantly less. Additionally, the local stress modification may advantageously be combined with mechanisms for effectively decoupling the channel regions from the surrounding material, thereby remarkably enhancing the efficiency of stress transfer into the respective channel regions.
The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.

Claims

CLAIMS WHAT IS CLAIMED:
1. A method, comprising: forming a first place holder structure 104a above a first semiconductor region 107a formed in a semiconductor layer 102 located on a substrate 101; forming a second place holder structure 104b above a second semiconductor region 107b formed in said semiconductor layer 102; depositing a dielectric layer 108 having a specified intrinsic stress above said semiconductor layer 102 to enclose said first and second place holder structures 104a, 104b; modifying a portion of said dielectric layer 108 enclosing said second place holder structure 104b to change said intrinsic stress of said portion; and replacing said first and second place holder structures 104a, 104b with a conductive material.
2. The method of claim 1, further comprising forming doped regions 106a, 106b in said semiconductor layer 102 adjacent to said first and second semiconductor regions 107a, 107b.
3. The method of claim 2, wherein forming said doped regions 106a, 106b comprises introducing at least one dopant species by an ion implantation process while using said first and second place holder structures as an implantation mask.
4. The method of claim 3, wherein forming said doped regions 106a, 106b comprises forming at least one sidewall spacer element on sidewalls of each of said first and second place holder structures and using said at least one sidewall spacer as an implantation mask at least during one step of said ion implantation process.
5. The method of claim 5, further comprising removing said at least one sidewall spacer prior to depositing said dielectric layer 108.
6. The method of claim 1 , wherein modifying said portion surrounding said second place holder structure 104b comprises removing said portion.
7. The method of claim 7, further comprising depositing a second dielectric layer 126 above said semiconductor layer 102, said second dielectric layer 126 having a second intrinsic stress that differs from the intrinsic stress of said dielectric layer 108.
8. The method of claim 8, further comprising removing material of said second dielectric layer 126 to expose a top surface of said second place holder structure 104b.
9. The method of claim 7, further comprising planarizing a surface of said second dielectric layer 126 prior to removing said portion surrounding said second place holder structure 104b.
10. The method of claim 1, wherein modifying said portion surrounding said second place holder structure 104b comprises selectively relaxing said intrinsic stress in said portion.
11. The method of claim 10, wherein said intrinsic stress is selectively relaxed by ion bombardment of said portion.
12. The method of claim 1, further comprising implanting an inert species into an area adjacent to at least one of said first semiconductor region 107a and said second semiconductor region 107b and heat treating said substrate to form voids caused by said inert species.
PCT/US2005/036779 2004-10-29 2005-10-12 A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same WO2006049834A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007538967A JP2008518476A (en) 2004-10-29 2005-10-12 Semiconductor device including semiconductor region having strain channel region distorted differently and method for manufacturing the same
GB0708894A GB2434036A (en) 2004-10-29 2007-05-09 A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102004052617A DE102004052617B4 (en) 2004-10-29 2004-10-29 A method of manufacturing a semiconductor device and semiconductor device having semiconductor regions having differently deformed channel regions
DE102004052617.6 2004-10-29
US11/145,697 US20060094193A1 (en) 2004-10-29 2005-06-06 Semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US11/145,697 2005-06-06

Publications (1)

Publication Number Publication Date
WO2006049834A1 true WO2006049834A1 (en) 2006-05-11

Family

ID=35892524

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/036779 WO2006049834A1 (en) 2004-10-29 2005-10-12 A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same

Country Status (4)

Country Link
JP (1) JP2008518476A (en)
KR (1) KR20070069160A (en)
GB (1) GB2434036A (en)
WO (1) WO2006049834A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008025588A1 (en) * 2006-08-31 2008-03-06 International Business Machines Corporation Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
JP2008071851A (en) * 2006-09-13 2008-03-27 Sony Corp Semiconductor device and manufacturing method thereof
DE102007009914A1 (en) * 2007-02-28 2008-09-11 Advanced Micro Devices, Inc., Sunnyvale Field effect transistor with an interlayer dielectric material with increased internal stress
DE102007063593B4 (en) * 2007-02-28 2009-02-12 Infineon Technologies Austria Ag Semiconductor device
WO2009045364A1 (en) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc. Method of forming high-k gate electrode structures after transistor fabrication
WO2009113241A1 (en) * 2008-03-13 2009-09-17 パナソニック株式会社 Semiconductor device and method for manufacturing the same
EP2148372A1 (en) * 2008-07-24 2010-01-27 International Business Machines Corporation Device Structures with a Self-Aligned Damage Layer and Methods for Forming such Device Structures
JP2010514159A (en) * 2006-12-15 2010-04-30 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Stress-enhanced transistor and manufacturing method thereof
WO2011143311A1 (en) * 2010-05-14 2011-11-17 Sandisk 3D, Llc Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
JP2012124503A (en) * 2007-03-20 2012-06-28 Sony Corp Manufacturing method of semiconductor device
US8421050B2 (en) 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8466044B2 (en) 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
US8569730B2 (en) 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US8835892B2 (en) 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US9449974B2 (en) 2007-03-20 2016-09-20 Sony Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8421132B2 (en) * 2011-05-09 2013-04-16 International Business Machines Corporation Post-planarization UV curing of stress inducing layers in replacement gate transistor fabrication
US9172031B2 (en) 2012-05-11 2015-10-27 SK Hynix Inc. Resistive memory device
KR102022554B1 (en) 2012-05-11 2019-09-18 에스케이하이닉스 주식회사 Resistive memory device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20040075148A1 (en) * 2000-12-08 2004-04-22 Yukihiro Kumagai Semiconductor device
US20040113217A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Stress inducing spacers
US20040124492A1 (en) * 2002-09-12 2004-07-01 Kouji Matsuo Semiconductor device and method of manufacturing the same
US20040212035A1 (en) * 2003-04-25 2004-10-28 Yee-Chia Yeo Strained-channel transistor and methods of manufacture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20040075148A1 (en) * 2000-12-08 2004-04-22 Yukihiro Kumagai Semiconductor device
US20040124492A1 (en) * 2002-09-12 2004-07-01 Kouji Matsuo Semiconductor device and method of manufacturing the same
US20040113217A1 (en) * 2002-12-12 2004-06-17 International Business Machines Corporation Stress inducing spacers
US20040212035A1 (en) * 2003-04-25 2004-10-28 Yee-Chia Yeo Strained-channel transistor and methods of manufacture

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SHIMIZU A ED - INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS: "Local mechanical-stress control (LMC) a new technique for CMOS-performance enhancement", INTERNATIONAL ELECTRON DEVICES MEETING 2001. IEDM. TECHNICAL DIGEST. WASHINGTON, DC, DEC. 2 - 5, 2001, NEW YORK, NY : IEEE, US, 2 December 2001 (2001-12-02), pages 1941 - 1944, XP010575160, ISBN: 0-7803-7050-3 *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008025588A1 (en) * 2006-08-31 2008-03-06 International Business Machines Corporation Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
JP2008071851A (en) * 2006-09-13 2008-03-27 Sony Corp Semiconductor device and manufacturing method thereof
JP2010514159A (en) * 2006-12-15 2010-04-30 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Stress-enhanced transistor and manufacturing method thereof
DE102007009914B4 (en) * 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device in the form of a field effect transistor with an interlayer dielectric material with increased internal stress and method for producing the same
DE102007009914A1 (en) * 2007-02-28 2008-09-11 Advanced Micro Devices, Inc., Sunnyvale Field effect transistor with an interlayer dielectric material with increased internal stress
DE102007063593B4 (en) * 2007-02-28 2009-02-12 Infineon Technologies Austria Ag Semiconductor device
US9449974B2 (en) 2007-03-20 2016-09-20 Sony Corporation Semiconductor device and method of manufacturing the same
JP2012124503A (en) * 2007-03-20 2012-06-28 Sony Corp Manufacturing method of semiconductor device
GB2468445A (en) * 2007-09-29 2010-09-08 Advanced Micro Devices Inc Method of forming high-K gate electrode structures after transistor fabrication
WO2009045364A1 (en) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc. Method of forming high-k gate electrode structures after transistor fabrication
WO2009113241A1 (en) * 2008-03-13 2009-09-17 パナソニック株式会社 Semiconductor device and method for manufacturing the same
US8198686B2 (en) 2008-03-13 2012-06-12 Panasonic Corporation Semiconductor device
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US7795679B2 (en) 2008-07-24 2010-09-14 International Business Machines Corporation Device structures with a self-aligned damage layer and methods for forming such device structures
EP2148372A1 (en) * 2008-07-24 2010-01-27 International Business Machines Corporation Device Structures with a Self-Aligned Damage Layer and Methods for Forming such Device Structures
US8466044B2 (en) 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
US8421050B2 (en) 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8835892B2 (en) 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
WO2011143311A1 (en) * 2010-05-14 2011-11-17 Sandisk 3D, Llc Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same

Also Published As

Publication number Publication date
GB0708894D0 (en) 2007-06-20
JP2008518476A (en) 2008-05-29
GB2434036A (en) 2007-07-11
KR20070069160A (en) 2007-07-02

Similar Documents

Publication Publication Date Title
US20060094193A1 (en) Semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
WO2006049834A1 (en) A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US7354838B2 (en) Technique for forming a contact insulation layer with enhanced stress transfer efficiency
US7517816B2 (en) Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
US8906811B2 (en) Shallow pn junction formed by in situ doping during selective growth of an embedded semiconductor alloy by a cyclic growth/etch deposition process
US8772878B2 (en) Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
US7879667B2 (en) Blocking pre-amorphization of a gate electrode of a transistor
KR101148138B1 (en) A cmos device comprising an nmos transistor with recessed drain and source areas and a pmos transistor having a silicon/germanium material in the drain and source areas
US7932166B2 (en) Field effect transistor having a stressed contact etch stop layer with reduced conformality
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
US7569437B2 (en) Formation of transistor having a strained channel region including a performance enhancing material composition utilizing a mask pattern
US20070045729A1 (en) Technique for forming recessed strained drain/source regions in nmos and pmos transistors
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
US7125759B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US20090001479A1 (en) Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100081244A1 (en) Transistor device comprising an asymmetric embedded semiconductor alloy
US20060172511A1 (en) In situ formed halo region in a transistor device
US20100078735A1 (en) Cmos device comprising nmos transistors and pmos transistors having increased strain-inducing sources and closely spaced metal silicide regions
US7838354B2 (en) Method for patterning contact etch stop layers by using a planarization process
US20060131699A1 (en) Technique for forming a substrate having crystalline semiconductor regions of different characteristics located above a buried insulating layer
US7608912B2 (en) Technique for creating different mechanical strain in different CPU regions by forming an etch stop layer having differently modified intrinsic stress
WO2006083546A2 (en) In situ formed halo region in a transistor device
WO2005119760A1 (en) Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
WO2008027471A1 (en) A field effect transistor having a stressed contact etch stop layer with reduced conformality
KR101229526B1 (en) Technique for forming a contact insulation layer with enhanced stress transfer efficiency

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077008251

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200580035725.3

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2007538967

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 0708894

Country of ref document: GB

Kind code of ref document: A

Free format text: PCT FILING DATE = 20051012

WWE Wipo information: entry into national phase

Ref document number: 0708894.1

Country of ref document: GB

122 Ep: pct application non-entry in european phase

Ref document number: 05813258

Country of ref document: EP

Kind code of ref document: A1