KR20070069160A - A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same - Google Patents

A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same Download PDF

Info

Publication number
KR20070069160A
KR20070069160A KR1020077008251A KR20077008251A KR20070069160A KR 20070069160 A KR20070069160 A KR 20070069160A KR 1020077008251 A KR1020077008251 A KR 1020077008251A KR 20077008251 A KR20077008251 A KR 20077008251A KR 20070069160 A KR20070069160 A KR 20070069160A
Authority
KR
South Korea
Prior art keywords
semiconductor
regions
layer
dielectric layer
position holder
Prior art date
Application number
KR1020077008251A
Other languages
Korean (ko)
Inventor
만프레드 호르스트만
에케하드 푸르페르
볼프강 부콜츠
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102004052617A external-priority patent/DE102004052617B4/en
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20070069160A publication Critical patent/KR20070069160A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

By locally modifying the intrinsic stress of a dielectric layer laterally enclosing gate electrode structures of a transistor configuration formed in accordance with in-laid gate techniques, the charge carrier mobility of different transistor elements may individually be adjusted. In particular, in in-laid gate structure transistor architecture, NMOS transistors and PMOS transistors may receive a tensile and a compressive stress, respectively.

Description

서로 다른 스트레인드 채널 영역들을 갖는 반도체 영역들을 포함하는 반도체 디바이스 및 이를 제조하는 방법{A SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR REGIONS HAVING DIFFERENTLY STRAINED CHANNEL REGIONS AND A METHOD OF MANUFACTURING THE SAME}A semiconductor device comprising semiconductor regions having different strained channel regions and a method for manufacturing the same.

일반적으로, 본 발명은 집적회로들의 형성에 관한 것으로서, 보다 구체적으로는 반도체 영역에 스트레인(strain)을 생성함으로써, 전계 효과 트랜지스터의 채널 영역과 같은 전하 캐리어 이동도가 증가된 반도체 영역들의 형성에 관한 것이다.  In general, the present invention relates to the formation of integrated circuits, and more particularly to the formation of semiconductor regions with increased charge carrier mobility, such as channel regions of field effect transistors, by creating strain in the semiconductor regions. will be.

집적회로들의 제조는 특정된 회로 레이아웃에 따라 소정의 칩 영역상에 다수의 회로 요소들의 형성을 요구한다. 이를 위해, 추가적인 도펀트 물질을 갖거나 이러한 물질을 갖지 않는 실질적으로 결정성 반도체 영역들은 "활성" 영역으로 작용하도록(즉, 적어도 일시적으로 도전성 영역들로 작용하도록) 특정된 기판 위치들에서 정의된다. 일반적으로, 복수의 공정 기술들이 현재에 실행되고 있는데, 마이크로프로세서들, 저장 칩들 등과 같은 복잡한 회로들에 대하여는, MOS 기술이 동작 속도 및/또는 전력 소모 및/또는 비용 효율성의 관점에서의 우세한 특성들로 인해 가장 유망한 접근방식이다. MOS 기술을 사용하는 복잡한 집적회로들의 형성 동안 에, 수 백만개의 트랜지스터들(즉, N-채널 트랜지스터들 및/또는 P-채널 트랜지스터들)은 결정성 반도체 층을 포함하는 기판상에 형성된다. MOS 트랜지스터(여기서, N-채널 트랜지스터 또는 P-채널 트랜지스터가 고려되는지는 관계없음)는 (드레인과 소스 영역 사이에 배치된 약하게 도핑되었거나 또는 도핑 되지않은 채널 영역을 갖는) 하이(high) 도핑된 드레인 및 소스 영역들의 계면에 의해 형성되는 소위 PN 접합들을 포함한다. 채널 영역의 도전성(즉, 도전성 채널의 구동 전류 성능)은 채널 영역에 인접하여 형성되며, 얇은 절연층에 의해 이들로부터 분리되어 있는 게이트 전극에 의해 제어된다. 게이트 전극에의 적절한 제어 전압의 인가로 인한 도전성 채널의 형성하에서의 채널 영역의 도전성은 도펀트 농도 및 전하 캐리어들의 이동도에 의존하며, 그리고 트랜지스터 폭 방향으로의 채널 영역의 소정의 확장에 대하여, 소스 영역과 드레인 영역간의 거리(또한, 채널 길이로 지칭됨)에 의존한다. 따라서, 게이트 전극에의 제어 전압의 인가하에서 절연층 아래에 도전성 채널을 급속하게 생성하는 성능과 관련하여, 채널 영역의 도전성은 MOS 트랜지스터들의 성능에 실질적으로 영향을 미친다. 따라서, 채널 생성 속도(즉, 게이트 전극의 도전성) 및 채널 저항성이 트랜지스터 특성들을 실질적으로 결정하기 때문에, 채널 길이의 감소, 이와 관련된 채널 저항성의 감소 및 게이트 저항성의 증가는 채널 길이가 집적회로들의 동작속도를 증가시키기 위한 지배적인 설계 조건이 되게 한다. Fabrication of integrated circuits requires the formation of multiple circuit elements on a given chip area in accordance with the specified circuit layout. To this end, substantially crystalline semiconductor regions with or without additional dopant material are defined at substrate locations specified to act as “active” regions (ie, at least temporarily to serve as conductive regions). In general, a plurality of process technologies are currently being implemented, and for complex circuits such as microprocessors, storage chips, etc., MOS technology has superior characteristics in terms of operating speed and / or power consumption and / or cost efficiency. Is the most promising approach. During the formation of complex integrated circuits using MOS technology, millions of transistors (ie, N-channel transistors and / or P-channel transistors) are formed on a substrate including a crystalline semiconductor layer. MOS transistors, regardless of whether N-channel transistors or P-channel transistors are considered, are high doped drains (with weakly doped or undoped channel regions disposed between the drain and source regions). And so-called PN junctions formed by the interface of the source regions. The conductivity of the channel region (i.e., the drive current capability of the conductive channel) is formed adjacent to the channel region and controlled by the gate electrode separated from them by a thin insulating layer. The conductivity of the channel region under the formation of the conductive channel due to the application of an appropriate control voltage to the gate electrode depends on the dopant concentration and the mobility of the charge carriers, and for a given expansion of the channel region in the transistor width direction, the source region And the distance between the drain region and the drain region (also referred to as channel length). Thus, with respect to the ability to rapidly create a conductive channel under the insulating layer under application of a control voltage to the gate electrode, the conductivity of the channel region substantially affects the performance of the MOS transistors. Thus, because the channel generation rate (i.e., the conductivity of the gate electrode) and the channel resistance substantially determine the transistor characteristics, the reduction in channel length, the associated channel resistance reduction and the increase in gate resistance can cause the channel length to operate in integrated circuits. It becomes the dominant design condition for increasing speed.

그러나, 트랜지스터 치수들의 계속적인 축소는 MOS 트랜지스터들의 채널 길이를 꾸준히 감소시킴으로써 획득되는 이점들을 과도하게 상쇄시키지 않도록 대처해야 하는 이와 관련된 복수의 문제들을 수반한다. 이러한 관점에서의 일 주요 문 제는 새로운 디바이스 생성을 위해, 트랜지스터들의 게이트 전극과 같은 임계 치수들의 회로 요소들을 신뢰성있고 재생가능하게 생성하는 개선된 포토리소그래피 및 식각 전략들의 전개에 있다. 게다가, 수직 방향뿐만 아니라 가로 방향에서의 매우 정교한 도펀트 프로파일들은 원하는 채널 제어가능성과 관련하여, 낮은 쉬트 저항성(sheet resistivity)과 접촉 저항성을 제공하기 위해 드레인과 소스 영역들에서 요구된다. 이에 추가하여, 게이트 절연층에 관하여 PN 접합들의 수직 위치는 또한 누설 전류 제어의 관점에서 중요 설계 기준을 나타내는데, 이는 채널 길이의 감소가 또한 게이트 절연층과 채널 영역에 의해 형성되는 계면에 관하여 드레인과 소스 영역들의 깊이 감소를 요구하기 때문이다. 다른 접근방식들에 따르면, 에피택셜로 성장된 영역들(이는 상승된(raised) 드레인 및 소스 영역들로 지칭됨)은 게이트 전극에 대한 특정 오프셋으로 형성되는데, 이에 따라 상승된 드레인 및 소스 영역들의 도전성 증가를 제공함과 동시에 게이트 절연층에 관하여 얕은 PN 접합을 유지한다. However, the continued reduction in transistor dimensions involves a number of problems associated with this that must be addressed so as not to overly offset the benefits obtained by steadily reducing the channel length of the MOS transistors. One major issue in this regard is the development of improved photolithography and etching strategies to reliably and reproducibly produce circuit elements of critical dimensions, such as the gate electrode of transistors, for new device creation. In addition, highly sophisticated dopant profiles in the vertical as well as in the transverse direction are required in the drain and source regions to provide low sheet resistivity and contact resistance with respect to the desired channel controllability. In addition, the vertical position of the PN junctions with respect to the gate insulating layer also represents an important design criterion in terms of leakage current control, in which the reduction in channel length is also associated with the drain with respect to the interface formed by the gate insulating layer and channel region. This is because the depth of the source regions is required. According to other approaches, epitaxially grown regions, which are referred to as raised drain and source regions, are formed at a specific offset relative to the gate electrode, thereby increasing the area of the raised drain and source regions. Provides increased conductivity while maintaining a shallow PN junction with respect to the gate insulating layer.

다른 종래기술 솔루션들에서, 매우 크게 스케일된(scaled) 디바이스들에서의 폴리실리콘 게이트 전극들의 저항성 증가 문제는, 게이트 전극 물질로서 현재에 사용되는 도핑된 폴리실리콘을 금속으로 대체함으로써(이에 불구하고, 드레인 및 소스 영역들과 게이트 전극 형성을 위한 자기-정렬된 공정 시퀀스를 유지하며) 대처된다. 이는 제거가능한 측벽 스페이서들과 관련하여, 드레인 및 소스 영역들의 형성 동안에 주입 마스크로서 작용할 수 있는 더미 게이트(dummy gate)를 형성함으로써 수행될 수 있다. 층간 절연막(interlayer dielectric)에 더미 게이트를 임베 딩(embedding) 한 이후에, 더미 게이트는 금속과 같은 매우 도전성인 게이트 물질로 대체될 수 있다. 이러한 "인-레이드(in-laid)" 게이트 전극 접근방식에 있어서, 트랜지스터 성능은 크게 개선될 수 있다. 그러나, 제한된 채널 도전성 문제는 이러한 방식에 의해 대처되지 않는다. In other prior art solutions, the problem of increasing the resistivity of polysilicon gate electrodes in very large scaled devices is by replacing metal doped polysilicon currently used as the gate electrode material (in spite of this, Maintaining a self-aligned process sequence for forming the drain and source regions and the gate electrode). This can be done by forming a dummy gate that can act as an implantation mask during the formation of the drain and source regions in relation to the removable sidewall spacers. After embedding the dummy gate in an interlayer dielectric, the dummy gate can be replaced with a highly conductive gate material such as metal. In this "in-laid" gate electrode approach, transistor performance can be greatly improved. However, the limited channel conductivity problem is not addressed by this approach.

더욱이, 임계 치수들(즉, 트랜지스터들의 게이트 길이)의 연속적인 크기 축소가 전술한 공정 단계들에 관한 적응 및 가능하게는 매우 복잡한 공정 기법들에 대한 새로운 전개를 필요로 하기 때문에, 소정의 채널 길이에 대한 채널 영역의 전하 캐리어 이동도를 증가시킴으로써 트랜지스터 요소들의 디바이스 성능을 또한 개선시키며, 이에 따라 디바이스 스케일링에 관한 전술한 대부분의 공정 적응들을 회피하면서, 크기 축소된 디바이스들에 대한 미래의 기술 노드의 진보와 필적할만한 성능 개선을 달성할 가능성을 제공하는 것이 제안되었다. 원리상으로, 적어도 2개의 메커니즘들이 채널 영역의 전하 캐리어들의 이동도를 증가시키기 위해 조합으로 또는 개별적으로 사용될 수 있다. 먼저, 채널 영역 내의 도펀트 농도는 감소될 수 있으며, 이에 따라 전하 캐리어들에 대한 산란 이벤트들을 감소시키며, 따라서 도전성을 증가시킨다. 그러나, 채널 영역 내의 도펀트 농도 감소는 트랜지스터 디바이스의 임계 전압에 크게 영향을 미치며, 이에 따라 원하는 임계 전압을 조정하기 위한 다른 메커니즘들이 개발되지 않는 경우에, 도펀트 농도 감소는 보다 덜 매력적인 접근방식이 된다. 둘째로, 채널 영역의 격자 구조는 가령, 채널 영역에 대응하는 스트레인을 발생시키기 위해 인장 응력(tensile stress) 또는 압축 응력을 생성함으로써 변형될 수 있으며, 이는 전자들과 정공들 각각에 대한 이동도를 바꾸게 된다. 예를 들어, 채널 영역의 인장 스트레인의 생성은 전자들의 이동도를 증가시키며, 여기서 인장 스트레인의 크기 및 방향에 의존하여, 120% 이상까지의 이동도 증가가 획득될 수 있으며, 이는 또한 직접적으로 대응하는 도전성 증가로 변환될 수 있다. 반면에, 채널 영역의 압축 스트레인은 정공들의 이동도를 증가시키며, 이에 따라 P-타입 트랜지스터들의 성능을 개선할 가능성을 제공한다. 집적회로 제조에의 응력 또는 스트레인 기술의 도입은 추가적인 디바이스 생성들에 대한 매우 유망한 접근방식인데, 이는 예를 들어, 스트레인드 실리콘(strained silicon)이 "새로운" 타입의 반도체(여기서, 새로운 타입의 반도체는 값비싼 반도체 물질들과 제조 기법들을 요구함이 없이 고속의 강력한 반도체 디바이스들을 제조하게 할 수 있다)로서 고려될 수 있기 때문이다. Moreover, because the continuous reduction in critical dimensions (ie, gate length of transistors) requires adaptation to the process steps described above and possibly new developments for very complex process techniques, certain channel lengths Increasing the charge carrier mobility of the channel region relative to also improves the device performance of the transistor elements, thus avoiding most of the process adaptations described above with respect to device scaling, while avoiding future technology nodes for scaled devices. It has been proposed to offer the possibility of achieving comparable performance improvements with advances. In principle, at least two mechanisms can be used in combination or separately to increase the mobility of charge carriers in the channel region. First, the dopant concentration in the channel region can be reduced, thus reducing scattering events for charge carriers, thus increasing conductivity. However, the reduction of dopant concentration in the channel region greatly affects the threshold voltage of the transistor device, and thus, if other mechanisms for adjusting the desired threshold voltage are not developed, the dopant concentration reduction is a less attractive approach. Second, the lattice structure of the channel region can be deformed, for example, by generating a tensile stress or compressive stress to generate a strain corresponding to the channel region, which is responsible for the mobility for each of the electrons and holes. Will change. For example, the generation of tensile strain in the channel region increases the mobility of the electrons, where depending on the size and direction of the tensile strain, an increase in mobility up to 120% or more can be obtained, which also directly corresponds to Can be converted into an increase in conductivity. On the other hand, the compressive strain in the channel region increases the mobility of the holes, thus offering the possibility of improving the performance of P-type transistors. The introduction of stress or strain technology into integrated circuit fabrication is a very promising approach to additional device generations, for example, where strained silicon is a "new" type of semiconductor, where a new type of semiconductor Can be fabricated at high speed and powerful semiconductor devices without requiring expensive semiconductor materials and fabrication techniques.

결과적으로, 대응하는 스트레인을 발생시킬 수 있는 인장 또는 압축 응력을 생성시키기 위해, 채널 영역 안에 또는 그 아래에 가령, 실리콘/게르마늄층 또는 실리콘/탄소층을 도입하는 것이 제안되었다. 비록 트랜지스터 성능이 채널 영역 안에 또는 그 아래에 응력-생성 층의 도입에 의해 상당히 개선될 수 있지만은, 통상적이며 인증된 MOS 기술에 대응하는 응력 층들의 형성을 구현하는데 많은 노력이 가해져야 한다. 가령, 추가적인 에피택셜 성장 기법들이, 채널 영역 안의 또는 그 아래의 적절한 위치들에 게르마늄-함유 또는 탄소-함유 응력 층들을 형성시키도록 전개되어야 하고 공정 흐름에 구현되어야 한다. 따라서, 공정 복잡도는 크게 증가되며, 이에 따라 또한 생산 비용 및 수율 감소 가능성을 증가시킨다. As a result, it has been proposed to introduce, for example, a silicon / germanium layer or a silicon / carbon layer in or below the channel region, in order to create tensile or compressive stresses that can generate corresponding strains. Although transistor performance can be significantly improved by the introduction of a stress-generating layer in or below the channel region, much effort must be put into implementing the formation of stress layers corresponding to conventional and certified MOS technology. For example, additional epitaxial growth techniques must be developed and implemented in the process flow to form germanium-containing or carbon-containing stress layers at appropriate locations in or below the channel region. Thus, process complexity is greatly increased, thereby also increasing the production cost and the possibility of yield reduction.

전술한 상황의 관점에서, 매우 도전성인 게이트 전극들의 도입을 포함하는 개선된 트랜지스터 아키텍처들을 형성할 가능성을 제공하면서, 서로 다른 반도체 영역들에서 서로 다른 원하는 응력 조건들을 생성할 수 있게 하는 대안적인 기법이 필요하다. In view of the foregoing circumstances, there is an alternative technique that allows the creation of different desired stress conditions in different semiconductor regions while providing the possibility of forming improved transistor architectures including the introduction of highly conductive gate electrodes. need.

하기내용은 본 발명의 일부 양상들에 대한 기본적인 이해를 제공하기 위한 본 발명의 개략적인 요약을 제시한다. 이러한 요약은 본 발명을 총괄적으로 개괄하는 것이 아니다. 이는 본 발명의 중요 요소 또는 핵심 요소를 식별하고자 하거나, 혹은 본 발명의 범주를 기술하고자 함이 아니다. 그 유일한 목적은 하기에서 설명될 더욱 상세한 설명에 대한 전주로서 일부 사상들을 간략화된 형태로 제시하는 것이다. The following presents a summary of the invention to provide a basic understanding of some aspects of the invention. This summary is not an extensive overview of the invention. It is not intended to identify key elements or essential elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some ideas in a simplified form as a prelude to the more detailed description that is described later.

일반적으로, 본 발명은 2개의 서로 다른 반도체 영역들에서 적어도 2개의 서로 다른 크기들 또는 타입들의 스트레인을 제공하기 위한 개선된 응력 또는 스트레인 전략들을 갖는, 소위 "인-레이드(in-laid)" 게이트 구조들을 포함하는 트랜지스터 요소들과 같은 개선된 트랜지스터 아키텍처들을 형성할 가능성을 구비한 공정 전략들의 조합을 가능하게 하는 기법에 관한 것이다. 결과적으로, 다이(die) 영역 내의 또는 복수의 개별 다이 영역들을 보유하는 전체 기판에 걸쳐서의 서로 다른 영역들은, 전하 캐리어 이동도 및 이에 따른 그 도전성을 특정 공정 및 디바이스 요건들에 개별적으로 적응시키기 위해 서로 다른 스트레인드 반도체(strained semiconductor) 영역들을 수신할 수 있다. 특히, N-타입 또는 N-채널 트랜지스터들 및 P-타입 또는 P-채널 트랜지스터들과 같은 서로 다른 타입들의 트랜지스터들은 각 채널 영역들 내에서 다른 타입의 또는 다른 크기의 스트레인을 수신할 수 있으며, 동시에 금속들과 같은 매우 도전성인 물질들에 기반하여 인-레이드 게이트 전극 구조들을 형성할 가능성으로 인해, 원하는 경우에, 게이트 도전성이 개선될 수 있다. In general, the present invention is a so-called “in-laid” gate having improved stress or strain strategies to provide strain of at least two different sizes or types in two different semiconductor regions. It is directed to a technique that enables a combination of process strategies with the possibility of forming improved transistor architectures such as transistor elements comprising structures. As a result, different regions within the die region or across the entire substrate having a plurality of individual die regions may be used to individually adapt the charge carrier mobility and thus its conductivity to specific process and device requirements. Different strained semiconductor regions may be received. In particular, different types of transistors, such as N-type or N-channel transistors and P-type or P-channel transistors, may receive different types or strains of strain in each channel region, and at the same time Due to the possibility of forming in-laid gate electrode structures based on highly conductive materials such as metals, gate conductivity can be improved if desired.

본 발명의 일 예시적인 실시예에 따르면, 방법은 기판상에 위치된 반도체 층에 형성된 제 1 반도체 영역 위에 제 1 위치 홀더 구조를 형성하는 단계를 포함한다. 제 2 위치 홀더 구조가 반도체 층에 형성되는 제 2 반도체 영역 위에 형성되며, 그리고 특정 고유 응력을 갖는 유전층은 제 1 및 제 2 위치 홀더 구조들을 둘러싸도록 반도체 층 위에 증착된다. 부가적으로, 제 2 위치 홀더 구조를 둘러싸는 유전층의 일부는 그 일부 내의 고유 응력을 변경하도록 변형된다. 마지막으로, 제 1 및 제 2 위치 홀더 구조들은 도전성 물질로 대체된다. According to one exemplary embodiment of the present invention, the method includes forming a first position holder structure over a first semiconductor region formed in a semiconductor layer located on a substrate. A second position holder structure is formed over the second semiconductor region formed in the semiconductor layer, and a dielectric layer having a certain intrinsic stress is deposited over the semiconductor layer to surround the first and second position holder structures. Additionally, the portion of the dielectric layer surrounding the second position holder structure is modified to change the intrinsic stress in that portion. Finally, the first and second position holder structures are replaced with a conductive material.

본 발명의 또 하나의 예시적인 실시예에 따르면, 방법은 제 1 트랜지스터의 제 1 채널 영역 위에 제 1 위치 홀더 구조를 형성하는 단계와, 그리고 제 2 트랜지스터의 제 2 채널 영역 위에 제 2 위치 홀더 구조를 형성하는 단계를 포함한다. 제 1 드레인 및 소스 영역들은 제 1 채널 영역에 인접하여 형성되며, 제 2 드레인 및 소스 영역들은 제 2 채널 영역에 인접하여 형성된다. 더욱이, 제 1 드레인 및 소스 영역들 위에는 제 1 고유 응력을 갖는 제 1 유전층이 형성되며, 제 2 드레인 및 소스 영역들 위에는 제 1 고유 응력과 다른 제 2 고유 응력을 갖는 제 2 유전층이 형성된다. 마지막으로, 제 1 위치 홀더 구조는 제 1 게이트 전극 구조로 대체되며, 제 2 위치 홀더 구조는 제 2 게이트 전극 구조로 대체된다. According to another exemplary embodiment of the present invention, a method includes forming a first position holder structure over a first channel region of a first transistor, and a second position holder structure over a second channel region of a second transistor. Forming a step. The first drain and source regions are formed adjacent to the first channel region, and the second drain and source regions are formed adjacent to the second channel region. Furthermore, a first dielectric layer having a first intrinsic stress is formed over the first drain and source regions, and a second dielectric layer having a second intrinsic stress different from the first intrinsic stress is formed over the second drain and source regions. Finally, the first position holder structure is replaced by the first gate electrode structure and the second position holder structure is replaced by the second gate electrode structure.

본 발명의 다른 또 하나의 예시적인 실시예에 따르면, 반도체 디바이스는 제 1 높이를 갖는 제 1 게이트 전극을 구비한 제 1 트랜지스터 요소와, 그리고 제 2 높이를 갖는 제 2 게이트 전극을 구비한 제 2 트랜지스터 요소를 포함한다. 상기 디바이스는 제 1 고유 응력을 가지며 제 1 게이트 전극을 가로방향으로 둘러싸는 제 1 유전층을 더 포함하는데, 여기서 제 1 고유 응력은 제 1 유전층 내에서 제 1 높이까지 실질적으로 균일하게 작용한다. 게다가, 상기 디바이스는 제 2 고유 응력을 가지며 제 2 게이트 전극을 가로방향으로 둘러싸는 제 2 유전층을 포함하는데, 여기서 제 2 고유 응력은 제 1 고유 응력과 다르며, 그리고 제 2 유전층 내에서 제 2 높이까지 실질적으로 균일하게 작용한다. According to another exemplary embodiment of the present invention, a semiconductor device comprises a first transistor element having a first gate electrode having a first height and a second having a second gate electrode having a second height Transistor elements. The device further includes a first dielectric layer having a first intrinsic stress and transversely surrounding the first gate electrode, wherein the first intrinsic stress acts substantially uniformly to the first height in the first dielectric layer. In addition, the device includes a second dielectric layer having a second intrinsic stress and transversely surrounding the second gate electrode, wherein the second intrinsic stress is different from the first intrinsic stress and has a second height in the second dielectric layer. Until it works substantially uniformly.

본 발명은 첨부 도면들과 관련하여 고려되는 하기의 상세한 설명을 참조함으로써 이해될 수 있는데, 도면들에서 동일한 참조 번호들은 동일한 요소들을 나타낸다.The invention can be understood by reference to the following detailed description considered in connection with the accompanying drawings, in which like reference numerals designate like elements.

도 1a 내지 1h는 다양한 제조 스테이지들 동안의 반도체 디바이스의 단면도들을 도시하는데, 여기서 인-레이드 게이트 전극 구조들의 형성을 가능하게 하는 공정 전략에 따라 반도체 영역들에 근접하여 형성되는 각 응력 층들에 의해 서로 다른 반도체 영역들에서 다른 스트레인이 생성된다. 1A-1H illustrate cross-sectional views of a semiconductor device during various stages of fabrication, wherein each stress layer is formed in proximity to semiconductor regions in accordance with a process strategy that enables formation of in-laid gate electrode structures. Different strains are produced in different semiconductor regions.

도 2는 제조 스테이지 동안에 반도체 디바이스의 단면도를 개략적으로 도시하는데, 여기서, 응력 층의 고유 응력은 추가의 예시적인 실시예들에 따라 국부적으로 변형된다.2 schematically illustrates a cross-sectional view of a semiconductor device during a fabrication stage, where the intrinsic stress of the stress layer is locally deformed according to further exemplary embodiments.

도 3a 및 3b는 본 발명의 추가의 예시적인 실시예들에 따라 각 반도체 영역으로의 응력 전달을 개선하기 위해, 이온 종들(ion species)이 일정한 위치들에 증착되는 제조 스테이지에서의 반도체 디바이스의 단면도를 개략적으로 도시한다.3A and 3B are cross-sectional views of a semiconductor device at a fabrication stage where ion species are deposited at constant locations to improve stress transfer to each semiconductor region in accordance with further exemplary embodiments of the present invention. Schematically shows.

본 발명의 다양한 변형들 및 대안 형태들이 가능하지만은, 그 특정 실시예들은 예로써 도면들에서 도시되고 본원에서 상세히 설명된다. 그러나, 본원에서의 특정 실시예들에 대한 설명은 본 발명을 개시된 특정 형태들에 국한시키고자 함이 아니며, 그 의도는 하기의 청구범위에 의해 정의되는 바와 같은 본 발명의 사상과 범주 내에 드는 모든 변형물들, 등가물들, 및 대안물들을 포괄하고자 하는 것이다. While various modifications and alternative forms of the invention are possible, the specific embodiments thereof are shown by way of example in the drawings and described in detail herein. However, the description of specific embodiments herein is not intended to limit the invention to the particular forms disclosed, and its intention is to be within the spirit and scope of the invention as defined by the following claims. It is intended to cover modifications, equivalents, and alternatives.

하기에서, 본 발명의 예시적 실시예들이 설명된다. 명확성을 위해, 실제 구현의 모든 특성들이 본 명세서에서 설명되지 않는다. 당연하게, 모든 이러한 실제 실시예의 전개에서, 시스템-관련 순응성 및 비지니스-관련 제약들과 같은 수많은 구현-특정 결정들(이는 각 구현마다 달라질 수 있다)은 개발자의 특정 목적들을 달성하기 위해 이루어져야 한다. 게다가, 이러한 개발 노력은 복잡하고 시간-소모적일 수 있지만, 이에 불구하고 본 개시의 이익을 갖는 기술분야의 당업자들이 맡아야할 루틴이 될 것임을 이해해야 한다. In the following, exemplary embodiments of the present invention are described. For clarity, not all features of an actual implementation are described in this specification. Naturally, in the deployment of all these practical embodiments, numerous implementation-specific decisions, such as system-related compliance and business-related constraints, which may vary from implementation to implementation, must be made to achieve the developer's specific goals. In addition, such development efforts may be complex and time-consuming, but it should be understood that this will be a routine for those skilled in the art having the benefit of this disclosure.

본 발명은 첨부 도면들을 참조하여 설명될 것이다. 다양한 구조들, 시스템들 및 디바이스들은 오직 설명 목적을 위해 그리고 기술분야의 당업자들에게 공지된 세부사항들로 본 발명을 불분명하게 하지 않도록 도면들에서 개략적으로 도시된다. 그럼에도 불구하고, 첨부 도면들은 본 발명의 예시적인 예들을 설명하도록 포함된 다. 본원에서 사용되는 단어들 및 어구들은 기술분야의 당업자들에 의해 이해되는 단어들 및 어구들과 일관적인 의미를 갖는 것으로 해석되어야 한다. 용어 또는 어구에 대한 어떤 특수한 정의(즉, 기술분야의 당업자들에 의해 이해되는 통상적이며 관례적인 의미와 다른 정의)도 본원의 용어 또는 어구의 일관적인 사용을 통해 내포되지 않는다. 용어 또는 어구가 특수한 의미를 갖는 범위에 대하여, 이러한 특수한 정의는 용어 또는 어구에 대한 특수한 정의를 직접적으로 그리고 모호하지 않게 제공하는 정의적인 방식으로 본 명세서에서 직접적으로 제시될 것이다. The invention will be explained with reference to the accompanying drawings. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the invention with details known to those skilled in the art. Nevertheless, the attached drawings are included to describe illustrative examples of the present invention. The words and phrases used herein should be interpreted to have a meaning consistent with the words and phrases understood by those skilled in the art. No specific definition of a term or phrase (ie, a definition other than the usual and customary meanings understood by those skilled in the art) is implied through the consistent use of the term or phrase herein. For the scope in which a term or phrase has a special meaning, this particular definition will be presented directly in this specification in a definite manner, directly and unambiguously providing a specific definition of the term or phrase.

본 발명은, 트랜지스터 요소의 채널 영역과 같은 반도체 영역의 스트레인이 관심있는 반도체 영역의 근처에 형성되는 특정된 고유 응력을 갖는 물질층에 의해 매우 효과적으로 발생될 수 있다는 사상에 기초하고 있다. 심지어, 상보형 트랜지스터 쌍의 서로 다른 채널 영역들과 같은 매우 작은 스케일로, 다이 영역 내에서 또는 복수의 다이 영역들을 포함하는 서로 다른 기판 영역들 내에서 스트레인의 효과적인 국부 조절(local adjustment)을 허용하는 공정 전략을 제공함으로써, 개선된 스트레인 기술은 개선된 트랜지스터 아키텍처와 결합될 수 있으며, 이에 따라 높은 전하 캐리어 이동도와 관련된 높은 게이트 도전성 및 이에 따른 심지어, 매우 크게 스케일된 트랜지스터에 대한 채널 도전성을 제공한다. 본 발명의 추가의 예시적인 실시예들은 첨부 도면들을 참조하여 더욱 상세히 설명될 것이다.The invention is based on the idea that strain in a semiconductor region, such as a channel region of a transistor element, can be generated very effectively by a layer of material having a specific intrinsic stress formed in the vicinity of the semiconductor region of interest. Even on very small scales, such as the different channel regions of complementary transistor pairs, allowing for effective local adjustment of strain in the die region or in different substrate regions including a plurality of die regions. By providing a process strategy, improved strain technology can be combined with improved transistor architecture, thus providing high gate conductivity associated with high charge carrier mobility and thus channel conductivity for even very large scaled transistors. Further exemplary embodiments of the present invention will be described in more detail with reference to the accompanying drawings.

도 1a는 기판(101)을 포함하는 반도체 디바이스(100)의 단면도를 개략적으로 도시하는데, 여기서 기판은 그 상에 마이크로프로세서들, 저장 칩들 등과 같은 집적회로들의 회로 요소들을 형성하기 위한 임의의 적절한 기판을 나타낼 수 있다. 기판(101)은 실리콘 기판과 같은 벌크 반도체 기판을 나타낼 수 있거나, 또는 특정 실시예들에서, 실리콘-온-인슐레이터(SOI) 기판을 나타낼 수 있는데, 여기서, 반도체 층(102)은 기판(101) 내의 절연층(미도시) 상에 형성되는 결정성 실리콘 층을 나타낼 수 있다. MOS 기법들에 따라 제조되는 대부분의 개선된 집적회로들이 실리콘 기반으로 제조되기 때문에, 하기의 상세한 설명에서, 이는 종종 반도체 층(102)에 관하여 실리콘으로 지칭될 수 있으며, 여기서 갈륨 비소, 게르마늄, 실리콘/게르마늄, 또는 임의의 기타 III-V 또는 II-VI 반도체 물질들과 같은 임의의 기타 적합한 반도체 물질들이 또한 본 발명에서 사용될 수 있음을 이해해야 한다. 유사하게, 반도체 층(102)은 비록 개별 층으로 도시되어 있지만은, 벌크 반도체 기판의 상위 부분을 나타낼 수 있다. 1A schematically illustrates a cross-sectional view of a semiconductor device 100 that includes a substrate 101, where the substrate is any suitable substrate for forming circuit elements of integrated circuits such as microprocessors, storage chips, and the like. Can be represented. Substrate 101 may represent a bulk semiconductor substrate, such as a silicon substrate, or in certain embodiments, may represent a silicon-on-insulator (SOI) substrate, where semiconductor layer 102 is substrate 101. And a crystalline silicon layer formed on an insulating layer (not shown) within. Since most advanced integrated circuits manufactured according to MOS techniques are fabricated on a silicon basis, in the detailed description below, this may often be referred to as silicon with respect to the semiconductor layer 102, where gallium arsenide, germanium, silicon It should be understood that any other suitable semiconductor materials, such as germanium, or any other III-V or II-VI semiconductor materials, may also be used in the present invention. Similarly, semiconductor layer 102 may represent an upper portion of a bulk semiconductor substrate, although shown as a separate layer.

반도체 디바이스(100)는 실리콘 이산화물, 비결정질 탄소 등과 같은 임의의 적절한 물질로 형성되는 제 1 위치 홀더 구조(104a)를 포함한다. 제 1 위치 홀더 구조(104a)는 제 1 반도체 영역(107a) 위에 형성되는데, 이는 만일 트랜지스터가 제 1 위치 홀더(104a)에 의해 형성되는 경우에, 제 1 채널 영역을 나타낼 수 있다. 제 1 반도체 영역(107a)과 대칭으로 또는 비대칭으로 배열될 수 있는 제 1 도핑 영역들(106a)은 층(102) 내에 형성될 수 있으며, 그리고 도시된 실시예에서, 드레인 및 소스 영역들의 형태로 제공될 수 있다. 즉, 제 1 도핑 영역들(106a)의 수직 및 수평 도펀트 프로파일은 특정 트랜지스터 타입의 디바이스 요건들에 따라 설계될 수 있다. 따라서, 특정 실시예들에서, 도핑 영역들(106a)은 이러한 영역들에 특정 타입의 도전성을 첨가시키는 내부의 도펀트 물질을 포함하는 제 1 드레인 및 소스 영역들을 나타낸다. 본 실시예에서, 영역들(106a)은 N-도핑되며, 제 1 반도체 영역(107a)과 결합된 영역들(106a)은 N-채널 트랜지스터의 특성들을 가질 수 있다. 게다가, 측벽 스페이서들(105a)은 제 1 위치 홀더(104a)의 측벽들상에 형성되는데, 측벽 스페이서들(105a)은 특정 실시예들에서, 후속 식각 과정들에서의 원하는 높은 식각 선택도를 나타내기 위해 물질 구조에서 제 1 위치 홀더(104a)와 다를 수 있다. 예를 들어, 측벽 스페이서(105a)는 비결정질 탄소, 실리콘 질화물, 실리콘 이산화물 등으로 구성될 수 있다. The semiconductor device 100 includes a first position holder structure 104a formed of any suitable material, such as silicon dioxide, amorphous carbon, or the like. The first position holder structure 104a is formed over the first semiconductor region 107a, which may represent the first channel region if the transistor is formed by the first position holder 104a. First doped regions 106a, which may be arranged symmetrically or asymmetrically with the first semiconductor region 107a, may be formed in layer 102, and in the illustrated embodiment, in the form of drain and source regions Can be provided. That is, the vertical and horizontal dopant profiles of the first doped regions 106a can be designed according to the device requirements of a particular transistor type. Thus, in certain embodiments, doped regions 106a represent first drain and source regions comprising an internal dopant material that adds a particular type of conductivity to these regions. In the present embodiment, the regions 106a are N-doped, and the regions 106a combined with the first semiconductor region 107a may have characteristics of an N-channel transistor. In addition, sidewall spacers 105a are formed on the sidewalls of the first position holder 104a, which sidewall spacers 105a exhibit, in certain embodiments, the desired high etch selectivity in subsequent etching processes. It may be different from the first position holder 104a in the material structure to produce it. For example, the sidewall spacers 105a may be made of amorphous carbon, silicon nitride, silicon dioxide, or the like.

유사하게, 제 2 위치 홀더 구조(104b)는 제 2 반도체 영역(107b) 위에 형성될 수 있는데, 이는 일부 실시예들에서, 제 2 트랜지스터 요소의 채널 영역을 채널 영역을 나타낼 수 있다. 게다가, 도핑 영역들(106b)은 특정 실시예들에서, 드레인 및 소스 영역들과 특정된 트랜지스터 타입의 채널 영역을 정의하기 위해 제 2 반도체 영역(107b)에 인접하여 형성될 수 있다. 예를 들어, 도핑 영역들(106b)에 의해 둘러싸여지는 제 2 반도체 영역(107b)은 각 도핑 영역들(106a)에 의해 둘러싸여지는 제 1 반도체 영역(107a)에 후속하여 위치될 수 있지만, 개선된 반도체 디바이스들에서 전형적으로 사용되는 바와 같은 트렌치 격리 구조의 형태로 제공될 수 있는 격리 구조(103)에 의해 이들로부터 분리될 수 있다. 반도체 구조를 나타내는 때에, 영역들(107b, 106b)은 영역들(107a, 106a)과 동일한 타입이 될 수 있거나, 또는 P-타입 또는 P-채널 트랜지스터와 같은 다른 타입의 트랜지스터를 나타낼 수 있다. 그러나, 제 1 및 제 2 반도체 영역들(107a, 107b)은 동일한 다이 영역 내의 매우 다른 위치들에 위치되며, 서로 다른 전기적 특성들을 제공하기 위해 다른 타입 또 는 다른 크기의 스트레인을 수신하도록 요구하는 회로 요소들을 나타낼 수 있다. 유사하게, 영역들(107a, 107b)은 서로 다른 회로 요소들 또는 중심 영역 및 주변 영역과 같은 서로 다른 기판 영역들에 위치되는 심지어 서로 다른 다이 부분들을 나타낼 수 있으며, 제 1 및 제 2 반도체 영역들(107a, 107b)에 대한 스트레인 기술은 기판(101)의 중심 및 주변 영역들 상에서 제조되는 반도체 디바이스들에 대한 보다 균일한 전기적 특성을 제공할 수 있다. 제 2 위치 홀더(104b)와 그 측벽들상에 형성되는 측벽 스페이서(105b)에 관하여, 대응하는 요소들(104a 및 105a)에 대하여 적용되는 것과 동일한 기준이 적용된다. Similarly, second position holder structure 104b may be formed over second semiconductor region 107b, which in some embodiments may represent a channel region of a channel region of a second transistor element. In addition, the doped regions 106b may be formed adjacent to the second semiconductor region 107b to define the drain and source regions and the channel region of the specified transistor type in certain embodiments. For example, the second semiconductor region 107b surrounded by the doped regions 106b may be positioned subsequent to the first semiconductor region 107a surrounded by the respective doped regions 106a, but the improved It may be separated from them by an isolation structure 103, which may be provided in the form of a trench isolation structure as is typically used in semiconductor devices. When representing a semiconductor structure, regions 107b and 106b may be of the same type as regions 107a and 106a or may represent other types of transistors, such as P-type or P-channel transistors. However, the first and second semiconductor regions 107a and 107b are located at very different locations within the same die region and require circuitry to receive strains of different types or sizes to provide different electrical characteristics. Can represent elements. Similarly, regions 107a and 107b may represent different circuit elements or even different die portions located in different substrate regions, such as a central region and a peripheral region, and the first and second semiconductor regions. Strain techniques for 107a and 107b may provide more uniform electrical properties for semiconductor devices fabricated on the central and peripheral regions of the substrate 101. With respect to the second position holder 104b and the sidewall spacers 105b formed on the sidewalls, the same criteria apply as for the corresponding elements 104a and 105a.

도 1a에 도시된 바와 같은 반도체 디바이스(101)를 형성하기 위한 전형적인 공정 흐름은 하기의 공정들을 포함할 수 있다. 반도체 층(102)을 포함하는 기판(101)을 형성하거나 기판 제조업자들로부터 이를 수신한 이후에, 주입 시퀀스들은 제 1 및 제 2 반도체 영역들(107a, 107b) 내에 특정된 수직 도펀트 프로파일을 확립하도록 수행될 수 있다. 이후에, 제 1 및 제 2 위치 홀더들(104a, 104b)은 잘-확립된 증착, 포토리소그래피 및 식각 기법들에 의해 형성될 수 있으며, 여기서, 제 1 및 제 2 위치 홀더들(104a, 104b)의 길이(즉, 도 1a에서 이러한 요소들의 수평 치수(또는 게이트 길이 치수))는 요건들을 설계하도록 적응될 수 있으며, 그리고 대략 100 nm(매우 개선된 집적회로들에 대하여는 이보다 훨씬 작다)가 될 수 있다. 이후에, 도펀트 종들(dopant species)은 내부에 도핑 영역들(106a, 106b)을 형성하도록 도입될 수 있다. 디바이스 요건들에 의존하여, 디바이스(100)는 원하는 타입의 도펀트 물질로 영역들(106a, 106b)을 개별적으로 형성하기 위해, 대응적으 로 가령, 포토레지스트 마스크에 의해 마스크될 수 있다. 이러한 주입들 동안에, 위치 홀더들(104a, 104b)은 각 반도체 영역들(107a, 107b)에 대한 도펀트 침입을 실질적으로 회피하기 위해 주입 마스크로서 작용한다. 이후에, 측벽 스페이서들(105a, 105b)은 대응하는 물질층을 증착함과 아울러 물질층을 이방성으로 식각함으로써 형성될 수 있다. 전형적으로, 라이너 물질(liner material)은 반도체 층(102)이 이방성 식각 대기에 노출되는 때에, 그 표면을 과도하게 손상하지 않도록 스페이서 물질 이전에 증착될 수 있다. 편의상, 대응하는 라이너는 도 1a에서 도시되지 않는다. 이후에, 추가의 주입 공정이 가능하게는, 추가적인 포토레지스트 마스크에 기반하여 수행될 수 있으며, 여기서, 또한 각 측벽 스페이서들(105a, 105b)과 결합한 제 1 및 제 2 위치 홀더들(104a, 104b)은 도핑 영역들(106a, 106b) 각각에서 원하는 가로방향 도펀트 프로파일을 획득하도록 주입 마스크로서 작용한다. 이후에, 대응하는 어닐 사이클들은 영역들(106a, 106b)에서 도펀트들을 활성화함과 아울러 손상된 결정 부분들을 재결정시키도록 수행될 수 있다. 대안적으로, 대응하는 어닐 공정들은 하나 이상의 전술한 주입들 이후에 수행될 수 있다. A typical process flow for forming semiconductor device 101 as shown in FIG. 1A may include the following processes. After forming or receiving the substrate 101 comprising the semiconductor layer 102, implant sequences establish a vertical dopant profile specified within the first and second semiconductor regions 107a, 107b. To be performed. Thereafter, the first and second position holders 104a and 104b may be formed by well-established deposition, photolithography and etching techniques, where the first and second position holders 104a and 104b are formed. ) (Ie, the horizontal dimension (or gate length dimension) of these elements in FIG. 1A) can be adapted to design the requirements, and will be approximately 100 nm (much smaller for very improved integrated circuits). Can be. Thereafter, dopant species may be introduced to form doped regions 106a and 106b therein. Depending on the device requirements, the device 100 may be masked correspondingly, for example by a photoresist mask, to form the regions 106a and 106b separately with the desired type of dopant material. During these implants, the position holders 104a and 104b act as implant masks to substantially avoid dopant intrusion into the respective semiconductor regions 107a and 107b. Thereafter, the sidewall spacers 105a and 105b may be formed by anisotropically etching the material layer while depositing a corresponding material layer. Typically, a liner material may be deposited before the spacer material so that when the semiconductor layer 102 is exposed to an anisotropic etching atmosphere, the surface is not excessively damaged. For convenience, the corresponding liner is not shown in FIG. 1A. Subsequently, an additional implantation process may be carried out, possibly based on an additional photoresist mask, where the first and second position holders 104a, 104b also combine with the respective sidewall spacers 105a, 105b. ) Acts as an injection mask to obtain the desired transverse dopant profile in each of the doped regions 106a and 106b. Corresponding anneal cycles may then be performed to activate the dopants in regions 106a and 106b as well as to recrystallize the damaged crystal portions. Alternatively, the corresponding anneal processes may be performed after one or more of the aforementioned implants.

매우 정교한 가로방향 도펀트 프로파일이 요구되는 일부 예들에서, 영역들(106a, 106b) 내에서 보다 복잡한 도펀트 프로파일을 획득하기 위해, 추가적인 주입 단계에 후속하는 추가적인 측벽 스페이서들(미도시)이 형성될 수 있다. 이후에, 특정 실시예들에서, 측벽 스페이서들(105a, 105b)은 잘-확립된 공정 레시피들에 기반한 선택적인 식각 공정에 의해 제거될 수 있다. 가령, 실리콘 질화물로 구성되는 스페이서들(105a, 105b)은 핫 인산(hot phosphoric acid)에 의해 선택적으 로 제거될 수 있다. 다른 예들에서, 스페이서들(105a, 105b)은 플라즈마 식각 공정에 의해 제거될 수 있는데, 일부 실시예들에서, 전형적으로 식각 정지층으로 사용되는 라이너(미도시)는 주입 사이클 동안에 유지될 수 있으며, 스페이서들(105a, 105b)의 제거 동안에 식각 정지층으로서 사용될 수 있다. 다른 실시예들에서, 스페이서들(105a, 105b)은 디바이스(100)의 추가적인 프로세싱 동안에 유지될 수 있다.In some examples where a very sophisticated transverse dopant profile is required, additional sidewall spacers (not shown) may be formed following an additional implantation step to obtain a more complex dopant profile in regions 106a and 106b. . Subsequently, in certain embodiments, sidewall spacers 105a and 105b may be removed by a selective etching process based on well-established process recipes. For example, the spacers 105a and 105b made of silicon nitride may be selectively removed by hot phosphoric acid. In other examples, spacers 105a and 105b may be removed by a plasma etching process, in some embodiments, a liner (not shown) typically used as an etch stop layer may be maintained during an injection cycle, It can be used as an etch stop layer during removal of the spacers 105a and 105b. In other embodiments, the spacers 105a, 105b may be maintained during further processing of the device 100.

도 1b는 개선된 제조 스테이지에서의 디바이스(100)를 개략적으로 도시한다. 여기에서, 디바이스(100)는 특정된 고유 응력을 갖는 유전층(108)을 포함하는데, 이는 제 1 및 제 2 위치 홀더들(104a, 104b)에 의해 둘러싸이도록 형성된다. 용어 "고유 응력"은 일정한 타입의 응력(즉, 인장 응력 또는 압축 응력), 또는 이들에 대한 임의의 변화(즉, 배향-의존 인장 또는 압축 응력뿐만 아니라 응력의 크기)를 특정하는 것으로 이해된다. 따라서, 일 실시예에서, 유전층(108)은 대략 0.1 내지 1.0 GPa(기가-파스칼)의 크기를 갖는 고유 인장 응력을 가질 수 있다. 유전층(108)은 실리콘 질화물과 같은 임의의 적절한 물질로 구성될 수 있다. 일 예시적인 실시예에서, 디바이스(100)는 유전층(108)과 비교할 때에 다른 물질 구조를 가지며 유전층(108)과 비교할 때에 훨씬 작은 두께를 갖는 컨포멀(conformal) 식각 정지층(109)을 더 포함할 수 있다. 예를 들어, 식각 정지층(109)은 실리콘 이산화물로 구성될 수 있다.1B schematically illustrates the device 100 in an improved manufacturing stage. Here, device 100 includes a dielectric layer 108 having a specified inherent stress, which is formed to be surrounded by first and second position holders 104a and 104b. The term “intrinsic stress” is understood to specify a certain type of stress (ie, tensile or compressive stress), or any change therein (ie, magnitude of stress as well as orientation-dependent tensile or compressive stress). Thus, in one embodiment, dielectric layer 108 may have an intrinsic tensile stress having a magnitude of approximately 0.1 to 1.0 GPa (Giga-Pascals). Dielectric layer 108 may be comprised of any suitable material, such as silicon nitride. In one exemplary embodiment, the device 100 further includes a conformal etch stop layer 109 having a different material structure compared to the dielectric layer 108 and having a much smaller thickness as compared to the dielectric layer 108. can do. For example, the etch stop layer 109 may be made of silicon dioxide.

식각 정지층(109)은 만일 제공되는 경우에, TEOS 또는 실란(silane)과 같은 전구체 물질들에 기반한 잘-확립된 플라즈마 증진된 화학 기상 증착(PECVD) 기법들에 의해 형성될 수 있다. 유전층(108)은 공지된 공정 레시피들에 기반한 PECVD 기 법들에 의해 형성될 수 있으며, 여기서 공정 파라메터들은 원하는 고유 응력을 달성하도록 조절될 수 있다. 예를 들어, 실리콘 질화물은 높은 압축 응력 또는 인장 응력으로 증착될 수 있는데, 여기서, 응력의 타입 및 크기는 증착 온도, 증착 압력, 툴 구성, 증착 공정 동안의 이온 충격(ion bombardment)을 조절하기 위한 바이어스 파워(bias power), 플라즈마 파워 등과 같은 공정 파라메터들을 조절함으로써 쉽게 조절될 수 있다. 예를 들어, 실리콘 질화물 증착 동안에, 증가된 이온 충격(즉, 증가된 바이어스 파워)은 나머지 파라메터들이 동일한 경우에, 압축 응력의 생성을 촉진시킨다. 일부 특정 실시예들에서, 유전층(108)의 증착 이후에, 결과적인 토포그래피(topography)는 가령, 잘-확립된 공정 레시피들에 따른 화학적 기계적 연마(CMP)에 의해 평탄화될 수 있다. 이에 의해, 유전층(108)의 과잉 물질은 실질적으로 플래너 표면(planar surface)에 도달하기 위해, 특정된 정도로 제거될 수 있거나, 혹은 일부 예시적인 실시예들에서, 물질 제거는 제 1 및 제 2 위치 홀더들(104a 및 104b)의 상부 표면들이 노출될 때까지 계속될 수 있다. 그러나, 다른 실시예들에서, 추가적인 프로세싱은 층(108)을 평탄화하지 않고서 수행될 수 있다. The etch stop layer 109 may be formed by well-established plasma enhanced chemical vapor deposition (PECVD) techniques based on precursor materials such as TEOS or silane, if provided. The dielectric layer 108 may be formed by PECVD techniques based on known process recipes, where the process parameters may be adjusted to achieve the desired intrinsic stress. For example, silicon nitride can be deposited with high compressive or tensile stresses, where the type and magnitude of stress is used to control deposition temperature, deposition pressure, tool configuration, and ion bombardment during the deposition process. It can be easily adjusted by adjusting process parameters such as bias power, plasma power and the like. For example, during silicon nitride deposition, increased ion bombardment (ie, increased bias power) promotes the generation of compressive stress when the remaining parameters are the same. In some specific embodiments, after deposition of dielectric layer 108, the resulting topography may be planarized, for example, by chemical mechanical polishing (CMP) according to well-established process recipes. Thereby, excess material in the dielectric layer 108 may be removed to a specified degree to substantially reach a planar surface, or in some exemplary embodiments, material removal may be in first and second positions. It may continue until the top surfaces of the holders 104a and 104b are exposed. However, in other embodiments, additional processing may be performed without planarizing layer 108.

도 1c는 추가적인 개선된 제조 스테이지에서의 디바이스(100)를 도시하는데, 여기서, 제 2 위치 홀더(104)를 둘러싸고 있었던 층(108)의 일부는 제거되지만, 제 1 위치 홀더(104a)는 나머지 유전층(108)(이는 (108a)로 지칭됨)에 의해 적어도 가로방향으로 여전히 임베딩(embedding) 된다. 더욱이, 레지스트 마스크(110)는 만일 제공되는 경우에, 제 2 위치 홀더(104b) 및 식각 정지층(109)을 포함하는 층(102)의 관련 부분을 노출하도록 디바이스(100)상에 형성된다. FIG. 1C shows the device 100 in a further improved fabrication stage, where a portion of the layer 108 that was surrounding the second location holder 104 is removed, while the first location holder 104a remains the remaining dielectric layer. It is still embedded at least in the transverse direction by 108 (which is referred to as 108a). Moreover, resist mask 110, if provided, is formed on device 100 to expose a relevant portion of layer 102 including second position holder 104b and etch stop layer 109.

레지스트 마스크(110)는 또한 P-타입 및 N-타입 트랜지스터들을 다르게 도핑함에 있어서 사용될 수 있는 포토리소그래피 기법들에 따라 형성될 수 있으며, 따라서, 대응하는 공정들은 잘-확립된다. 이후에, 유전층(108)은 특정된 고유 응력을 갖는 유전층(108a)을 최종적으로 획득하기 위해, 이방성 공정 레시피에 의해 선택적으로 식각될 수 있다. 이방성 식각 공정 동안에, 식각 정지층(109)은 만일 제공되는 경우에, 반도체 층(102)의 노출된 부분들의 손상 및/또는 과도한 물질 제거를 방지할 수 있다. The resist mask 110 may also be formed according to photolithography techniques that may be used in differently doping P-type and N-type transistors, so that corresponding processes are well-established. Thereafter, dielectric layer 108 may be selectively etched by an anisotropic process recipe to finally obtain dielectric layer 108a with the specified inherent stress. During the anisotropic etching process, the etch stop layer 109 may, if provided, prevent damage and / or excessive material removal of exposed portions of the semiconductor layer 102.

도 1d는 제 2 특정된 고유 응력을 갖는 제 2 유전층(111)을 구비한 디바이스(100)를 개략적으로 도시하는데, 이는 유전층 부분(108a), 제 2 위치 홀더(104b), 노출된 반도체 층(102) 또는 식각 정지층(109)을 커버한다. 식각 정지층(109)의 노출된 부분은 유전층(108)의 선행하는 이방성 식각 공정에 의해 야기된 임의의 손상 때문에 부적절한 것으로 고려되는 때에, 제 2 유전층(111)의 증착 이전에 제거될 수 있다. 이 경우에, 층(109)과 유사한 추가적인 식각 정지층이 증착될 수 있으며, 이는 이후에 또한 유전층 부분(108a)을 커버할 수 있으며(점선으로 도시됨), 그리고 제 2 위치 홀더(104b)와 반도체 층(102)의 노출된 부분들을 커버할 수 있다. 편의상, 이러한 식각 정지층 부분은 여전히 (109)로서 표시된다. 반도체 층(102) 상에 식각 정지층(109)의 제공은 이후의 제조 스테이지에서 접촉 개구들을 형성함에 있어서 유익할 수 있다. 그러나, 다른 실시예들에서, 식각 정지층(109)은 생략될 수 있다. 1D schematically illustrates a device 100 having a second dielectric layer 111 having a second specified intrinsic stress, which is the dielectric layer portion 108a, the second position holder 104b, and the exposed semiconductor layer ( 102 or etch stop layer 109. The exposed portion of the etch stop layer 109 may be removed prior to deposition of the second dielectric layer 111 when considered to be inadequate due to any damage caused by the preceding anisotropic etching process of the dielectric layer 108. In this case, an additional etch stop layer similar to layer 109 may be deposited, which in turn may also cover dielectric layer portion 108a (shown in dashed lines) and with second position holder 104b. The exposed portions of the semiconductor layer 102 may be covered. For convenience, this etch stop layer portion is still indicated as 109. The provision of the etch stop layer 109 on the semiconductor layer 102 may be beneficial in forming contact openings in subsequent fabrication stages. However, in other embodiments, etch stop layer 109 may be omitted.

실리콘 질화물과 같은 임의의 적절한 물질로 구성될 수 있는 제 2 유전 층(111)은 잘-확립된 증착 레시피들에 의해 증착될 수 있는데, 여기서 공정 파라메터들은 디바이스 요건들에 따라 원하는 고유 응력을 제공하도록 제어된다. 전술한 바와 같이, 실리콘 질화물은 넓은 범위의 압축 응력 및 인장 응력(예를 들어, 1.0 GPa 압축 응력 내지 1.0 GPa 인장 응력)을 갖는 잘-확립된 공정 레시피들에 기반하여 쉽게 증착될 수 있다. 일 특정 실시예에서, 제 2 유전층(111)의 고유 응력은 제 2 반도체 영역(107b)에 압축 응력을 첨가하도록 설계되는데, 이때에 이러한 영역은 P-타입 트랜지스터의 채널 영역을 나타낸다. 이후에, (유전층(108)이 제 1 위치 홀더(104a)를 훨씬 상회하는 레벨로 평탄화되었거나 혹은 평탄화되지 않은 때에) 도 1c 및 1d에 도시된 바와 같은 유전층(111)의 과잉 물질 그리고 가능하게는 층의 일부(108a)의 과잉 물질은 CMP 공정에 의해 제거될 수 있으며, 이에 따라 또한 디바이스(100)의 토포그래피를 평탄화한다. The second dielectric layer 111, which may be composed of any suitable material, such as silicon nitride, may be deposited by well-established deposition recipes, where the process parameters are set to provide the desired intrinsic stress according to the device requirements. Controlled. As mentioned above, silicon nitride can be readily deposited based on well-established process recipes having a wide range of compressive and tensile stresses (eg, 1.0 GPa compressive stress to 1.0 GPa tensile stress). In one particular embodiment, the intrinsic stress of the second dielectric layer 111 is designed to add compressive stress to the second semiconductor region 107b, where this region represents the channel region of the P-type transistor. Thereafter, excess material of the dielectric layer 111 and possibly as shown in FIGS. 1C and 1D (when the dielectric layer 108 is flattened or not planarized to a level far above the first position holder 104a). Excess material in the portion 108a of the layer may be removed by a CMP process, thus also planarizing the topography of the device 100.

도 1e는 전술한 공정 시퀀스 이후의 디바이스(100)를 개략적으로 도시한다. 따라서, 디바이스(100)는 제 1 위치 홀더(104a)를 가로방향으로 둘러싸는 층 부분(108)을 가지며, 제 2 위치 홀더(104b)를 가로방향으로 둘러싸는 제 2 층 부분(111b)을 갖는 실질적으로 플래너 토포그래피를 포함한다. 결과적으로, 층 부분(108a)의 실질적으로 균일하게 작용하는 고유 응력(본원에서, 이는 인장 응력(118a)으로서 도시됨)은 제 1 반도체 영역(107a)에서의 각각의 변형 및 이에 따른 스트레인(즉 본 예에서, 인장 스트레인)을 생성하는데, 이는 전형적으로 이러한 영역에서의 전자 이동도를 증가시킨다. 유사하게, 실질적으로 균일하게 작용하는 제 2 고유 응력을 갖는 층 부분(111b)(본 예에서, 압축 응력(121b)의 형태로 예시 됨)은 대응적으로 제 2 반도체 영역(107b) 내의 변형 또는 스트레인(본 예에서, 압축 스트레인)을 생성하며, 이에 따라 정공들의 이동도를 증가시킨다. 반도체 영역들(107a, 107b)에서 다른 스트레인을 생성하기 위한 기타 구성들이 예기될 수 있다. 예를 들어, 고유 응력(118a)은 압축 응력이 되며, 고유 응력(121b)은 인장 응력이 될 수 있거나, 혹은 고유 응력들(118a 및 121b) 모두는 인장 또는 압축 응력이 되며, 그 크기에서 다를 수 있다. 다른 예들에서, 고유 응력(118a 또는 121b)은 각 반도체 영역에서 실질적으로 제로 스트레인을 산출하도록 선택될 수 있는 반면에, 다른 반도체 영역은 원하는 크기의 스트레인을 수신한다. 이러한 구성은 보다 균일한 전기적 특성들의 P-타입 트랜지스터들 및 N-타입 트랜지스터들을 제공함에 있어서 유익할 수 있으며, 여기서 P-타입 트랜지스터들의 이동도는 증가되는 동안에, N-타입 트랜지스터들의 성능은 열화되지 않아야 한다. 1E schematically illustrates the device 100 after the above-described process sequence. Thus, device 100 has a layer portion 108 that transversely surrounds first position holder 104a and a second layer portion 111b that transversely surrounds second position holder 104b. Substantially planner topography. As a result, the substantially uniformly acting intrinsic stress of the layer portion 108a (here, which is shown as tensile stress 118a) is characterized by the respective strain in the first semiconductor region 107a and thus the strain (ie In this example, a tensile strain) is generated, which typically increases the electron mobility in this region. Similarly, the layer portion 111b (in this example, illustrated in the form of compressive stress 121b) having a second intrinsic stress that acts substantially uniformly has a corresponding deformation or in the second semiconductor region 107b or A strain (in this example, a compressive strain) is created, thereby increasing the mobility of the holes. Other configurations may be anticipated for producing other strains in the semiconductor regions 107a and 107b. For example, intrinsic stress 118a may be a compressive stress and intrinsic stress 121b may be a tensile stress, or both intrinsic stresses 118a and 121b may be tensile or compressive stress, and vary in magnitude. Can be. In other examples, the intrinsic stress 118a or 121b may be selected to yield substantially zero strain in each semiconductor region, while other semiconductor regions receive strain of the desired size. This configuration can be beneficial in providing P-type transistors and N-type transistors of more uniform electrical characteristics, where the performance of the N-type transistors is not degraded while the mobility of the P-type transistors is increased. Should not.

도 1f는 위치 홀더들(104a 및 104b)이 제거된 디바이스(100)를 개략적으로 도시한다. 더욱이, 각 게이트 절연층들(113a 및 113b)은 각각 제 1 및 제 2 반도체 영역들(107a 및 107b) 위에 형성된다. 1F schematically shows the device 100 with the position holders 104a and 104b removed. Furthermore, each gate insulating layer 113a and 113b is formed over the first and second semiconductor regions 107a and 107b, respectively.

위치 홀더들(104a 및 104b)의 제거는 선택적인 식각 공정에 의해 수행될 수 있는데, 이는 플라즈마 식각 공정 및/또는 습식 화학 식각 공정을 포함할 수 있다. 가령, 실리콘 이산화물 또는 비결정질 탄소로 구성되는 때의 위치 홀더들(104a, 104b)은, 잘-확립된 공정 레시피들에 기반하여 가령 실리콘 질화물로 구성되는 때의 층 부분들(108 및 111b)에 대하여, 그리고 제 1 및 제 2 반도체 영역들(107a, 107b)의 물질에 대하여 쉽게 선택적으로 식각될 수 있다. 예를 들어, 제거 공정은 필수적인 량의 제 1 및 제 2 위치 홀더들(104a, 104b)을 선택적으로 제거하는 플라즈마 식각 공정을 포함할 수 있으며, 이러한 위치 홀더들의 나머지 부분은 이후에 영역들(107a, 107b)을 과도하게 손상하지 않도록 높은 등방성 또는 습식 식각 공정에 의해 제거될 수 있다. 다른 실시예들에서, 부가적으로 또는 대안적으로, 영역들(107a 및 107b)의 손상된 표면 부분들은 가령, 열 산화 또는 습식 화학 산화에 의해 산화될 수 있으며, 산화된 부분은 영역들(107a, 107b)을 크게 손상하지 않고서 가령, 불산(HF)에 기반하여, 매우 선택적인 습식 화학 식각 공정에 의해 제거될 수 있다. Removal of the position holders 104a and 104b may be performed by a selective etching process, which may include a plasma etching process and / or a wet chemical etching process. For example, the position holders 104a, 104b when composed of silicon dioxide or amorphous carbon, relative to the layer portions 108 and 111b when composed of silicon nitride, for example, based on well-established process recipes. And may be easily selectively etched with respect to the material of the first and second semiconductor regions 107a and 107b. For example, the removal process may include a plasma etching process that selectively removes the required amount of the first and second location holders 104a and 104b, with the remainder of these location holders subsequently being regions 107a. , 107b) can be removed by a high isotropic or wet etching process so as not to overly damage it. In other embodiments, additionally or alternatively, damaged surface portions of regions 107a and 107b may be oxidized, for example, by thermal or wet chemical oxidation, wherein the oxidized portion is formed in regions 107a, 107b) can be removed by a highly selective wet chemical etching process, for example based on hydrofluoric acid (HF), without significant damage.

위치 홀더들(104a, 104b)의 제거 이후에, 게이트 절연층들(113a, 113b)은 설계 요건들에 따른 산화 및/또는 증착에 의해 형성될 수 있다. 예를 들어, 게이트 절연층들(113a, 113b)은 개선된 트랜지스터 디바이스들에 대해 요구되는 바와 같이 미세하게 조정되는 층 두께를 획득하기 위해, 잘-확립된 레시피들에 따른 열 산화 또는 습식 화학 산화에 의해 형성될 수 있다. 이에 의해, 게이트 절연층의 두께는 1.5 내지 수 나노미터의 범위가 될 수 있다. 다른 실시예들에서, 매우 얇은 열 산화물이 형성될 수 있으며, 이후에 게이트 절연층들(113a, 113b)의 원하는 최종 두께를 달성하기 위해 적절한 유전 물질의 증착이 후속된다. 대응하는 증착된 층은 점선으로 도시되고 (112)로 표시된다. 게이트 절연층들(113a, 113b)은 또한 오직 증착된 층(112)만에 의해 형성될 수 있다. 일부 예시적인 실시예들에서, 게이트 절연층들(113a, 113b)의 형성 이전에, 위치 홀더(104a)에 의해 정의되는 개구의 초기 길이(112a)가 형성될 원하는 값의 게이트 전극에 대해 훨씬 큰 때에, 층(112)과 같 은 유전층이 매우 컨포멀(confomal) 방식으로, 그리고 정확하게 정의되는 층 두께로 증착될 수 있다. 이후에, 개구의 바닥부에(즉, 영역(107a) 상에) 증착된 물질은 전형적인 측벽 스페이서 기법들에서 사용되는 것과 유사한 이방성 식각 공정으로 제거될 수 있다. 이러한 방식으로, 트랜지스터 구조들의 게이트 길이는 포토리소그래피에서의 변동들을 보상하도록 또는 포토리소그래피의 분해능을 확장하도록 미세-조정될 수 있다. 이후에, 각 게이트 절연층들은 전술한 바와 같이 형성될 수 있다. After removal of the position holders 104a and 104b, the gate insulating layers 113a and 113b may be formed by oxidation and / or deposition in accordance with design requirements. For example, gate insulating layers 113a and 113b may be thermally oxidized or wet chemically oxidized according to well-established recipes to obtain a finely adjusted layer thickness as required for improved transistor devices. It can be formed by. By this, the thickness of the gate insulating layer may be in the range of 1.5 to several nanometers. In other embodiments, very thin thermal oxide can be formed, followed by deposition of a suitable dielectric material to achieve the desired final thickness of the gate insulating layers 113a and 113b. The corresponding deposited layer is shown by dashed lines and indicated at 112. Gate insulating layers 113a and 113b may also be formed by only the deposited layer 112. In some exemplary embodiments, prior to the formation of the gate insulating layers 113a, 113b, the initial length 112a of the opening defined by the position holder 104a is much larger for the gate electrode of the desired value to be formed. At this time, a dielectric layer such as layer 112 may be deposited in a very conformal manner and with a precisely defined layer thickness. Subsequently, the material deposited at the bottom of the opening (ie on region 107a) may be removed in an anisotropic etching process similar to that used in typical sidewall spacer techniques. In this way, the gate length of the transistor structures can be fine-adjusted to compensate for variations in photolithography or to extend the resolution of photolithography. Thereafter, each gate insulating layer may be formed as described above.

도 1g는 도전성 물질(123) 층이 도 1f의 구조 위에 형성되는 반도체 디바이스(100)를 개략적으로 도시한다. 층(123)은 도핑된 폴리실리콘으로 구성될 수 있거나, 혹은 매우 개선된 반도체 디바이스들에 대한 실시예들에서는 금속 또는 금속 화합물을 포함할 수 있다. 예를 들어, 층(123)은 텅스텐, 텅스텐 실리사이드, 알루미늄, 니켈, 구리, 또는 임의의 이들의 화합물들 등을 포함할 수 있다. 층(123)에 사용되는 물질 타입에 의존하여, 대응하는 증착 기법들이 사용될 수 있다. 가령, 폴리실리콘, 알루미늄, 텅스텐, 텅스텐 실리사이드 등은 잘-확립된 화학 기상 증착(CVD) 기법들에 기반하여 쉽게 증착될 수 있다. 다른 경우들에서, 전기도금 또는 무전해 도금(eletroless plating)과 같은 도금 방법들은 제 1 및 제 2 반도체 영역들(107a 및 107b) 위의 각 개구들을 신뢰성있게 충전(filling)하는데 사용될 수 있다. 이후에, 층(123)의 모든 과잉 물질은 식각, 화학적 기계적 연마, 및 임의의 이들의 조합과 같은 임의의 적절한 기법에 의해 제거될 수 있다.FIG. 1G schematically illustrates a semiconductor device 100 in which a layer of conductive material 123 is formed over the structure of FIG. 1F. Layer 123 may be composed of doped polysilicon or may comprise a metal or metal compound in embodiments for highly improved semiconductor devices. For example, layer 123 may comprise tungsten, tungsten silicide, aluminum, nickel, copper, or any compounds thereof, and the like. Depending on the type of material used for layer 123, corresponding deposition techniques may be used. For example, polysilicon, aluminum, tungsten, tungsten silicides and the like can be readily deposited based on well-established chemical vapor deposition (CVD) techniques. In other cases, plating methods, such as electroplating or electroless plating, can be used to reliably fill the respective openings over the first and second semiconductor regions 107a and 107b. Thereafter, all excess material of layer 123 may be removed by any suitable technique, such as etching, chemical mechanical polishing, and any combination thereof.

도 1h는 층(123)의 과잉 물질이 제거된, 그리고 추가적인 층간 절연막(126) 이 결과적인 구조의 최상위 층으로서 형성된 반도체 디바이스(100)를 개략적으로 도시한다. 따라서, 디바이스(100)는 제 1 반도체 영역(107a) 위의 게이트 전극 구조(124a)와 제 2 반도체 영역(107b) 위의 제 2 게이트 전극 구조(124b)를 포함하며, 이에 따라 제 1 트랜지스터 요소(130a)와 제 2 트랜지스터 요소(130b)를 정의한다. 게다가, 도 1h에 도시된 바와 같이, 층 부분(108a)은 높이(125a)까지 게이트 전극 구조(124a) 상에서 실질적으로 균일하게 작용하는 제 1 고유 응력(118a)을 제공하며, 제 2 층 부분(111b)은 그 높이(125b)까지 제 2 게이트 전극 구조(124b) 상에서 실질적으로 균일하게 작용하는 제 2 고유 응력(121b)을 제공한다. 결과적으로, 응력들(118a, 121b)에 의존하여, 각 변형들 또는 스트레인들이 관련 반도체 영역들 또는 채널 영역들(107a, 107b)에서 달성된다. 따라서, 이러한 채널 영역들에서의 전하 캐리어 이동도는 응력(118a, 121b)을 대응적으로 제어함으로써 개별적으로 조절가능하다. 특히, 도 1h에 도시된 바와 같은 트랜지스터 구성은 실질적으로 플래너(planar)이며, 관련 게이트 전극 구조들(124a, 124b)에 대하여 도핑된 영역들(106a, 106b)(즉, 각 드레인 및 소스 영역들)의 자기-정렬된 형성을 가능하게 한다. 게다가, 게이트 전극 구조들(124a, 124b)은 금속, 금속 화합물, 하이(high) 도핑된 폴리실리콘, 또는 임의의 이들의 조합 등과 같은 매우 도전성인 물질로 형성될 수 있다. 특정 실시예들에서, 게이트 전극 구조들(124a, 124b)은 실질적으로 금속으로 구성된다.FIG. 1H schematically illustrates a semiconductor device 100 from which excess material of layer 123 has been removed and an additional interlayer insulating film 126 is formed as the top layer of the resulting structure. Thus, device 100 includes a gate electrode structure 124a over a first semiconductor region 107a and a second gate electrode structure 124b over a second semiconductor region 107b, thus providing a first transistor element. 130a and the second transistor element 130b are defined. In addition, as shown in FIG. 1H, the layer portion 108a provides a first intrinsic stress 118a that acts substantially uniformly on the gate electrode structure 124a up to a height 125a, and the second layer portion ( 111b provides a second intrinsic stress 121b that acts substantially uniformly on second gate electrode structure 124b up to its height 125b. As a result, depending on the stresses 118a and 121b, respective strains or strains are achieved in the relevant semiconductor regions or channel regions 107a and 107b. Thus, the charge carrier mobility in these channel regions is individually adjustable by correspondingly controlling the stresses 118a and 121b. In particular, the transistor configuration as shown in FIG. 1H is substantially planar and doped regions 106a and 106b (ie, respective drain and source regions) with respect to the associated gate electrode structures 124a and 124b. Self-aligned formation). In addition, gate electrode structures 124a and 124b may be formed of a highly conductive material, such as a metal, a metal compound, high doped polysilicon, or any combination thereof. In certain embodiments, gate electrode structures 124a and 124b are substantially composed of metal.

도 2는 본 발명의 추가의 예시적인 실시예들에 따른 중간 제조 스테이지에서의 반도체 디바이스(200)를 개략적으로 도시한다. 도 2에서, 도 1d 및 1e에서 도시 된 바와 같은 동일하거나 유사한 구성요소들은 "1" 대신에 선행하는 "2"를 제외하고, 동일한 참조 부호들로 표시된다. 따라서, 디바이스(200)는 반도체 층(202)이 그 위에 형성된 기판(201)을 포함하는데, 반도체 층(202)은 관련 도핑된 영역들(206a, 206b)을 갖는 제 1 및 제 2 반도체 영역들(207a, 207b)을 포함한다. 위치 홀더들(204a, 204b)은 특정된 고유 응력을 갖는 유전층(208)에 가로방향으로 임베딩 된다. 게다가, 레지스트 마스크(210)는 제 2 반도체 영역(207b)과 관련된 디바이스의 그러한 부분을 노출시키도록 유전층(208) 위에 형성된다. 도 2에 도시된 바와 같은 디바이스(200)의 형성에 관하여, 도 1a, 1b 및 1c에 관한 상세한 설명을 참조한다. 2 schematically illustrates a semiconductor device 200 at an intermediate fabrication stage in accordance with further exemplary embodiments of the present invention. In FIG. 2, the same or similar components as shown in FIGS. 1D and 1E are denoted by the same reference signs, except for the preceding “2” instead of “1”. Thus, device 200 includes a substrate 201 having a semiconductor layer 202 formed thereon, the semiconductor layer 202 having first and second semiconductor regions having associated doped regions 206a and 206b. (207a, 207b). Position holders 204a and 204b are embedded transversely in dielectric layer 208 having a specified intrinsic stress. In addition, a resist mask 210 is formed over the dielectric layer 208 to expose such portions of the device associated with the second semiconductor region 207b. Regarding the formation of the device 200 as shown in FIG. 2, reference is made to the detailed description of FIGS. 1A, 1B and 1C.

게다가, 디바이스(200)는 레지스트 마스크(210)에 의해 커버되지 않은 유전층(208)의 층 부분(208b)의 응력 특성들을 변형시키도록 이온 충격(240)을 받게 된다. 예를 들어, 크세논, 아르곤, 실리콘 등과 같은 무거운 불활성 이온들이 부분(208b)에 주입될 수 있으며, 이에 따라 적어도 부분적으로 특정된 고유 응력을 완화(relax)시킨다. 결과적으로, 층 부분(208a)은 특정된 고유 응력을 유지하며, 이에 따라 제 1 반도체 영역(207a) 내에서 특정의 변형을 생성하며, 제 2 반도체 영역(207b)의 대응하는 스트레인은 이와 크게 다른데, 이는 층 부분(208b) 내의 완화 정도에 의존한다. 예를 들어, 유전층(208)은 가령, 영역들(206a, 207a)이 P-타입 트랜지스터 구성을 나타내는 때에, 제 1 반도체 영역(207a)의 정공 이동도를 크게 개선하기 위해 높은 압축 응력으로 증착되었을 수 있다. 층 부분(208b)의 초기의 압축 응력을 특정의 정도로 완화함으로써, (N-타입 채널 영역으로 설계된 때에) 제 2 반도체 영역(207b) 내의 전자 이동도의 감소 량은 이후에 설계 요건들에 따라 조절될 수 있다. 이미 전술한 바와 같이, 제 1 및 제 2 반도체 영역들(207a, 207b)은 반드시 다른 타입들의 채널 영역들을 나타낼 필요가 없으며, 또한 동일한 채널 영역들을 나타낼 수 있는데, 여기서 가령, 다른 정도의 동작 특성 또는 디바이스 균일성의 조절을 위한 원하는 정도는 도 2에 도시된 바와 같은 공정 기법에 의해 달성될 수 있다. In addition, device 200 is subjected to ion bombardment 240 to modify the stress characteristics of the layer portion 208b of dielectric layer 208 that is not covered by resist mask 210. For example, heavy inert ions, such as xenon, argon, silicon, and the like, may be implanted in the portion 208b, thereby relaxing at least partially specified intrinsic stress. As a result, the layer portion 208a maintains the specified intrinsic stress, thus creating a specific strain in the first semiconductor region 207a, the corresponding strain of the second semiconductor region 207b being quite different from this. This depends on the degree of relaxation in layer portion 208b. For example, dielectric layer 208 may have been deposited with high compressive stress to greatly improve hole mobility in first semiconductor region 207a, for example, when regions 206a and 207a exhibit a P-type transistor configuration. Can be. By mitigating the initial compressive stress of the layer portion 208b to a certain degree, the amount of reduction in electron mobility in the second semiconductor region 207b (when designed as an N-type channel region) is then adjusted in accordance with design requirements. Can be. As already mentioned above, the first and second semiconductor regions 207a and 207b need not necessarily represent different types of channel regions, but may also represent the same channel regions, for example, with different degrees of operating characteristics or The desired degree for adjusting device uniformity can be achieved by a process technique as shown in FIG. 2.

디바이스(200)의 추가적인 프로세싱은 또한 도 1e 내지 1h에 도시된 디바이스(100)를 참조하여 설명되는 바와 같이 계속될 수 있다. Further processing of device 200 may also continue as described with reference to device 100 shown in FIGS. 1E-1H.

도 3a는 본 발명의 추가의 예시적인 실시예들에 따른 반도체 디바이스(300)를 개략적으로 도시한다. 디바이스(300)는 도 1e에 도시된 것과 유사한 디바이스를 나타낼 수 있으며, 따라서, 동일하거나 유사한 구성요소들은 "1" 대신에 선행하는 "3"을 제외하고, 동일한 참조 번호들로 표시된다. 따라서, 이러한 구성요소들에 대한 상세한 설명은 여기서 생략된다. 게다가, 디바이스(300)는 수소, 헬륨 또는 산소와 같은 광 이온 종들을 반도체 층(302) 또는 기판(301)에 삽입하기 위해 이온 주입(350)을 받게 된다. 이온 주입(350)은 높은 도즈 및 적절한 에너지로 수행되며, 이에 따라 층(302) 및/또는 기판(301) 내의 원하는 깊이에서 높은 불순물 농도를 달성하게 된다. 예를 들어, 초기에 주입되는 피크 농도는 대략 1021 내지 1023 atoms/cm3의 범위의 농도를 달성하기 위해 선택될 수 있다. 헬륨 또는 수소에 대한 전형적인 주입 파라메터들은 대략 3 내지 15 keV가 될 수 있는데, 이는 대략 5× 1015 내지 2×1016 ions per cm2의 도즈와 함께 원하는 침투 깊이에 의존한다. 이후에, 열 처리가 수 분의 시간 기간 동안에 가령, 대략 350 내지 1,000℃의 온도들에서(전형적으로, 대략 700 내지 950℃에서) 수행되어, 층(302) 및/또는 기판(301) 내에 "거품들(bubbles)" 또는 "보이드들(voids)"을 생성할 수 있다. 이온 주입(350)이 층 부분들(308a, 311b)(여기서, 위치 홀더들(304a, 304b)은 여전히 존재한다)을 통해 수행되기 때문에, 거품들(351)에 대한 실질적으로 균일한 깊이가 달성된다. 광 불활성 종들이 도입되기 때문에, 주입 동안의 정지 메커니즘은 주로 크리스털 일렉트론들(crystal electrons)과의 상호작용에 기초하며, 따라서, 층들(308a, 311b)에서의 손상 및 이에 따른 응력 완화는 무시할만하게 된다. 거품들(351) 때문에, 나머지 층(302) 및/또는 기판(301)으로부터 영역들(306a, 307a, 306b, 307b)의 일정한 정도의 기계적 결합해제가 달성될 수 있으며, 이에 따라 층 부분들(308a, 311b)로부터 각 영역들(307a, 307b)로의 응력 전달을 크게 개선시킨다. 따라서, 영역들(307a, 307b)에 대한 스트레인 기술은 크게 개선될 수 있으며, 따라서, 전하 캐리어 이동도 및 채널 도전성이 보다 효율적으로 개선될 수 있다.3A schematically illustrates a semiconductor device 300 in accordance with further exemplary embodiments of the present invention. Device 300 may represent a device similar to that shown in FIG. 1E, such that identical or similar components are denoted by the same reference numerals, except for the preceding “3” instead of “1”. Thus, detailed descriptions of these components are omitted here. In addition, device 300 is subjected to ion implantation 350 to insert photo ion species, such as hydrogen, helium or oxygen, into semiconductor layer 302 or substrate 301. Ion implantation 350 is performed at a high dose and appropriate energy, thereby achieving a high impurity concentration at the desired depth in layer 302 and / or substrate 301. For example, the peak concentration initially injected may be selected to achieve a concentration in the range of approximately 10 21 to 10 23 atoms / cm 3 . Typical implant parameters for helium or hydrogen can be approximately 3 to 15 keV, depending on the desired penetration depth with a dose of approximately 5 × 10 15 to 2 × 10 16 ions per cm 2 . Thereafter, the heat treatment is performed for a period of several minutes, such as at temperatures of approximately 350 to 1,000 degrees Celsius (typically at approximately 700 to 950 degrees Celsius), so as to " Bubbles ”or“ voids ”. Since ion implantation 350 is performed through layer portions 308a and 311b (where the position holders 304a and 304b are still present), a substantially uniform depth for the bubbles 351 is achieved. do. Since light inert species are introduced, the stop mechanism during implantation is mainly based on the interaction with crystal electrons, so that the damage and thus the stress relaxation in layers 308a and 311b are negligible. . Because of the bubbles 351, a certain degree of mechanical dissociation of the regions 306a, 307a, 306b, 307b from the remaining layer 302 and / or the substrate 301 can be achieved, so that the layer portions ( The stress transfer from 308a, 311b to the respective regions 307a, 307b is greatly improved. Therefore, the strain technique for the regions 307a and 307b can be greatly improved, and thus the charge carrier mobility and channel conductivity can be improved more efficiently.

다른 실시예들에서, 이온 주입(350)은 초기 제조 스테이지에서, 가령, 층 부부분들(308a, 311b)의 형성 이전에, 가능하게는 위치 홀더들(304a, 304b)의 형성 이전에 수행될 수 있으며, 이에 따라 모든 완화 효과들(비록 이들은 전술한 바와 같이 매우 작을 수 있지만은)을 회피할 수 있다. 거품들(351)은 이후에 영역(306a, 306b)의 도펀트들을 활성화하기 위한 모든 어닐 사이클들 동안에 생성될 수 있다. In other embodiments, ion implantation 350 may be performed at an initial fabrication stage, such as prior to formation of layer couples 308a and 311b, possibly prior to formation of location holders 304a and 304b. Thus, all mitigating effects (although they can be very small as described above) can be avoided. Bubbles 351 may then be generated during all anneal cycles to activate dopants in regions 306a and 306b.

도 3b는 반도체 디바이스(300)를 개략적으로 도시하는데, 여기서, 위치 홀더들(304a, 304b)은 이온 주입(350) 이전에 제거된다. 이 경우에, 주입 에너지는 영역들(306a, 306b)에 실질적으로 영향을 미치지 않고서 반도체 층(302) 내에 광 이온 주입들을 위치시키도록 선택될 수 있다. 따라서, 반도체 영역들(307a, 307b)은 거품들(351)에 의해 나머지 반도체 층(302)으로부터 매우 효율적으로 결합해제될 수 있다. 따라서, 영역들(307a, 307b)에 전달되는 응력은 또한 크게 증가한다. 게다가, 거품들(351)은 스스로 응력 소스로서 작용하며, 이에 따라 또한 각 영역들(307a, 307b) 내에 대응하는 스트레인을 생성한다. 이러한 방식으로, 2개의 효율적인 스트레인-유도 메커니즘들이 결합될 수 있다. 3B schematically shows a semiconductor device 300, where the position holders 304a, 304b are removed before ion implantation 350. In this case, the implantation energy can be selected to position the photo ion implants in the semiconductor layer 302 without substantially affecting the regions 306a and 306b. Thus, the semiconductor regions 307a and 307b can be very efficiently decoupled from the remaining semiconductor layer 302 by the bubbles 351. Thus, the stress transmitted to the regions 307a and 307b also greatly increases. In addition, the bubbles 351 themselves act as a stress source, thus also creating a corresponding strain in the respective regions 307a, 307b. In this way, two efficient strain-inducing mechanisms can be combined.

결과적으로, 본 발명은 반도체 디바이스 및 그 형성 기법을 제공하는데, 여기서, 형성 공정이 매우 도전성인 게이트 전극들을 포함하는 플래너(planar) 트랜지스터 아키텍처들을 형성하게 하는 동안에, 서로 다른 반도체 영역들은 다른 스트레인을 수신할 수 있다. 이를 위해, 다양한 트랜지스터 요소들의 게이트 전극 구조들을 가로방향으로 둘러싸는 유전층은 국부적으로 변형되며, 따라서, 적어도 2개의 서로 다른 스트레인 요소들이 각 채널 영역들에서 획득된다. 따라서, 상보형 트랜지스터 쌍들이 형성될 수 있으며, 각 트랜지스터는 다른 스트레인드 채널(strained channel) 영역을 갖는다. 스트레인-유도 응력층의 변형은 층의 특정 부분을 제거하며, 이를 다른 고유 응력을 지닌 층 부분으로 대체하며, 그리고/또는 고유 응력을 원하는 정도로 완화함으로써 달성될 수 있다. 더욱이, 개선된 응력 또는 스트레인 엔지니어링 기법과 인-레이드 게이트 전극 구조들에 대한 공정의 결합으로 인해, 매우 크게 도전성인 게이트 전극 구조들이 달성될 수 있으며, 이에 따라 심지어, 100 nm 및 이보다 훨씬 작은 게이트 길이를 갖는 매우 크게 스케일된 디바이스들에 개선된 게이트 및 채널 도전성을 제공한다. 부가적으로, 국부적인 응력 변형은 유익하게 인접 물질로부터 채널 영역들을 효율적으로 결합해제하기 위한 메커니즘과 결합할 수 있으며, 이에 따라 각 채널 영역들로의 응력 전달의 효율성을 현저하게 개선한다. As a result, the present invention provides a semiconductor device and its formation technique, wherein different semiconductor regions receive different strains while allowing the formation process to form planar transistor architectures comprising highly conductive gate electrodes. can do. To this end, the dielectric layer that transversely surrounds the gate electrode structures of the various transistor elements is locally deformed, so that at least two different strain elements are obtained in each channel region. Thus, complementary transistor pairs can be formed, each transistor having a different strained channel region. Deformation of the strain-induced stress layer can be achieved by removing certain portions of the layer, replacing it with layer portions having other intrinsic stresses, and / or relieving the intrinsic stresses to the desired extent. Moreover, due to the combination of improved stress or strain engineering techniques and processes for in-laid gate electrode structures, very largely conductive gate electrode structures can be achieved, thus even 100 nm and much smaller gate lengths. Provides improved gate and channel conductivity for very large scaled devices with In addition, local stress deformation can advantageously be combined with a mechanism for efficiently decoupling channel regions from adjacent materials, thereby significantly improving the efficiency of stress transfer to each channel region.

개시된 특정 실시예들은 단지 예시적인 것인데, 이는 본 발명이 본원의 개시에 대한 이점을 갖는 기술분야의 당업자들에게 다르지만 자명한 등가 방식들로 변형되어 실시될 수 있기 때문이다. 예를 들어, 개시된 공정 단계들은 다른 순서로 수행될 수 있다. 더욱이, 하기의 청구범위에서 설명되는 것 이외에, 본원에서 도시된 구성 또는 설계의 세부사항들에 대한 어떤 제한도 의도되지 않는다. 따라서, 개시된 특정 실시예들이 변경되거나 변형되며, 모든 이러한 변화들은 본 발명의 사상과 범주 내에 있는 것임이 자명하다. 따라서, 본원에서 요구되는 보호범위는 하기의 청구범위에서 제시된 바와 같다. The specific embodiments disclosed are merely exemplary, as the invention may be practiced in different but apparent equivalent ways to those skilled in the art having the benefit of the disclosure herein. For example, the disclosed process steps can be performed in a different order. Moreover, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. Accordingly, it is to be understood that the specific embodiments disclosed are modified or modified, and all such changes are within the spirit and scope of the invention. Accordingly, the scope of protection required herein is as set forth in the claims below.

Claims (12)

기판(101)상에 위치된 반도체 층(102)에 형성되어 있는 제 1 반도체 영역(107a) 위에 제 1 위치 홀더 구조(104a)를 형성하는 단계와;Forming a first position holder structure (104a) over a first semiconductor region (107a) formed in a semiconductor layer (102) positioned on a substrate (101); 상기 반도체 층(102)에 형성되어 있는 제 2 반도체 영역(107b) 위에 제 2 위치 홀더 구조(104b)를 형성하는 단계와; Forming a second position holder structure (104b) over a second semiconductor region (107b) formed in said semiconductor layer (102); 상기 제 1 및 제 2 위치 홀더 구조들(104a, 104b)을 둘러싸도록, 상기 반도체 층(102) 위에 특정된 고유 응력을 갖는 유전층(108)을 증착하는 단계와;Depositing a dielectric layer (108) having a specified intrinsic stress on the semiconductor layer (102) so as to surround the first and second position holder structures (104a, 104b); 상기 제 2 위치 홀더 구조(104b)를 둘러싸는 상기 유전층(108)의 일부에 대한 상기 고유 응력을 변경시키도록, 상기 유전층(108)의 일부를 변형시키는 단계와; 그리고Modifying a portion of the dielectric layer (108) to change the intrinsic stress on the portion of the dielectric layer (108) surrounding the second position holder structure (104b); And 상기 제 1 및 제 2 위치 홀더 구조들(104a, 104b)을 도전성 물질로 대체하는 단계를 포함하는 것을 특징으로 하는 방법.Replacing the first and second position holder structures (104a, 104b) with a conductive material. 제 1항에 있어서, 상기 반도체 층(102)에서, 상기 제 1 및 제 2 반도체 영역들(107a, 107b)에 인접하여 도핑된 영역들(106a, 106b)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.2. The method of claim 1, further comprising forming doped regions 106a and 106b in the semiconductor layer 102 adjacent to the first and second semiconductor regions 107a and 107b. How to. 제 2항에 있어서, 상기 도핑된 영역들(106a, 106b)을 형성하는 단계는 상기 제 1 및 제 2 위치 홀더 구조들을 주입 마스크로서 사용하는 동안에, 주입 공정에 의해 적어도 하나의 도펀트 종들을 도입하는 단계를 포함하는 것을 특징으로 하는 방법.3. The method of claim 2, wherein forming the doped regions 106a, 106b comprises introducing at least one dopant species by an implantation process while using the first and second position holder structures as an implantation mask. And comprising a step. 제 3항에 있어서, 상기 도핑된 영역들(106a, 106b)을 형성하는 단계는 상기 제 1 및 제 2 위치 홀더 구조들 각각의 측벽들 상에 적어도 하나의 측벽 스페이서 요소를 형성함과 아울러 상기 적어도 하나의 측벽 스페이서를 적어도 상기 이온 주입 공정의 일 단계 동안에 주입 마스크로서 사용하는 것을 포함하는 것을 특징으로 하는 방법.4. The method of claim 3, wherein forming the doped regions 106a, 106b comprises forming at least one sidewall spacer element on sidewalls of each of the first and second position holder structures, as well as the at least one. Using one sidewall spacer as an implantation mask during at least one step of the ion implantation process. 제 5항에 있어서, 상기 유전층(108)을 증착하기 이전에, 상기 적어도 하나의 측벽 스페이서를 제거하는 것을 더 포함하는 것을 특징으로 하는 방법.6. The method of claim 5, further comprising removing the at least one sidewall spacer prior to depositing the dielectric layer (108). 제 1항에 있어서, 상기 제 2 위치 홀더(104b)를 둘러싸는 상기 일부를 변형시키는 단계는 상기 일부를 제거하는 것을 포함하는 것을 특징으로 하는 방법.The method of claim 1, wherein deforming the portion surrounding the second position holder (104b) comprises removing the portion. 제 7항에 있어서, 상기 반도체 층(102) 위에 제 2 유전층(126)을 증착하는 것을 더 포함하며, 여기서, 상기 제 2 유전층(126)은 상기 유전층(108)의 고유 응력과 다른 제 2 고유 응력을 갖는 것을 특징으로 하는 방법.8. The method of claim 7, further comprising depositing a second dielectric layer 126 over the semiconductor layer 102, wherein the second dielectric layer 126 is a second intrinsic different from the intrinsic stress of the dielectric layer 108. And having a stress. 제 8항에 있어서, 상기 제 2 위치 홀더 구조(104b)의 상부 표면을 노출시키 도록, 상기 제 2 유전층(126)의 물질을 제거하는 것을 더 포함하는 것을 특징으로 하는 방법.9. The method of claim 8, further comprising removing material of the second dielectric layer (126) to expose the top surface of the second position holder structure (104b). 제 7항에 있어서, 상기 제 2 위치 홀더 구조(104b)를 둘러싸는 상기 일부를 제거하기 이전에, 상기 제 2 유전층(126)의 표면을 평탄화하는 것을 더 포함하는 것을 특징으로 하는 방법. 8. The method of claim 7, further comprising planarizing the surface of the second dielectric layer (126) prior to removing the portion surrounding the second position holder structure (104b). 제 1항에 있어서, 상기 제 2 위치 홀더 구조(104b)를 둘러싸는 상기 일부를 변형시키는 단계는 상기 일부의 상기 고유 응력을 선택적으로 완화하는 것을 포함하는 것을 특징으로 하는 방법.The method of claim 1, wherein deforming the portion surrounding the second position holder structure (104b) includes selectively relieving the intrinsic stress of the portion. 제 10항에 있어서, 상기 고유 응력은 상기 일부에 대한 이온 충격(ion bombardment)으로 선택적으로 완화되는 것을 특징으로 하는 방법.11. The method of claim 10, wherein said intrinsic stress is selectively relaxed by ion bombardment to said portion. 제 1항에 있어서, 상기 제 1 반도체 영역(107a)과 상기 제 2 반도체 영역(107b) 중 적어도 하나에 인접하는 영역에 불활성 종들을 주입함과 아울러 상기 불활성 종들에 의해 야기되는 보이드들(voids)을 형성하기 위해, 상기 기판을 열 처리하는 것을 더 포함하는 것을 특징으로 하는 방법.The method of claim 1, wherein the voids caused by the inert species are injected while injecting the inert species into a region adjacent to at least one of the first semiconductor region 107a and the second semiconductor region 107b. Further comprising heat treating the substrate to form a substrate.
KR1020077008251A 2004-10-29 2005-10-12 A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same KR20070069160A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102004052617.6 2004-10-29
DE102004052617A DE102004052617B4 (en) 2004-10-29 2004-10-29 A method of manufacturing a semiconductor device and semiconductor device having semiconductor regions having differently deformed channel regions
US11/145,697 US20060094193A1 (en) 2004-10-29 2005-06-06 Semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US11/145,697 2005-06-06

Publications (1)

Publication Number Publication Date
KR20070069160A true KR20070069160A (en) 2007-07-02

Family

ID=35892524

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077008251A KR20070069160A (en) 2004-10-29 2005-10-12 A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same

Country Status (4)

Country Link
JP (1) JP2008518476A (en)
KR (1) KR20070069160A (en)
GB (1) GB2434036A (en)
WO (1) WO2006049834A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9166162B2 (en) 2012-05-11 2015-10-20 SK Hynix Inc. Resistive memory device
US9172031B2 (en) 2012-05-11 2015-10-27 SK Hynix Inc. Resistive memory device

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7675118B2 (en) * 2006-08-31 2010-03-09 International Business Machines Corporation Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
JP2008071851A (en) * 2006-09-13 2008-03-27 Sony Corp Semiconductor device and manufacturing method thereof
US7704840B2 (en) * 2006-12-15 2010-04-27 Advanced Micro Devices, Inc. Stress enhanced transistor and methods for its fabrication
DE102007009914B4 (en) * 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device in the form of a field effect transistor with an interlayer dielectric material with increased internal stress and method for producing the same
DE102007009727B4 (en) * 2007-02-28 2009-01-02 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device and transistor semiconductor device
JP5387700B2 (en) * 2007-03-20 2014-01-15 ソニー株式会社 Manufacturing method of semiconductor device
JP5003515B2 (en) 2007-03-20 2012-08-15 ソニー株式会社 Semiconductor device
DE102007046849B4 (en) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Method of making large-gate-gate structures after transistor fabrication
JP5147471B2 (en) * 2008-03-13 2013-02-20 パナソニック株式会社 Semiconductor device
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US7795679B2 (en) 2008-07-24 2010-09-14 International Business Machines Corporation Device structures with a self-aligned damage layer and methods for forming such device structures
US8466044B2 (en) 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
US8421050B2 (en) 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8835892B2 (en) 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US8421132B2 (en) * 2011-05-09 2013-04-16 International Business Machines Corporation Post-planarization UV curing of stress inducing layers in replacement gate transistor fabrication

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4237332B2 (en) * 1999-04-30 2009-03-11 株式会社東芝 Manufacturing method of semiconductor device
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
JP3651802B2 (en) * 2002-09-12 2005-05-25 株式会社東芝 Manufacturing method of semiconductor device
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9166162B2 (en) 2012-05-11 2015-10-20 SK Hynix Inc. Resistive memory device
US9172031B2 (en) 2012-05-11 2015-10-27 SK Hynix Inc. Resistive memory device

Also Published As

Publication number Publication date
GB0708894D0 (en) 2007-06-20
JP2008518476A (en) 2008-05-29
GB2434036A (en) 2007-07-11
WO2006049834A1 (en) 2006-05-11

Similar Documents

Publication Publication Date Title
KR20070069160A (en) A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US20060094193A1 (en) Semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US7517816B2 (en) Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
JP5204645B2 (en) Technology for forming contact insulation layers with enhanced stress transmission efficiency
TWI420602B (en) Technique for forming recessed strained drain/source regions in nmos and pmos transistors
KR101148138B1 (en) A cmos device comprising an nmos transistor with recessed drain and source areas and a pmos transistor having a silicon/germanium material in the drain and source areas
KR101238432B1 (en) Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius
US8906811B2 (en) Shallow pn junction formed by in situ doping during selective growth of an embedded semiconductor alloy by a cyclic growth/etch deposition process
TWI416632B (en) Methods for fabricating a stressed mos device
US7723174B2 (en) CMOS device comprising MOS transistors with recessed drain and source areas and a SI/GE material in the drain and source areas of the PMOS transistor
TWI438847B (en) Blocking pre-amorphization of a gate electrode of a transistor
US7569437B2 (en) Formation of transistor having a strained channel region including a performance enhancing material composition utilizing a mask pattern
JP5544367B2 (en) Recessed drain and source areas combined with advanced silicide formation in transistors
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US20090001479A1 (en) Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100078735A1 (en) Cmos device comprising nmos transistors and pmos transistors having increased strain-inducing sources and closely spaced metal silicide regions
US20060131699A1 (en) Technique for forming a substrate having crystalline semiconductor regions of different characteristics located above a buried insulating layer
US7608912B2 (en) Technique for creating different mechanical strain in different CPU regions by forming an etch stop layer having differently modified intrinsic stress
WO2005119760A1 (en) Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
KR101229526B1 (en) Technique for forming a contact insulation layer with enhanced stress transfer efficiency
WO2010049086A2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
WO2008016512A1 (en) A transistor having a strained channel region including a performance enhancing material composition
KR20070069368A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid