WO2010049086A2 - Recessed drain and source areas in combination with advanced silicide formation in transistors - Google Patents

Recessed drain and source areas in combination with advanced silicide formation in transistors Download PDF

Info

Publication number
WO2010049086A2
WO2010049086A2 PCT/EP2009/007548 EP2009007548W WO2010049086A2 WO 2010049086 A2 WO2010049086 A2 WO 2010049086A2 EP 2009007548 W EP2009007548 W EP 2009007548W WO 2010049086 A2 WO2010049086 A2 WO 2010049086A2
Authority
WO
WIPO (PCT)
Prior art keywords
transistor
drain
source regions
gate electrode
etch
Prior art date
Application number
PCT/EP2009/007548
Other languages
French (fr)
Other versions
WO2010049086A3 (en
Inventor
Uwe Griebenow
Andy Wei
Jan Hoentschel
Thilo Scheiper
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102008054075A external-priority patent/DE102008054075B4/en
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to JP2011533583A priority Critical patent/JP5544367B2/en
Priority to CN200980143153.9A priority patent/CN102203915B/en
Priority to KR1020117012510A priority patent/KR101482200B1/en
Publication of WO2010049086A2 publication Critical patent/WO2010049086A2/en
Publication of WO2010049086A3 publication Critical patent/WO2010049086A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body

Definitions

  • the subject matter disclosed herein relates to integrated circuits and more particularly to transistors having strained channel regions by using stress sources, such as stressed overlayers, a strained semiconductor alloy in drain and source areas so as to enhance charge carrier mobility in the channel region of a MOS transistor.
  • stress sources such as stressed overlayers, a strained semiconductor alloy in drain and source areas so as to enhance charge carrier mobility in the channel region of a MOS transistor.
  • CMOS technology is one of the most promising approaches due to the superior characteristics in view of operating speed and/or power consumption and/or cost efficiency.
  • millions of transistors i.e., n-channel transistors and p-channel transistors, are formed on a substrate including a crystalline semiconductor layer.
  • a MOS transistor irrespective of whether an n-channel transistor or a p-channel transistor is considered, comprises so-called pn-junctions that are formed by an interface of highly doped drain and source regions with an inversely or weakly doped channel region disposed between the drain region and the source region.
  • the conductivity of the channel region i.e., the drive current capability of the conductive channel, is controlled by a gate electrode formed near the channel region and separated therefrom by a thin insulating layer.
  • the conductivity of the channel region upon formation of a conductive channel due to the application of an appropriate control voltage to the gate electrode depends on the dopant concentration, the mobility of the majority charge carriers, and - for a given extension of the channel region in the transistor width direction - on the distance between the source and drain regions, which is also referred to as channel length.
  • the overall conductivity of the channel region substantially determines the performance of the MOS transistors.
  • the reduction of the channel length is a dominant design criterion for accomplishing an increase in the operating speed and packing density of the integrated circuits.
  • the continuing shrinkage of the transistor dimensions involves a plurality of issues associated therewith that have to be addressed so as to not unduly offset the advantages obtained by steadily decreasing the channel length of MOS transistors.
  • One major problem in this respect is to provide for low sheet and contact resistivity in drain and source regions and any contacts connected thereto and to maintain channel controllability.
  • reducing the channel length may necessitate an increase of the capacitive coupling between the gate electrode and the channel region, which may call for reduced thickness of the gate insulation layer.
  • the thickness of silicon dioxide based gate insulation layers is in the range of 1 to 2 nanometers, wherein a further reduction may be less desirable in view of leakage currents which typically exponentially increase when reducing the gate dielectric thickness.
  • One efficient mechanism for increasing the charge carrier mobility is the modification of the lattice structure in the channel region, for instance by creating tensile or compressive stress in the vicinity of the channel region so as to produce a corresponding strain in the channel region, which results in a modified mobility for electrons and holes, respectively.
  • creating tensile strain in the channel region increases the mobility of electrons, which, in turn, may directly translate into a corresponding increase in the conductivity and thus drive current and operating speed.
  • compressive strain in the channel region may increase the mobility of holes, thereby providing the potential for enhancing the performance of p-type transistors.
  • strained silicon may be considered as a "new" type of semiconductor material, which may enable the fabrication of fast powerful semiconductor devices without requiring expensive semiconductor materials, while many of the well-established manufacturing techniques may still be used.
  • the dielectric material that is formed above the basic transistor structure may be provided in a highly stressed state so as to induce a desired type of strain at the transistor and in particular in the channel region thereof.
  • the transistor structures are typically enclosed by an interlayer dielectric material, which may provide for the desired mechanical and electrical integrity of the individual transistor structures and which may provide a platform for the formation of additional wiring layers, which are typically required for providing the electrical interconnections between the individual circuit elements. That is, a plurality of wiring levels or metallization layers may typically be provided which may include horizontal metal lines and vertical vias including appropriate conductive materials for establishing the electrical connections.
  • an appropriate contact structure has to be provided which connects the actual circuit elements, such as transistors, capacitors, and the like, or respective portions thereof with the very first metallization layer.
  • the interlayer dielectric material has to be appropriately patterned in order to provide respective openings connecting to the desired contact areas of the circuit elements, which may typically be accomplished by using an etch stop material in combination with the actual interlayer dielectric material.
  • silicon dioxide is a well-established interlayer dielectric material in combination with silicon nitride, which may act as an efficient etch stop material during the formation of the contact openings. Consequently the etch stop material, ie. the silicon nitride material, is in close contact with the basic transistor structure and thus may efficiently be used for inducing strain in the transistors, in particular as silicon nitride may be deposited on the basis of well- established plasma enhanced CVD (chemical vapour deposition) techniques with high internal stress. For instance, silicon nitride may be deposited with high internal compressive stress of up to 2 GPa and even higher by selecting appropriate deposition parameters.
  • CVD chemical vapour deposition
  • a moderately high internal tensile stress level may be created to 1 GPa and higher by appropriately adjusting the process parameters, for instance in particular the degree of ion bombardment during the deposition of the silicon nitride material. Consequently, the magnitude of the strain created in the channel region of a transistor element may depend on the internal stress level of the dielectric etch stop material and the thickness of stressed dielectric material in combination with the effective offset of the highly stressed dielectric material with respect to the channel region. Consequently, in view of enhancing transistor performance it may be desirable to increase the internal stress level and also provide enhanced amounts of highly stressed dielectric material in the vicinity of the transistor element, while also positioning the stressed dielectric material as closely as possible to the channel region.
  • the internal stress levels of silicon nitride material may be restricted by the overall deposition capabilities of presently available plasma enhanced CVD techniques, while also the effective layer thickness may substantially be determined by the basic transistor topography and the distance between neighbouring circuit elements. Consequently, although providing significant advantages, the efficiency of the stress transfer mechanism may significantly depend on process and device specifics and may result in reduced performance gain for well-established standard transistor designs having gate lengths of 50 nanometers and less, since the given device topography and the gap fill capabilities of the respective deposition process in combination with a moderately high offset of the highly stressed material from the channel region caused by sophisticated spacer structures may reduce the finally obtained strain in the channel region.
  • transistors such as p-channel transistors
  • semiconductor materials at least in portions of the drain and source areas, in such a manner that a desired type of strain may be generated in the adjacent channel region.
  • a silicon/germanium mixture or alloy may be used, which may be grown by selective epitaxial growth techniques on a silicon template material, thereby creating a strained state of the silicon/germanium alloy, which may exert a certain stress on the adjacent channel region, thereby creating the desired type of strain therein. Consequently, in combination with an overlying stressed dielectric material, a highly efficient strain inducing mechanism may be accomplished for p-channel transistors.
  • the conductivity of doped silicon-based semiconductor regions may be increased by providing a metal suicide therein in order to reduce overall sheet resistance and contact resistivity.
  • the drain and source regions may receive a metal suicide, such as nickel suicide, nickel platinum suicide and the like, thereby reducing the overall series resistance of the conductive path between the drain and source terminals and the intermediate channel region.
  • a metal suicide may typically be formed in the gate electrode, which may comprise polysilicon material, thereby enhancing conductivity and thus reducing signal propagation delay.
  • a substantially complete silicidation of the polycrystalline silicon material down to the gate dielectric material may not be desirable in view of threshold voltage adjustment of the corresponding transistor element. It may therefore be desirable to maintain a certain portion of the doped polysilicon material in direct contact with the gate dielectric material so as to provide well-defined electronic characteristics in the channel region, so as to avoid significant threshold variations, which may be caused by a substantially full silicidation within portions of the gate electrode. Consequently, it may be difficult to provide a significant amount of metal suicide, while nevertheless reliably avoiding a complete silicidation of the polysilicon material. Other characteristics of the gate electrode may also have an influence on the overall transistor performance.
  • the height of the gate electrode which, however, may typically be limited due to required ion blocking capabilities during the generation of the drain and source dopant profiles by sophisticated implantation techniques.
  • This required gate height may result in an increased fringing capacitance with respect to contact elements, which may be formed so as to connect the drain and source regions. Consequently, the overall performance of complex transistor elements may be less pronounced than expected, even though corresponding performance increasing mechanisms, such as strained silicon/germanium material and the like may be used, as will be described in more detail with reference to Figs 1a and 1 b.
  • Fig 1a schematically illustrates a cross-sectional view of a semiconductor device 100 comprising a substrate 101 , above which is formed a semiconductor layer 103, in which a plurality of isolation structures 104 may define active regions 103a, 103b of an n-channel 150a and a p-channel transistor 150b, respectively.
  • An active region is to be understood as a portion of the semiconductor layer 103 in which appropriate dopant profiles are to be established in order to obtain the desired transistor function.
  • the transistors 150a, 150b comprise a gate electrode 151 that is formed on a gate insulation layer 152, which separates the gate electrode 151 from a channel region 153.
  • a spacer structure 155 is formed on a portion of the sidewalls of the gate electrode 151 , wherein it should be appreciated that the spacer structure 155 may have any appropriate configuration as is required for defining the dopant profile of corresponding drain and source regions 154.
  • the spacer structure 155 may comprise a plurality of individual spacer elements, possibly in combination with corresponding etch stop liners (not shown).
  • the p-channel transistor 150b comprises a silicon/germanium alloy 105, which may have a strained state so as to create a corresponding compressive strain component in the channel region 153 of the transistor 150b.
  • the semiconductor device 100 as shown in Fig 1 a may be formed on the basis of the following process sequence.
  • the active regions 103a, 103b may be defined by established implantation techniques in combination with a corresponding masking regime.
  • the gate electrodes 151 in combination with the gate insulation layers 152 may be formed, for instance providing an appropriate dielectric material and depositing a polysilicon material, which may then be patterned on the basis of sophisticated lithography and etch techniques.
  • a height 151 h of the gate electrode 151 may typically be selected so as to provide for a sufficient ion blocking effect during the subsequent processing of the device 100.
  • the transistor 150a may be masked, for instance by a hard mask in combination with a resist mask, while also the gate electrode 151 of the transistor 150b may be encapsulated, for instance on the basis of appropriate cap layers and sidewalls spacers (not shown) in order to form corresponding cavities in the active region 103b and subsequently depositing the silicon/germanium alloy 105 on the basis of selective epitaxial growth techniques.
  • the mask layer may be removed and the gate electrodes 151 may be exposed and the further processing may be continued, for instance by forming offset spacer elements, if required, which may be used for a first implantation sequence for defining a first part of the drain and source regions 154.
  • the spacer structure 155 is formed by depositing an appropriate layer stack, such as an etch stop liner such as silicon dioxide followed by a silicon nitride material, which may be accomplished by well-established CVD (chemical vapour deposition) techniques. Thereafter, the layer stack is patterned by an anisotropic etch process, during which silicon nitride material is preferably removed from horizontal portions, while typically reliably exposing the horizontal device areas and also a portion 151 s of the sidewalls of the gate electrodes 151 are exposed during the corresponding etch process and during subsequent etch and cleaning processes. Next, further implantation sequences may be performed so as to obtain the desired dopant profile for the drain and source regions 154.
  • an appropriate layer stack such as an etch stop liner such as silicon dioxide followed by a silicon nitride material, which may be accomplished by well-established CVD (chemical vapour deposition) techniques.
  • the layer stack is patterned by an anisotropic etch process, during which silicon nitride material is preferably removed from
  • the device 100 is prepared for performing a silicidation process which may typically include corresponding cleaning processes, thereby even further exposing the sidewall portion 151 s.
  • Fig 1 b schematically illustrates the semiconductor device 100 in a further advanced manufacturing stage in which metal suicide regions 155 are formed in a portion of the drain and source regions 154, while also metal suicide 157 is formed in the gate electrode 151.
  • nickel and platinum may be used for obtaining the metal suicide 156, 157 wherein, due to the different diffusion behaviour of the polycrystalline material in the gate electrode 151 and the crystalline material in the drain and source regions 154, a significantly different "conversion rate" may be obtained wherein, in particular, at the sidewall portion 151s metal may increasingly diffuse into the gate electrode 151 , thereby resulting in an increased silicidation rate.
  • the metal suicide 157 may extend down to the gate insulation layer 152, at least locally within the gate electrode 151 , thereby resulting in a corresponding threshold voltage variation since the work function of the metal suicide may differ from the corresponding work function of the correspondingly doped polysilicon material.
  • the further processing may be continued, for instance by depositing strain inducing material layers, for instance in the form of silicon nitride, which may be deposited with high compressive and tensile stress, depending on the deposition parameters used.
  • a tensile stressed silicon nitride material may be formed above the n-channel transistor 150a, while a compressively stressed silicon nitride material may be formed above the transistor 150b, thereby appropriately enhancing overall performance of these transistors due to the corresponding additional strain created in the channel regions 153.
  • an interlayer dielectric material such as silicon dioxide and the like, is deposited and patterned so as to obtain corresponding contact openings, which are subsequently filled with a conductive material, such as tungsten, thereby providing contact elements connecting to the gate electrodes 151 and the drain and source regions 154.
  • the contact elements extending to the drain and source regions 154 may define, together with the gate electrode 151 and the intermediate dielectric material, a corresponding parasitic capacitor, which may have an influence on the overall channel controllability, which is typically referred to as fringing capacitance. Consequently, although reduced device dimensions may be applied in combination with sophisticated strain inducing mechanisms, the transistors 150a, 150b may suffer from a less pronounced performance gain due to a moderately high fringing capacitance, while also a certain degree of threshold variability may be observed.
  • the present disclosure relates to semiconductor devices and techniques for forming transistor elements, while avoiding or at least reducing one or more of the problems identified above.
  • the subject matter disclosed herein relates to semiconductor devices and techniques for fabricating the same, wherein enhanced transistor performance may be obtained for n-channel transistors and p-channel transistors on the basis of a recessed transistor configuration, at least for one type of transistor, while additionally a height of a gate electrode may be removed in order to reduce the fringing capacitance between contact elements and the gate electrode.
  • the reduced height of the gate electrode may nevertheless result in enhanced controllability of a corresponding silicidation process, thereby significantly reducing the probability of completely suiciding the polysilicon gate electrode material, which may therefore result in reduced threshold variability.
  • the reduction of the gate height and the recessing of drain and source regions of at least one transistor may be accomplished on the basis of a non-masked etch regime, thereby not unduly contributing to overall process complexity.
  • a semiconductor alloy may be provided in one type of transistor with an appropriate amount of excess height so as to allow a substantially planar transistor configuration even after recessing the drain and source areas of the other type of transistors. Due to the recessed drain and source configuration, the overall strain inducing effect of a corresponding highly stressed dielectric material may be enhanced, since a stressed dielectric material may be positioned at a height level that corresponds to the channel region 153. Furthermore, an increased surface area for the silicidation process may be provided in the drain and source regions, thereby reducing the overall series resistance between the drain and source terminals.
  • On illustrative semiconductor device disclosed herein comprises drain and source regions of a first transistor formed in a semiconductor material, wherein the drain and source regions have a recessed surface portion that is positioned at a lower height level compared to a height level defined by a surface of a gate insulation layer of the first transistor.
  • the semiconductor device further comprises a gate electrode formed on the gate insulation layer, which comprises a doped silicon material that is formed on the gate insulation layer and which comprises a metal suicide formed on the doped silicon material.
  • a spacer structure is provided so as to have a height that is greater than a height of the gate electrode and metal suicide regions are formed in the drain and source regions.
  • One illustrative method disclosed herein comprises forming a spacer structure on sidewalls of a gate electrode of a transistor and exposing drain and source regions of the transistor and the gate electrode to an etch ambient so as to remove material at least from the gate electrode selectively to the spacer structure.
  • the method further comprises forming a metal suicide material in the drain and source regions and the gate electrode after removing the material.
  • the method comprises forming a strain inducing layer above the gate electrode and the drain and source regions.
  • a still further illustrative method disclosed herein comprises forming an etch process so as to remove material from a first gate electrode of a first transistor and a second gate electrode of a second transistor and from drain and source regions from the first and/or the second transistor while protecting sidewalls of the first and second gate electrodes by a spacer structure. Moreover, the method comprises forming a metal suicide in the first and second gate electrodes and the drain and source regions in the presence of the spacer structure, wherein the metal suicide terminates in a doped silicon material of the first and second gate electrodes.
  • Figs 1 a and 1 b schematically illustrate cross-sectional views of a sophisticated semiconductor device including an n-channel transistor and a p-channel transistor during various manufacturing stages in forming a metal suicide and strain inducing mechanisms according to conventional strategies;
  • Figs 2a - 2c schematically illustrate cross-sectional views of a semiconductor device including different types of transistors during various manufacturing stages, wherein a recessed drain and source configuration may be formed in combination with reducing a height of a gate electrode structure according to illustrative embodiments;
  • Figs 2d and 2e schematically illustrate cross-sectional views of the semiconductor device according to still further illustrative embodiments, in which recessing of drain and source regions and reducing the gate height may be coupled to a certain degree;
  • Fig 2f schematically illustrates a cross-sectional view of the semiconductor device in a further advanced manufacturing stage according to still further illustrative embodiments.
  • the present disclosure relates to semiconductor devices and process techniques for providing a recessed transistor configuration, for instance in a selective manner, while at the same time enabling a reduction of the height of gate electrodes while nevertheless providing for reduced threshold variability due to using the probability of creating fully suicided gate electrode structures.
  • a recessed drain and source configuration of, for instance, one type of device, such as an n-channel transistor, an enhanced surface topography may be provided for the subsequent deposition of a highly stressed dielectric material, such as a dielectric etch stop layer, an interlayer dielectric material and the like.
  • the recessed drain and source configuration may enable the positioning of the highly stressed dielectric material more closely to the channel region, even if a reduced layer thickness in other device regions may be required due to the restricted conformal deposition capabilities of the deposition process under consideration.
  • the amount of dielectric material positioned closely to the channel region at a height level that substantially corresponds to the height level of the channel region may be increased which, in combination with the generally enhanced lateral stress transfer, may provide for a higher strain in the adjacent channel region, thereby contributing to enhanced charge carrier mobility and thus drive current capability of the transistor under consideration.
  • the recessed drain and source configuration may also provide an increased surface area that is available in a silicidation process, which may therefore result in a reduced sheet resistance of the contact areas of the transistor.
  • a substantially complete silicidation of the gate electrode may be suppressed, although a reduced height thereof may be created prior to the silicidation process so that in total any threshold variabilities may be reduced, while also the resulting fringing capacitance may be maintained at a reduced level compared to conventional strategies.
  • the degree of recess, if any, in p-channel transistors may be adjusted on the basis of the amount of any excess material that may be formed in the drain and source areas in the form of a strain inducing semiconductor alloy, thereby enabling an efficient reduction of gate height, wherein the finally obtained level of the drain and source areas may be adjusted on the basis of the previously provided excess material. Consequently, the strain inducing effect of the semiconductor alloy may substantially be maintained while at the same time the reduced gate height with the enhanced controllability of the metal suicide formation may also provide for reduced transistor variability and a reduced fringing capacitance.
  • FIGs 2a - 2f further illustrative embodiments will now be described in more detail, wherein also reference may be made to Figs 1 a and 1 b, if appropriate.
  • Fig 2a schematically illustrates a cross-sectional view of a semiconductor device 200, which may comprise a substrate 201 above which may be formed a semiconductor layer 203.
  • the semiconductor layer 203 in combination with the substrate 201 may form, at least in some device areas of the device 200, an SOI configuration when a buried insulating layer 202 is provided.
  • the layer 202 as illustrated in Fig 2a may represent a substantially crystalline material, such as an upper portion of the substrate 201.
  • isolation structures 204 such as shallow trench isolations and the like, may define corresponding active regions 203a, 203b for transistors 250a, 250b, respectively.
  • the transistor 250a may represent an n-channel transistor while the transistor 250b may represent a p-channel transistor.
  • the transistor 250a, 250b may comprise a gate electrode 251 , a gate insulation layer 252 and a spacer structure 255 that is formed on a portion of the sidewalls of the gate electrode 251 , thereby exposing an upper sidewall portion 251 s.
  • drain and source regions 254 may be formed in the active regions 203a, 203b, which may laterally enclose respective channel regions 253.
  • the transistor 250b may comprise a strain inducing semiconductor alloy 205, such as a silicon/germanium alloy, a silicon/germanium/tin alloy, a silicon/tin alloy and the like, if a corresponding compressive strain is desired in the channel region 253.
  • a strain inducing semiconductor alloy 205 such as a silicon/germanium alloy, a silicon/germanium/tin alloy, a silicon/tin alloy and the like, if a corresponding compressive strain is desired in the channel region 253.
  • the semiconductor device 200 may be formed on the basis of corresponding manufacturing techniques, as are also described with reference to the device 100.
  • the sidewall portion 251 s may have been exposed, which conventionally may result in a certain degree of metal "wraparound" during the silicidation process, which may thus result in a moderately high diffusion rate and thus silicidation rate. Consequently, the increased silicidation rate may contribute to a corresponding variability of transistor characteristics, as previously explained.
  • Fig 2b schematically illustrates the semiconductor device 200 in an advanced manufacturing stage.
  • the device 200 is exposed to an etch ambient 206, which in one illustrative embodiment may be established as a plasma ambient on the basis of an appropriate etch chemistry so as to obtain a high degree of etch selectivity for silicon material with respect to silicon dioxide, silicon nitride and the like.
  • the spacer structure 255 may be comprised of a silicon nitride material according to well-established techniques, possibly in combination with a silicon dioxide-based etch liner 255a.
  • well-established highly selective etch recipes may be used for establishing the process 206.
  • similar process recipes may be used, as are typically applied when patterning the gate electrode 251 .
  • material of the gate electrode 251 may be removed selectively to the spacer structure 255, while at the same time material of the drain and source regions 254 may be removed selectively with respect to the isolation structures 204 and the spacer structure 255. Consequently, corresponding recesses 206r are formed in the drain and source regions 254, at least at the transistor 250a, while in the transistor 250b, depending on the initial thickness of the semiconductor alloy 205, a substantially planar configuration may be obtained, as illustrated, while in other cases a certain degree of excess height may still be maintained or a recessing may be produced, however with a less pronounced depth compared to the recesses 206r.
  • the recesses 206r may be defined as device regions in which a surface 206s may have a portion, such as the centre portion, the height level of which is positioned lower compared to a height level of the interface between the gate insulation layer 252 and the channel region 253.
  • the initial height of the gate electrode 251 is reduced so as to obtain a reduced gate height 251 r, which may be selected such that the spacer structure 255 may extend above the gate electrode 251.
  • the reduced gate height 251 r allows for a reduced fringing capacitance with respect to contact elements still to be formed, while at the same time the surface area of the gate electrode 251 that may be available during a silicidation process may be restricted by the spacer structure 255, thereby also reducing the overall silicidation rate. Consequently, the etch process 206 may provide for an enhanced surface topography implementing an efficient strain inducing mechanism, at least in the transistor 250a on the basis of a stressed dielectric material to be deposited in a later manufacturing stage, while also providing for enhanced controllability of a silicidation process, wherein additionally the reduced height 251 r provides enhanced transistor performance due to a reduced fringing capacitance.
  • the process 206 may be performed as a non-masked process, thereby not unduly contributing to overall process complexity, for instance in terms of additional lithography steps and the like.
  • the etch process 206 may comprise additional cleaning recipes, for instance on the basis of wet chemical etch processes, in order to prepare the exposed portions of the drain and source regions 254 and of the gate electrode 251 for the subsequent silicidation process.
  • Fig 2c schematically illustrates the semiconductor device 200 in an advanced manufacturing stage.
  • metal suicide regions 256 such as nickel/platinum suicide regions are formed in the drain and source regions of the transistors 250a, 250b. Due to the recessing of the drain and source regions 254 of at least the transistor 250a, an increased surface area of the region 256 may be obtained compared to conventional configurations as for instance shown in Fig 1 b, thereby enhancing overall conductivity of the transistor 250a.
  • the metal suicide 256 may define a recessed configuration, that is, at least a surface portion 256s may be positioned at a height level that is lower compared to a height level of the interface between the gate insulation layer 252 and the channel region 253.
  • any positional information is to be considered as relative position statements, wherein the substrate 201 is to be used as a reference.
  • the channel region 253 and the metal suicide regions 256 are formed "above” the substrate 201 , wherein however the surface portion 256s is positioned “lower” compared to the interface between the channel region 253 and the gate insulation layer 252.
  • the gate electrode 251 comprises a metal suicide region 257, which may be separated from the gate insulation layer 252 by a doped polysilicon material 251 b. It should be appreciated that the degree of doping of the polysilicon materials 251 d may be different in the transistors 250a, 250b, due to the preceding implantation processes for defining the corresponding drain and source regions 254. Consequently, the threshold characteristics of the respective transistors 250a, 250b may be determined by the correspondingly doped silicon regions 251 b.
  • a well-defined metal suicide portion such as the region 257, may be formed while also maintaining a silicon- based material, such as the material 251 b, so as to reduce the probability of substantially completely silicidizing the initial silicon-based gate electrode material, which may conventionally result in significant threshold variability.
  • the metal suicide regions 256 and 257 may be formed on the basis of well-established process techniques in which an appropriate refractory metal, such as nickel, platinum and the like, may be deposited and may be converted into a metal suicide by performing an appropriate heat treatment. Thereafter, any non- reacted metal material may be removed on the basis of well-established selective etch techniques, wherein additional heat treatments for stabilizing the overall characteristics may follow, if required.
  • the spacer structure 255 may reliably cover the sidewalls of the gate electrode 251 , thereby avoiding significant metal "wraparound" so that enhanced controllability and uniformity of the silicidation process may be accomplished. Consequently, a desired thickness of the metal suicide regions 257 may be obtained in a highly controllable manner.
  • Fig 2d schematically illustrates the semiconductor device 200 according to further illustrative embodiments, in which the degree of recessing of the drain and source regions 254 may be decoupled to a certain degree of reducing the height of the gate electrode 251.
  • an etch process 206a such as a plasma-based etch process, may be performed so as to obtain a substantially anisotropic etch behaviour in order to substantially define a desired degree of recessing 206r in the drain and source regions 254 of the transistor 250a, while efficient excess material may be provided for the semiconductor alloy 205 in the transistor 250b when a significant degree of recessing is not desired for this transistor.
  • the etch process 206a may be performed on the basis of well-established highly selective etch recipes, as is also previously described. It should be appreciated that, depending on the desired degree of recessing 206r, also a corresponding degree of recessing 206g may be obtained in the gate electrode 251.
  • Fig 2e schematically illustrates the semiconductor device 200 when exposed to a further etch ambient 206b, which may be designed as a selective wet chemical etch ambient in order to preferably remove material in the gate electrode 251 , while substantially maintaining the desired degree of recessing 206r in the transistor 250a.
  • the etch ambient 206b may be established by using tetramethyl ammonium hydroxide (TMAH), which is a well-established material for etching exposed photoresist material.
  • TMAH tetramethyl ammonium hydroxide
  • TMAH tetramethyl ammonium hydroxide
  • the etch rate of TMAH in crystalline silicon material having a high degree of n-doping may significantly be slowed down compared to polycrystalline silicon material. Consequently, the height of the gate electrode 251 may efficiently be reduced, while a significant increase of the recess 206r in the drain and source regions 254 of the transistor 250a may be avoided.
  • the p-doped semiconductor alloy 205 may also be removed during the process 206b, wherein a previously provided excess height may selected such that a desired degree of recessing or a substantially planar configuration or a reduced degree of excess height may be achieved in the transistor 250b.
  • a corresponding excess amount of semiconductor alloy material may be provided so as to take into consideration the etch sequence comprising the process steps 206a and 206b in order to obtain the desired topography for the drain and source regions 254 of the transistor 250b. Consequently, on the basis of the etch process 206b, the final gate height 251 r and the depth of the recess 206r may substantially be decoupled from each other so as to provide for a further reduced fringing capacitance while not contributing to reduced reliability of a corresponding silicidation process for forming metal suicide regions in the drain and source areas 254 in view of shorting the corresponding pn junctions and the like.
  • Fig 2f schematically illustrates the semiconductor device 200 in a further advanced manufacturing stage.
  • an interlayer dielectric material 211 is formed above the transistors 250a, 250b, for instance in the form of a silicon dioxide material, wherein also a strain inducing portion 210a for the transistor 250a and a strain inducing portion 210b for the transistor 250b may be provided.
  • the layers 210a, 210b may be provided as a silicon nitride material having a desired internal stress level so as to individually enhance performance of the transistors 250a, 250b, respectively.
  • the transistor 250a may represent an n-channel transistor and thus the layer 210a may be provided in the form of a tensile stressed material, possibly in combination with an etch stop material and the like, as may be required in accordance with corresponding process strategies.
  • the layer 210b may be provided in the form of a compressively stressed silicon nitride material, or any other appropriate material, thereby enhancing performance of the transistor 250b.
  • the corresponding stress component may more efficiently act on the channel region 253, as previously explained, thereby providing higher strain levels in the channel region 253, even if in general a reduced thickness for the layer 210a may have to be used, for instance with respect to the overall packing density of the device 200 and the like.
  • the transistor 250b may have the combined strain effect of the material 205 and the layer 210b. It should be appreciated, however, that any other configuration for the layers 210a, 210b may be used, depending on the overall device strategy.
  • the layers 210a, 210b may be provided with the same internal stress level, thereby significantly reducing overall process complexity.
  • a high stress level such as tensile stress
  • tensile stress may be used in order to enhance performance of the transistor 250a, while a corresponding stress effect in the transistor 250b may be compensated for or overcompensated by the material 205.
  • an excess height of the material 205 may be maintained during the preceding manufacturing processes, thereby further reducing the effect of the layer 210b.
  • a tensile stressed material may be deposited and may subsequently be selectively relaxed above the transistor 250b, for instance by ion implantation and the like.
  • the interlayer dielectric material 21 1 may be deposited and may be planarized in accordance with well-established process techniques.
  • corresponding lithography and etch processes may be performed so as to obtain corresponding contact openings, in which are to be formed contact elements 212, indicated as dashed lines.
  • well-established process techniques may be applied. Consequently, the corresponding contact elements 212 may produce a reduced fringing capacitance with a gate electrode 251 due to the reduced height thereof, while nevertheless the portion 251 b may provide for a well-defined threshold behaviour of the transistors 250a, 250b.
  • the present disclosure provides semiconductor devices and techniques for forming the same in which a plurality of performance enhancing mechanisms may be implemented, while avoiding or at least significantly reducing any combined negative defects. That is, a recessed drain and source configuration may be accomplished without unduly adding to process complexity, while also a reduction of gate height may be accomplished during the same etch sequence. On the other hand, the reduction in gate height during recessing of the drain and source regions results in enhanced process uniformity and reliability of the subsequent silicidation process. Consequently, reduced fringing capacitance in combination with reduced threshold variability and enhanced transistor performance due to the recessed drain and source configuration may be accomplished. Further modifications and variations of the present disclosure will be apparent to those skilled in the art in view of this description.

Abstract

During the manufacturing process for forming sophisticated transistor elements, the gate height may be reduced and also a recessed drain and source configuration may be obtained in a common etch sequence prior to forming respective metal suicide regions. Since the corresponding sidewall spacer structure may be maintained during the etch sequence, controllability and uniformity of the silicidation process in the gate electrode may be enhanced, thereby obtaining a reduced degree of threshold variability. Furthermore, the recessed drain and source configuration may provide for reduced overall series resistance and enhanced stress transfer efficiency.

Description

RECESSED DRAIN AND SOURCE AREAS IN COMBINATION WITH ADVANCED SILICIDE FORMATION IN TRANSISTORS
FIELD OF THE PRESENT DISCLOSURE
Generally, the subject matter disclosed herein relates to integrated circuits and more particularly to transistors having strained channel regions by using stress sources, such as stressed overlayers, a strained semiconductor alloy in drain and source areas so as to enhance charge carrier mobility in the channel region of a MOS transistor.
DESCRIPTION OF THE PRIOR ART
Generally, a plurality of process technologies are currently practiced in the field of semiconductor production, wherein for complex circuitry, such as microprocessors, complex storage chips, and the like, CMOS technology is one of the most promising approaches due to the superior characteristics in view of operating speed and/or power consumption and/or cost efficiency. During the fabrication of complex integrated circuits using CMOS technology, millions of transistors, i.e., n-channel transistors and p-channel transistors, are formed on a substrate including a crystalline semiconductor layer. A MOS transistor, irrespective of whether an n-channel transistor or a p-channel transistor is considered, comprises so-called pn-junctions that are formed by an interface of highly doped drain and source regions with an inversely or weakly doped channel region disposed between the drain region and the source region. The conductivity of the channel region, i.e., the drive current capability of the conductive channel, is controlled by a gate electrode formed near the channel region and separated therefrom by a thin insulating layer. The conductivity of the channel region upon formation of a conductive channel due to the application of an appropriate control voltage to the gate electrode, depends on the dopant concentration, the mobility of the majority charge carriers, and - for a given extension of the channel region in the transistor width direction - on the distance between the source and drain regions, which is also referred to as channel length. Hence, in combination with the capability of rapidly creating a conductive channel below the insulating layer upon application of the control voltage to the gate electrode, the overall conductivity of the channel region substantially determines the performance of the MOS transistors. Thus, the reduction of the channel length is a dominant design criterion for accomplishing an increase in the operating speed and packing density of the integrated circuits.
The continuing shrinkage of the transistor dimensions, however, involves a plurality of issues associated therewith that have to be addressed so as to not unduly offset the advantages obtained by steadily decreasing the channel length of MOS transistors. One major problem in this respect is to provide for low sheet and contact resistivity in drain and source regions and any contacts connected thereto and to maintain channel controllability. For example, reducing the channel length may necessitate an increase of the capacitive coupling between the gate electrode and the channel region, which may call for reduced thickness of the gate insulation layer. Presently, the thickness of silicon dioxide based gate insulation layers is in the range of 1 to 2 nanometers, wherein a further reduction may be less desirable in view of leakage currents which typically exponentially increase when reducing the gate dielectric thickness.
The continuous size reduction of the critical dimensions, i.e., the gate length of the transistors, necessitates the adaptation and possibly the new development of highly complex process techniques concerning the above-identified problems. It has therefore been proposed to improve transistor performance by enhancing the channel conductivity of the transistor elements by increasing the charge carrier mobility in the channel region for a given channel length, thereby offering the potential for achieving a performance improvement that is comparable with the advance to a future technology node while avoiding or at least postponing many of the above-mentioned problems such as gate dielectric scaling. One efficient mechanism for increasing the charge carrier mobility is the modification of the lattice structure in the channel region, for instance by creating tensile or compressive stress in the vicinity of the channel region so as to produce a corresponding strain in the channel region, which results in a modified mobility for electrons and holes, respectively. For example, for standard silicon substrates creating tensile strain in the channel region increases the mobility of electrons, which, in turn, may directly translate into a corresponding increase in the conductivity and thus drive current and operating speed. On the other hand, compressive strain in the channel region may increase the mobility of holes, thereby providing the potential for enhancing the performance of p-type transistors. The introduction of stress or strain engineering into integrated circuit fabrication is an extremely promising approach for further device generations, since for example strained silicon may be considered as a "new" type of semiconductor material, which may enable the fabrication of fast powerful semiconductor devices without requiring expensive semiconductor materials, while many of the well-established manufacturing techniques may still be used.
According to one promising approach for creating strain in the channel region of transistor elements the dielectric material that is formed above the basic transistor structure may be provided in a highly stressed state so as to induce a desired type of strain at the transistor and in particular in the channel region thereof. For example, the transistor structures are typically enclosed by an interlayer dielectric material, which may provide for the desired mechanical and electrical integrity of the individual transistor structures and which may provide a platform for the formation of additional wiring layers, which are typically required for providing the electrical interconnections between the individual circuit elements. That is, a plurality of wiring levels or metallization layers may typically be provided which may include horizontal metal lines and vertical vias including appropriate conductive materials for establishing the electrical connections. Consequently, an appropriate contact structure has to be provided which connects the actual circuit elements, such as transistors, capacitors, and the like, or respective portions thereof with the very first metallization layer. For this purpose the interlayer dielectric material has to be appropriately patterned in order to provide respective openings connecting to the desired contact areas of the circuit elements, which may typically be accomplished by using an etch stop material in combination with the actual interlayer dielectric material.
For example, silicon dioxide is a well-established interlayer dielectric material in combination with silicon nitride, which may act as an efficient etch stop material during the formation of the contact openings. Consequently the etch stop material, ie. the silicon nitride material, is in close contact with the basic transistor structure and thus may efficiently be used for inducing strain in the transistors, in particular as silicon nitride may be deposited on the basis of well- established plasma enhanced CVD (chemical vapour deposition) techniques with high internal stress. For instance, silicon nitride may be deposited with high internal compressive stress of up to 2 GPa and even higher by selecting appropriate deposition parameters. On the other hand, a moderately high internal tensile stress level may be created to 1 GPa and higher by appropriately adjusting the process parameters, for instance in particular the degree of ion bombardment during the deposition of the silicon nitride material. Consequently, the magnitude of the strain created in the channel region of a transistor element may depend on the internal stress level of the dielectric etch stop material and the thickness of stressed dielectric material in combination with the effective offset of the highly stressed dielectric material with respect to the channel region. Consequently, in view of enhancing transistor performance it may be desirable to increase the internal stress level and also provide enhanced amounts of highly stressed dielectric material in the vicinity of the transistor element, while also positioning the stressed dielectric material as closely as possible to the channel region. It turns out, however, that the internal stress levels of silicon nitride material may be restricted by the overall deposition capabilities of presently available plasma enhanced CVD techniques, while also the effective layer thickness may substantially be determined by the basic transistor topography and the distance between neighbouring circuit elements. Consequently, although providing significant advantages, the efficiency of the stress transfer mechanism may significantly depend on process and device specifics and may result in reduced performance gain for well-established standard transistor designs having gate lengths of 50 nanometers and less, since the given device topography and the gap fill capabilities of the respective deposition process in combination with a moderately high offset of the highly stressed material from the channel region caused by sophisticated spacer structures may reduce the finally obtained strain in the channel region.
For these reasons, it has also been suggested to improve performance of transistors, such as p-channel transistors, by providing semiconductor materials, at least in portions of the drain and source areas, in such a manner that a desired type of strain may be generated in the adjacent channel region. For this purpose, frequently a silicon/germanium mixture or alloy may be used, which may be grown by selective epitaxial growth techniques on a silicon template material, thereby creating a strained state of the silicon/germanium alloy, which may exert a certain stress on the adjacent channel region, thereby creating the desired type of strain therein. Consequently, in combination with an overlying stressed dielectric material, a highly efficient strain inducing mechanism may be accomplished for p-channel transistors.
As previously discussed, in sophisticated transistor elements a plurality of features finally determine the overall performance of the transistor, wherein a complex mutual interaction of these factors may be difficult to assess so that a wide variety of performance variations may be observed for a given basic transistor configuration. For example, the conductivity of doped silicon-based semiconductor regions may be increased by providing a metal suicide therein in order to reduce overall sheet resistance and contact resistivity. For example, the drain and source regions may receive a metal suicide, such as nickel suicide, nickel platinum suicide and the like, thereby reducing the overall series resistance of the conductive path between the drain and source terminals and the intermediate channel region. Similarly, a metal suicide may typically be formed in the gate electrode, which may comprise polysilicon material, thereby enhancing conductivity and thus reducing signal propagation delay. Although an increased amount of metal suicide in the gate electrode may per se be desirable in view of reducing the overall resistance thereof, a substantially complete silicidation of the polycrystalline silicon material down to the gate dielectric material may not be desirable in view of threshold voltage adjustment of the corresponding transistor element. It may therefore be desirable to maintain a certain portion of the doped polysilicon material in direct contact with the gate dielectric material so as to provide well-defined electronic characteristics in the channel region, so as to avoid significant threshold variations, which may be caused by a substantially full silicidation within portions of the gate electrode. Consequently, it may be difficult to provide a significant amount of metal suicide, while nevertheless reliably avoiding a complete silicidation of the polysilicon material. Other characteristics of the gate electrode may also have an influence on the overall transistor performance. For example, for continuously decreasing feature sizes of the transistor elements, it would be desirable to also reduce the height of the gate electrode which, however, may typically be limited due to required ion blocking capabilities during the generation of the drain and source dopant profiles by sophisticated implantation techniques. This required gate height, however, may result in an increased fringing capacitance with respect to contact elements, which may be formed so as to connect the drain and source regions. Consequently, the overall performance of complex transistor elements may be less pronounced than expected, even though corresponding performance increasing mechanisms, such as strained silicon/germanium material and the like may be used, as will be described in more detail with reference to Figs 1a and 1 b.
Fig 1a schematically illustrates a cross-sectional view of a semiconductor device 100 comprising a substrate 101 , above which is formed a semiconductor layer 103, in which a plurality of isolation structures 104 may define active regions 103a, 103b of an n-channel 150a and a p-channel transistor 150b, respectively. An active region is to be understood as a portion of the semiconductor layer 103 in which appropriate dopant profiles are to be established in order to obtain the desired transistor function. In the manufacturing stage shown, the transistors 150a, 150b comprise a gate electrode 151 that is formed on a gate insulation layer 152, which separates the gate electrode 151 from a channel region 153. Furthermore, a spacer structure 155 is formed on a portion of the sidewalls of the gate electrode 151 , wherein it should be appreciated that the spacer structure 155 may have any appropriate configuration as is required for defining the dopant profile of corresponding drain and source regions 154. For example, the spacer structure 155 may comprise a plurality of individual spacer elements, possibly in combination with corresponding etch stop liners (not shown). As previously discussed, the p-channel transistor 150b comprises a silicon/germanium alloy 105, which may have a strained state so as to create a corresponding compressive strain component in the channel region 153 of the transistor 150b. The semiconductor device 100 as shown in Fig 1 a may be formed on the basis of the following process sequence. After forming the isolation structures 104, for instance by lithography etch, deposition and planarization techniques, the active regions 103a, 103b may be defined by established implantation techniques in combination with a corresponding masking regime. Thereafter, the gate electrodes 151 in combination with the gate insulation layers 152 may be formed, for instance providing an appropriate dielectric material and depositing a polysilicon material, which may then be patterned on the basis of sophisticated lithography and etch techniques. As previously explained, a height 151 h of the gate electrode 151 may typically be selected so as to provide for a sufficient ion blocking effect during the subsequent processing of the device 100. Thereafter, the transistor 150a may be masked, for instance by a hard mask in combination with a resist mask, while also the gate electrode 151 of the transistor 150b may be encapsulated, for instance on the basis of appropriate cap layers and sidewalls spacers (not shown) in order to form corresponding cavities in the active region 103b and subsequently depositing the silicon/germanium alloy 105 on the basis of selective epitaxial growth techniques. Next, the mask layer may be removed and the gate electrodes 151 may be exposed and the further processing may be continued, for instance by forming offset spacer elements, if required, which may be used for a first implantation sequence for defining a first part of the drain and source regions 154. Thereafter, the spacer structure 155 is formed by depositing an appropriate layer stack, such as an etch stop liner such as silicon dioxide followed by a silicon nitride material, which may be accomplished by well-established CVD (chemical vapour deposition) techniques. Thereafter, the layer stack is patterned by an anisotropic etch process, during which silicon nitride material is preferably removed from horizontal portions, while typically reliably exposing the horizontal device areas and also a portion 151 s of the sidewalls of the gate electrodes 151 are exposed during the corresponding etch process and during subsequent etch and cleaning processes. Next, further implantation sequences may be performed so as to obtain the desired dopant profile for the drain and source regions 154. Thereafter, appropriate anneal processes are performed in order to activate the dopants and also recrystallize implantation induced damage. Thereafter, the device 100 is prepared for performing a silicidation process which may typically include corresponding cleaning processes, thereby even further exposing the sidewall portion 151 s.
Fig 1 b schematically illustrates the semiconductor device 100 in a further advanced manufacturing stage in which metal suicide regions 155 are formed in a portion of the drain and source regions 154, while also metal suicide 157 is formed in the gate electrode 151. In sophisticated technologies, frequently nickel and platinum may be used for obtaining the metal suicide 156, 157 wherein, due to the different diffusion behaviour of the polycrystalline material in the gate electrode 151 and the crystalline material in the drain and source regions 154, a significantly different "conversion rate" may be obtained wherein, in particular, at the sidewall portion 151s metal may increasingly diffuse into the gate electrode 151 , thereby resulting in an increased silicidation rate. Consequently, the metal suicide 157 may extend down to the gate insulation layer 152, at least locally within the gate electrode 151 , thereby resulting in a corresponding threshold voltage variation since the work function of the metal suicide may differ from the corresponding work function of the correspondingly doped polysilicon material. After the silicidation process, the further processing may be continued, for instance by depositing strain inducing material layers, for instance in the form of silicon nitride, which may be deposited with high compressive and tensile stress, depending on the deposition parameters used. For example, a tensile stressed silicon nitride material may be formed above the n-channel transistor 150a, while a compressively stressed silicon nitride material may be formed above the transistor 150b, thereby appropriately enhancing overall performance of these transistors due to the corresponding additional strain created in the channel regions 153. Thereafter, an interlayer dielectric material, such as silicon dioxide and the like, is deposited and patterned so as to obtain corresponding contact openings, which are subsequently filled with a conductive material, such as tungsten, thereby providing contact elements connecting to the gate electrodes 151 and the drain and source regions 154. As previously discussed, the contact elements extending to the drain and source regions 154 may define, together with the gate electrode 151 and the intermediate dielectric material, a corresponding parasitic capacitor, which may have an influence on the overall channel controllability, which is typically referred to as fringing capacitance. Consequently, although reduced device dimensions may be applied in combination with sophisticated strain inducing mechanisms, the transistors 150a, 150b may suffer from a less pronounced performance gain due to a moderately high fringing capacitance, while also a certain degree of threshold variability may be observed.
In view of the situation described above, the present disclosure relates to semiconductor devices and techniques for forming transistor elements, while avoiding or at least reducing one or more of the problems identified above.
SUMMARY OF THE PRESENT DISCLOSURE
Generally the subject matter disclosed herein relates to semiconductor devices and techniques for fabricating the same, wherein enhanced transistor performance may be obtained for n-channel transistors and p-channel transistors on the basis of a recessed transistor configuration, at least for one type of transistor, while additionally a height of a gate electrode may be removed in order to reduce the fringing capacitance between contact elements and the gate electrode. At the same time, the reduced height of the gate electrode may nevertheless result in enhanced controllability of a corresponding silicidation process, thereby significantly reducing the probability of completely suiciding the polysilicon gate electrode material, which may therefore result in reduced threshold variability. In some illustrative aspects disclosed herein the reduction of the gate height and the recessing of drain and source regions of at least one transistor may be accomplished on the basis of a non-masked etch regime, thereby not unduly contributing to overall process complexity. On the other hand, in some illustrative embodiment disclosed herein, a semiconductor alloy may be provided in one type of transistor with an appropriate amount of excess height so as to allow a substantially planar transistor configuration even after recessing the drain and source areas of the other type of transistors. Due to the recessed drain and source configuration, the overall strain inducing effect of a corresponding highly stressed dielectric material may be enhanced, since a stressed dielectric material may be positioned at a height level that corresponds to the channel region 153. Furthermore, an increased surface area for the silicidation process may be provided in the drain and source regions, thereby reducing the overall series resistance between the drain and source terminals.
On illustrative semiconductor device disclosed herein comprises drain and source regions of a first transistor formed in a semiconductor material, wherein the drain and source regions have a recessed surface portion that is positioned at a lower height level compared to a height level defined by a surface of a gate insulation layer of the first transistor. The semiconductor device further comprises a gate electrode formed on the gate insulation layer, which comprises a doped silicon material that is formed on the gate insulation layer and which comprises a metal suicide formed on the doped silicon material. Furthermore, a spacer structure is provided so as to have a height that is greater than a height of the gate electrode and metal suicide regions are formed in the drain and source regions.
One illustrative method disclosed herein comprises forming a spacer structure on sidewalls of a gate electrode of a transistor and exposing drain and source regions of the transistor and the gate electrode to an etch ambient so as to remove material at least from the gate electrode selectively to the spacer structure. The method further comprises forming a metal suicide material in the drain and source regions and the gate electrode after removing the material. Finally, the method comprises forming a strain inducing layer above the gate electrode and the drain and source regions.
A still further illustrative method disclosed herein comprises forming an etch process so as to remove material from a first gate electrode of a first transistor and a second gate electrode of a second transistor and from drain and source regions from the first and/or the second transistor while protecting sidewalls of the first and second gate electrodes by a spacer structure. Moreover, the method comprises forming a metal suicide in the first and second gate electrodes and the drain and source regions in the presence of the spacer structure, wherein the metal suicide terminates in a doped silicon material of the first and second gate electrodes. BRIEF DESCRIPTION OF THE DRAWINGS
Further aspects of the present disclosure are defined in the appended claims and will become more apparent with the following detailed description when taken with reference to the accompanying drawings, in which:
Figs 1 a and 1 b schematically illustrate cross-sectional views of a sophisticated semiconductor device including an n-channel transistor and a p-channel transistor during various manufacturing stages in forming a metal suicide and strain inducing mechanisms according to conventional strategies;
Figs 2a - 2c schematically illustrate cross-sectional views of a semiconductor device including different types of transistors during various manufacturing stages, wherein a recessed drain and source configuration may be formed in combination with reducing a height of a gate electrode structure according to illustrative embodiments;
Figs 2d and 2e schematically illustrate cross-sectional views of the semiconductor device according to still further illustrative embodiments, in which recessing of drain and source regions and reducing the gate height may be coupled to a certain degree; and
Fig 2f schematically illustrates a cross-sectional view of the semiconductor device in a further advanced manufacturing stage according to still further illustrative embodiments.
DETAILED DESCRIPTION
While the present disclosure is described with reference to the embodiments as illustrated in the following detailed description as well as in the drawings, it should be understood that the following detailed description as well as the drawings are not intended to limit the subject matter to the particular illustrative embodiments disclosed, but rather the described illustrative embodiments merely exemplify the various aspects, the scope of which is defined by the appended claims.
Generally, the present disclosure relates to semiconductor devices and process techniques for providing a recessed transistor configuration, for instance in a selective manner, while at the same time enabling a reduction of the height of gate electrodes while nevertheless providing for reduced threshold variability due to using the probability of creating fully suicided gate electrode structures. Due to the recessed drain and source configuration of, for instance, one type of device, such as an n-channel transistor, an enhanced surface topography may be provided for the subsequent deposition of a highly stressed dielectric material, such as a dielectric etch stop layer, an interlayer dielectric material and the like. That is, the recessed drain and source configuration may enable the positioning of the highly stressed dielectric material more closely to the channel region, even if a reduced layer thickness in other device regions may be required due to the restricted conformal deposition capabilities of the deposition process under consideration. Hence, the amount of dielectric material positioned closely to the channel region at a height level that substantially corresponds to the height level of the channel region may be increased which, in combination with the generally enhanced lateral stress transfer, may provide for a higher strain in the adjacent channel region, thereby contributing to enhanced charge carrier mobility and thus drive current capability of the transistor under consideration. In addition, the recessed drain and source configuration may also provide an increased surface area that is available in a silicidation process, which may therefore result in a reduced sheet resistance of the contact areas of the transistor. At the same time, a substantially complete silicidation of the gate electrode may be suppressed, although a reduced height thereof may be created prior to the silicidation process so that in total any threshold variabilities may be reduced, while also the resulting fringing capacitance may be maintained at a reduced level compared to conventional strategies. On the other hand, the degree of recess, if any, in p-channel transistors may be adjusted on the basis of the amount of any excess material that may be formed in the drain and source areas in the form of a strain inducing semiconductor alloy, thereby enabling an efficient reduction of gate height, wherein the finally obtained level of the drain and source areas may be adjusted on the basis of the previously provided excess material. Consequently, the strain inducing effect of the semiconductor alloy may substantially be maintained while at the same time the reduced gate height with the enhanced controllability of the metal suicide formation may also provide for reduced transistor variability and a reduced fringing capacitance.
With reference to Figs 2a - 2f further illustrative embodiments will now be described in more detail, wherein also reference may be made to Figs 1 a and 1 b, if appropriate.
Fig 2a schematically illustrates a cross-sectional view of a semiconductor device 200, which may comprise a substrate 201 above which may be formed a semiconductor layer 203. The semiconductor layer 203 in combination with the substrate 201 may form, at least in some device areas of the device 200, an SOI configuration when a buried insulating layer 202 is provided. In other cases, the layer 202 as illustrated in Fig 2a may represent a substantially crystalline material, such as an upper portion of the substrate 201. Furthermore, isolation structures 204, such as shallow trench isolations and the like, may define corresponding active regions 203a, 203b for transistors 250a, 250b, respectively. For example, the transistor 250a may represent an n-channel transistor while the transistor 250b may represent a p-channel transistor. In the manufacturing stage shown, the transistor 250a, 250b may comprise a gate electrode 251 , a gate insulation layer 252 and a spacer structure 255 that is formed on a portion of the sidewalls of the gate electrode 251 , thereby exposing an upper sidewall portion 251 s. Furthermore, drain and source regions 254 may be formed in the active regions 203a, 203b, which may laterally enclose respective channel regions 253. In some illustrative embodiments, as shown in Fig 2a, the transistor 250b may comprise a strain inducing semiconductor alloy 205, such as a silicon/germanium alloy, a silicon/germanium/tin alloy, a silicon/tin alloy and the like, if a corresponding compressive strain is desired in the channel region 253.
The semiconductor device 200 may be formed on the basis of corresponding manufacturing techniques, as are also described with reference to the device 100. Thus, as previously explained, during corresponding etch and cleaning processes for preparing the semiconductor device 200 for receiving a metal suicide in the drain and source regions 254 and the gate electrode 251 , the sidewall portion 251 s may have been exposed, which conventionally may result in a certain degree of metal "wraparound" during the silicidation process, which may thus result in a moderately high diffusion rate and thus silicidation rate. Consequently, the increased silicidation rate may contribute to a corresponding variability of transistor characteristics, as previously explained.
Fig 2b schematically illustrates the semiconductor device 200 in an advanced manufacturing stage. As illustrated, the device 200 is exposed to an etch ambient 206, which in one illustrative embodiment may be established as a plasma ambient on the basis of an appropriate etch chemistry so as to obtain a high degree of etch selectivity for silicon material with respect to silicon dioxide, silicon nitride and the like. For example, the spacer structure 255 may be comprised of a silicon nitride material according to well-established techniques, possibly in combination with a silicon dioxide-based etch liner 255a. In this case, well-established highly selective etch recipes may be used for establishing the process 206. For instance, similar process recipes may be used, as are typically applied when patterning the gate electrode 251 . Thus, during the etch process 206, material of the gate electrode 251 may be removed selectively to the spacer structure 255, while at the same time material of the drain and source regions 254 may be removed selectively with respect to the isolation structures 204 and the spacer structure 255. Consequently, corresponding recesses 206r are formed in the drain and source regions 254, at least at the transistor 250a, while in the transistor 250b, depending on the initial thickness of the semiconductor alloy 205, a substantially planar configuration may be obtained, as illustrated, while in other cases a certain degree of excess height may still be maintained or a recessing may be produced, however with a less pronounced depth compared to the recesses 206r. The recesses 206r may be defined as device regions in which a surface 206s may have a portion, such as the centre portion, the height level of which is positioned lower compared to a height level of the interface between the gate insulation layer 252 and the channel region 253. During the etch process 206, also the initial height of the gate electrode 251 is reduced so as to obtain a reduced gate height 251 r, which may be selected such that the spacer structure 255 may extend above the gate electrode 251. Thus, the reduced gate height 251 r allows for a reduced fringing capacitance with respect to contact elements still to be formed, while at the same time the surface area of the gate electrode 251 that may be available during a silicidation process may be restricted by the spacer structure 255, thereby also reducing the overall silicidation rate. Consequently, the etch process 206 may provide for an enhanced surface topography implementing an efficient strain inducing mechanism, at least in the transistor 250a on the basis of a stressed dielectric material to be deposited in a later manufacturing stage, while also providing for enhanced controllability of a silicidation process, wherein additionally the reduced height 251 r provides enhanced transistor performance due to a reduced fringing capacitance. On the other hand, the process 206 may be performed as a non-masked process, thereby not unduly contributing to overall process complexity, for instance in terms of additional lithography steps and the like. The etch process 206 may comprise additional cleaning recipes, for instance on the basis of wet chemical etch processes, in order to prepare the exposed portions of the drain and source regions 254 and of the gate electrode 251 for the subsequent silicidation process.
Fig 2c schematically illustrates the semiconductor device 200 in an advanced manufacturing stage. As shown, metal suicide regions 256, such as nickel/platinum suicide regions are formed in the drain and source regions of the transistors 250a, 250b. Due to the recessing of the drain and source regions 254 of at least the transistor 250a, an increased surface area of the region 256 may be obtained compared to conventional configurations as for instance shown in Fig 1 b, thereby enhancing overall conductivity of the transistor 250a. Moreover, also the metal suicide 256 may define a recessed configuration, that is, at least a surface portion 256s may be positioned at a height level that is lower compared to a height level of the interface between the gate insulation layer 252 and the channel region 253.
In this context it should be appreciated that any positional information is to be considered as relative position statements, wherein the substrate 201 is to be used as a reference. In this sense, the channel region 253 and the metal suicide regions 256 are formed "above" the substrate 201 , wherein however the surface portion 256s is positioned "lower" compared to the interface between the channel region 253 and the gate insulation layer 252.
Moreover, the gate electrode 251 comprises a metal suicide region 257, which may be separated from the gate insulation layer 252 by a doped polysilicon material 251 b. It should be appreciated that the degree of doping of the polysilicon materials 251 d may be different in the transistors 250a, 250b, due to the preceding implantation processes for defining the corresponding drain and source regions 254. Consequently, the threshold characteristics of the respective transistors 250a, 250b may be determined by the correspondingly doped silicon regions 251 b. Hence, although the overall height of the gate electrodes 251 may be reduced, nevertheless a well-defined metal suicide portion, such as the region 257, may be formed while also maintaining a silicon- based material, such as the material 251 b, so as to reduce the probability of substantially completely silicidizing the initial silicon-based gate electrode material, which may conventionally result in significant threshold variability.
The metal suicide regions 256 and 257 may be formed on the basis of well- established process techniques in which an appropriate refractory metal, such as nickel, platinum and the like, may be deposited and may be converted into a metal suicide by performing an appropriate heat treatment. Thereafter, any non- reacted metal material may be removed on the basis of well-established selective etch techniques, wherein additional heat treatments for stabilizing the overall characteristics may follow, if required. During the silicidation process, the spacer structure 255 may reliably cover the sidewalls of the gate electrode 251 , thereby avoiding significant metal "wraparound" so that enhanced controllability and uniformity of the silicidation process may be accomplished. Consequently, a desired thickness of the metal suicide regions 257 may be obtained in a highly controllable manner.
Fig 2d schematically illustrates the semiconductor device 200 according to further illustrative embodiments, in which the degree of recessing of the drain and source regions 254 may be decoupled to a certain degree of reducing the height of the gate electrode 251. In one illustrative embodiment, in a manufacturing stage that substantially corresponds to the semiconductor device 200 as shown in Fig 2a, an etch process 206a, such as a plasma-based etch process, may be performed so as to obtain a substantially anisotropic etch behaviour in order to substantially define a desired degree of recessing 206r in the drain and source regions 254 of the transistor 250a, while efficient excess material may be provided for the semiconductor alloy 205 in the transistor 250b when a significant degree of recessing is not desired for this transistor. For example, the etch process 206a may be performed on the basis of well- established highly selective etch recipes, as is also previously described. It should be appreciated that, depending on the desired degree of recessing 206r, also a corresponding degree of recessing 206g may be obtained in the gate electrode 251.
Fig 2e schematically illustrates the semiconductor device 200 when exposed to a further etch ambient 206b, which may be designed as a selective wet chemical etch ambient in order to preferably remove material in the gate electrode 251 , while substantially maintaining the desired degree of recessing 206r in the transistor 250a. For example, the etch ambient 206b may be established by using tetramethyl ammonium hydroxide (TMAH), which is a well-established material for etching exposed photoresist material. However, at higher concentrations and at elevated temperatures of approximately 50 - 8O0C, TMAH may efficiently etch silicon material wherein a high selectivity with respect to silicon oxide, silicon nitride and the like may be achieved. Furthermore, the etch rate of TMAH in crystalline silicon material having a high degree of n-doping may significantly be slowed down compared to polycrystalline silicon material. Consequently, the height of the gate electrode 251 may efficiently be reduced, while a significant increase of the recess 206r in the drain and source regions 254 of the transistor 250a may be avoided. On the other hand, the p-doped semiconductor alloy 205 may also be removed during the process 206b, wherein a previously provided excess height may selected such that a desired degree of recessing or a substantially planar configuration or a reduced degree of excess height may be achieved in the transistor 250b. That is, during the corresponding selective epitaxial growth process for forming the semiconductor alloy 205, a corresponding excess amount of semiconductor alloy material may be provided so as to take into consideration the etch sequence comprising the process steps 206a and 206b in order to obtain the desired topography for the drain and source regions 254 of the transistor 250b. Consequently, on the basis of the etch process 206b, the final gate height 251 r and the depth of the recess 206r may substantially be decoupled from each other so as to provide for a further reduced fringing capacitance while not contributing to reduced reliability of a corresponding silicidation process for forming metal suicide regions in the drain and source areas 254 in view of shorting the corresponding pn junctions and the like.
Thereafter, the further processing may be continued, as is previously described with reference to Fig 2c.
Fig 2f schematically illustrates the semiconductor device 200 in a further advanced manufacturing stage. As illustrated, an interlayer dielectric material 211 is formed above the transistors 250a, 250b, for instance in the form of a silicon dioxide material, wherein also a strain inducing portion 210a for the transistor 250a and a strain inducing portion 210b for the transistor 250b may be provided. For example, as previously explained, the layers 210a, 210b may be provided as a silicon nitride material having a desired internal stress level so as to individually enhance performance of the transistors 250a, 250b, respectively. In the embodiment shown, the transistor 250a may represent an n-channel transistor and thus the layer 210a may be provided in the form of a tensile stressed material, possibly in combination with an etch stop material and the like, as may be required in accordance with corresponding process strategies. On the other hand, the layer 210b may be provided in the form of a compressively stressed silicon nitride material, or any other appropriate material, thereby enhancing performance of the transistor 250b. Thus, due to the recessed configuration of the drain and source regions 254 of at least the transistor 250a, the corresponding stress component may more efficiently act on the channel region 253, as previously explained, thereby providing higher strain levels in the channel region 253, even if in general a reduced thickness for the layer 210a may have to be used, for instance with respect to the overall packing density of the device 200 and the like. On the other hand, the transistor 250b may have the combined strain effect of the material 205 and the layer 210b. It should be appreciated, however, that any other configuration for the layers 210a, 210b may be used, depending on the overall device strategy. For instance, in some illustrative embodiments, the layers 210a, 210b may be provided with the same internal stress level, thereby significantly reducing overall process complexity. In this case, a high stress level, such as tensile stress, may be used in order to enhance performance of the transistor 250a, while a corresponding stress effect in the transistor 250b may be compensated for or overcompensated by the material 205. In some illustrative embodiments an excess height of the material 205 may be maintained during the preceding manufacturing processes, thereby further reducing the effect of the layer 210b. In other cases a tensile stressed material may be deposited and may subsequently be selectively relaxed above the transistor 250b, for instance by ion implantation and the like.
Thereafter, the interlayer dielectric material 21 1 may be deposited and may be planarized in accordance with well-established process techniques. Next, corresponding lithography and etch processes may be performed so as to obtain corresponding contact openings, in which are to be formed contact elements 212, indicated as dashed lines. For this purpose, also well-established process techniques may be applied. Consequently, the corresponding contact elements 212 may produce a reduced fringing capacitance with a gate electrode 251 due to the reduced height thereof, while nevertheless the portion 251 b may provide for a well-defined threshold behaviour of the transistors 250a, 250b.
As a result, the present disclosure provides semiconductor devices and techniques for forming the same in which a plurality of performance enhancing mechanisms may be implemented, while avoiding or at least significantly reducing any combined negative defects. That is, a recessed drain and source configuration may be accomplished without unduly adding to process complexity, while also a reduction of gate height may be accomplished during the same etch sequence. On the other hand, the reduction in gate height during recessing of the drain and source regions results in enhanced process uniformity and reliability of the subsequent silicidation process. Consequently, reduced fringing capacitance in combination with reduced threshold variability and enhanced transistor performance due to the recessed drain and source configuration may be accomplished. Further modifications and variations of the present disclosure will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the principles disclosed herein. It is to be understood that the forms shown and described herein are to be taken as the presently preferred embodiments.

Claims

1. A semiconductor device comprising:
drain and source regions of a first transistor formed in a semiconductor material, said drain and source regions having a recessed surface portion that is positioned at a lower height level compared to a height level defined by a surface of a gate insulation layer of said first transistor;
a gate electrode formed on said gate insulation layer, said gate electrode comprising a doped silicon material formed on said gate insulation layer and a metal suicide material formed on said doped silicon material;
a spacer structure having a height that is greater than a height of said gate electrode; and
metal suicide regions formed in said drain and source regions.
2. The semiconductor device of claim 1 , further comprising a second transistor comprising drain and source regions that comprise a strain inducing semiconductor alloy.
3. The semiconductor device of claim 2, wherein a surface of said drain and source regions of said second transistor are positioned at a higher height level relative to said recessed surface portion of said drain and source regions of said first transistor.
4. The semiconductor device of claim 3, wherein said surface of said drain and source regions of said second transistor is non-recessed relative to a gate insulation layer of said second transistor.
5. The semiconductor device of claim 1 , further comprising a first strain inducing dielectric layer formed above said drain and source regions of said first transistor, wherein said first strain inducing dielectric layer induces a strain in a channel region of said first transistor.
6. The semiconductor device of claim 5, further comprising a second strain inducing dielectric layer formed above said drain and source regions of said second transistor, wherein said first and second strain inducing dielectric layers induce a different type of strain.
7. The semiconductor device of claim 2, wherein said first transistor is an n- channel transistor and said second transistor is a p-channel transistor.
8. A method comprising:
forming a spacer structure on sidewalls of a gate electrode of a transistor;
exposing drain and source regions of said transistor and said gate electrode to an etch ambient so as to remove material at least from said gate electrode selectively to said spacer structure;
forming a metal suicide material in said drain and source regions and said gate electrode after removing said material; and
forming a strain inducing layer above said gate electrode and said drain and source regions.
9. The method of claim 8, wherein exposing drain and source regions and said gate electrode to said etch ambient further comprises removing material of said drain and source regions so as to form a recessed drain and source configuration.
10. The method of claim 8, wherein exposing drain and source regions and said gate electrode to said etch ambient further comprises establishing said etch ambient on the basis of a plasma ambient.
1 1. The method of claim 8, wherein exposing drain and source regions and said gate electrode to said etch ambient further comprises establishing said etch ambient on the basis of a wet chemical recipe.
12. The method of claim 11 , wherein said wet chemical etch recipe comprises TMAH (tetra methyl ammonium hydroxide).
13. The method of claim 12, further comprising performing at least one further etch process using a different etch recipe.
14. The method of claim 8, further comprising forming a semiconductor alloy in drain and source areas of a second transistor prior to forming said spacer structure.
15. The method of claim 14, wherein said semiconductor alloy is formed with an excess height so as to determine a target height level of drain and source regions of said second transistor after exposing said drain and source regions and said gate electrode of said first transistor and said drain and source regions and a gate electrode of said second transistor to said etch ambient.
16. The method of claim 15, wherein said target height level corresponds to a substantially non-recessed drain and source configuration.
17. The method of claim 8, further comprising forming a strain inducing dielectric layer above said gate electrode structure and said drain and source regions.
18. The method of claim 8, wherein said metal suicide is formed so as to not extend to a gate insulation layer of said gate electrode structure.
19. A method comprising:
performing an etch process so as to remove material from a first gate electrode of a first transistor and a second gate electrode of a second transistor and drain and source regions of at least one of said first and second transistors while protecting sidewalls of said first and second gate electrodes by a spacer structure; and forming a metal suicide in said first and second gate electrodes and said drain and source regions in the presence of said spacer structure, said metal suicide terminating in a doped silicon material of said first and second gate electrodes.
20. The method of claim 19, wherein said etch process is performed on the basis of a plasma ambient.
21. The method of claim 19, wherein performing said etch process comprises performing a wet chemical etch process.
22. The method of claim 21 , wherein said wet chemical etch process is performed on the basis of TMAH.
23. The method of claim 19, further comprising forming a semiconductor alloy in said drain and source regions of said second transistor prior to performing said etch process, wherein an excess material of said semiconductor alloy is provided so as to maintain a substantially non- recessed drain and source configuration in said second transistor.
24. The method of claim 19, further comprising forming a first strain inducing dielectric layer above said first transistor and a second strain inducing dielectric layer above said second transistor.
25. The method of claim 24, wherein said etch process is performed so as to produce a recessed drain and source configuration in said first transistor.
PCT/EP2009/007548 2008-10-31 2009-10-21 Recessed drain and source areas in combination with advanced silicide formation in transistors WO2010049086A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2011533583A JP5544367B2 (en) 2008-10-31 2009-10-21 Recessed drain and source areas combined with advanced silicide formation in transistors
CN200980143153.9A CN102203915B (en) 2008-10-31 2009-10-21 The recessed drain be combined with advanced Formation of silicide in transistor and source area
KR1020117012510A KR101482200B1 (en) 2008-10-31 2009-10-21 Recessed drain and source areas in combination with advanced silicide formation in transistor

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102008054075A DE102008054075B4 (en) 2008-10-31 2008-10-31 Semiconductor device having a lowered drain and source region in conjunction with a method of complex silicide fabrication in transistors
DE102008054075.7 2008-10-31
US12/549,769 2009-08-28
US12/549,769 US8026134B2 (en) 2008-10-31 2009-08-28 Recessed drain and source areas in combination with advanced silicide formation in transistors

Publications (2)

Publication Number Publication Date
WO2010049086A2 true WO2010049086A2 (en) 2010-05-06
WO2010049086A3 WO2010049086A3 (en) 2010-08-19

Family

ID=42028170

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2009/007548 WO2010049086A2 (en) 2008-10-31 2009-10-21 Recessed drain and source areas in combination with advanced silicide formation in transistors

Country Status (1)

Country Link
WO (1) WO2010049086A2 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053711A1 (en) * 1997-06-30 2002-05-09 Chau Robert S. Device structure and method for reducing silicide encroachment
US20040227185A1 (en) * 2003-01-15 2004-11-18 Renesas Technology Corp. Semiconductor device
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US20050110082A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20070238242A1 (en) * 2006-04-06 2007-10-11 Shyh-Fann Ting Semiconductor structure and fabrication thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053711A1 (en) * 1997-06-30 2002-05-09 Chau Robert S. Device structure and method for reducing silicide encroachment
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US20040227185A1 (en) * 2003-01-15 2004-11-18 Renesas Technology Corp. Semiconductor device
US20050110082A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20070238242A1 (en) * 2006-04-06 2007-10-11 Shyh-Fann Ting Semiconductor structure and fabrication thereof

Also Published As

Publication number Publication date
WO2010049086A3 (en) 2010-08-19

Similar Documents

Publication Publication Date Title
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
US7586153B2 (en) Technique for forming recessed strained drain/source regions in NMOS and PMOS transistors
US7723174B2 (en) CMOS device comprising MOS transistors with recessed drain and source areas and a SI/GE material in the drain and source areas of the PMOS transistor
JP5204645B2 (en) Technology for forming contact insulation layers with enhanced stress transmission efficiency
US7138320B2 (en) Advanced technique for forming a transistor having raised drain and source regions
US20090218633A1 (en) Cmos device comprising an nmos transistor with recessed drain and source areas and a pmos transistor having a silicon/germanium material in the drain and source areas
US7344984B2 (en) Technique for enhancing stress transfer into channel regions of NMOS and PMOS transistors
US7955919B2 (en) Spacer-less transistor integration scheme for high-K gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe and strained silicon schemes
US8574991B2 (en) Asymmetric transistor devices formed by asymmetric spacers and tilted implantation
US8377761B2 (en) SOI device having a substrate diode with process tolerant configuration and method of forming the SOI device
US8653605B2 (en) Work function adjustment in a high-K gate electrode structure after transistor fabrication by using lanthanum
US7569437B2 (en) Formation of transistor having a strained channel region including a performance enhancing material composition utilizing a mask pattern
JP2008511129A (en) Techniques for generating different mechanical stresses by forming etch stop layers with different intrinsic stresses in different channel regions
KR20090019693A (en) Strained semiconductor device and method of making same
US8198152B2 (en) Transistors comprising high-k metal gate electrode structures and adapted channel semiconductor materials
US20090001479A1 (en) Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100078735A1 (en) Cmos device comprising nmos transistors and pmos transistors having increased strain-inducing sources and closely spaced metal silicide regions
US8334573B2 (en) Buried etch stop layer in trench isolation structures for superior surface planarity in densely packed semiconductor devices
US9450073B2 (en) SOI transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto
US8664049B2 (en) Semiconductor element formed in a crystalline substrate material and comprising an embedded in situ doped semiconductor material
WO2010049086A2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980143153.9

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09736864

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2011533583

Country of ref document: JP

Ref document number: 3117/DELNP/2011

Country of ref document: IN

ENP Entry into the national phase in:

Ref document number: 20117012510

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09736864

Country of ref document: EP

Kind code of ref document: A2