DE102009015747B4 - Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht - Google Patents

Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht Download PDF

Info

Publication number
DE102009015747B4
DE102009015747B4 DE102009015747A DE102009015747A DE102009015747B4 DE 102009015747 B4 DE102009015747 B4 DE 102009015747B4 DE 102009015747 A DE102009015747 A DE 102009015747A DE 102009015747 A DE102009015747 A DE 102009015747A DE 102009015747 B4 DE102009015747 B4 DE 102009015747B4
Authority
DE
Germany
Prior art keywords
gate electrode
metal
electrode structure
forming
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102009015747A
Other languages
English (en)
Other versions
DE102009015747A1 (de
Inventor
Sven Beyer
Markus Lenski
Richard Carter
Klaus Hempel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009015747A priority Critical patent/DE102009015747B4/de
Priority to US12/749,112 priority patent/US8367495B2/en
Publication of DE102009015747A1 publication Critical patent/DE102009015747A1/de
Application granted granted Critical
Publication of DE102009015747B4 publication Critical patent/DE102009015747B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Verfahren mit:
Bilden einer ersten Gateelektrodenstruktur (120a) über einem ersten Halbleitergebiet (102a) eines Halbleiterbauelements (100) und Bilden einer zweiten Gateelektrodenstruktur (120b) über einem zweiten Halbleitergebiet (102b), wobei die erste und die zweite Gateelektrodenstruktur (120a, 120b) eine Gateisolationsschicht (116) mit einem dielektrischen Material mit großem ε, ein metallenthaltendes Gateelektrodenmaterial (113) und ein Platzhaltermaterial (114) aufweisen, und wobei die erste Gateelektrodenstruktur (120a) ferner eine Zwischenätzstoppschicht (115) enthält, die zwischen dem metallenthaltenden Elektrodenmaterial und zumindest einem Teil des Platzhaltermaterials (114) angeordnet ist;
Entfernen von Material des Platzhaltermaterials (114) in der ersten und der zweiten Gateelektrodenstruktur (120b), um das metallenthaltende Gateelektrodenmaterial (113) oder das dielektrische Material mit großem ε in der zweiten Gateelektrodenstruktur (120b) freizulegen und um die Zwischenätzstoppschicht (115) in der ersten Gateelektrodenstruktur (120a) freizulegen;
Einstellen einer Austrittsarbeit in der zweiten Gateelektrodenstruktur (120b);
Entfernen der Zwischenätzstoppschicht (115) in der ersten Gateelektrodenstruktur (120a); und
Bilden eines metallenthaltenden Materials in der ersten und der zweiten Gateelektrodenstruktur (120b).

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung moderner integrierter Schaltungen mit Transistorelementen, die hoch kapazitive Gatestrukturen auf der Grundlage eines Gatedielektrikums mit großem ε mit erhöhter Permittivität im Vergleich zu konventionellen Gatedielektrika, etwa Siliziumdioxid und Siliziumnitrid aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPU's, Speicherbauelementen, ASIC's (anwendungsspezifische integrierte Schaltungen) und dergleichen, erfordert das Herstellen einer großen Anzahl an Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die im Wesentlichen das Leistungsverhalten der integrierten Schaltungen bestimmen. Im Allgemeinen werden eine Vielzahl von Prozesstechnologien aktuell eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die CMOS-Technologie aktuell eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften der Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Sourcegebiete bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet, etwa einem Kanalgebiet, gebildet sind, das benachbart zu den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor wird die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets wesentlich das Leistungsverhalten von MOS-Transistoren. Da somit die Geschwindigkeit des Aufbaus des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand wesentlich die Transistoreigenschaften bestimmen, ist die Skalierung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit der integrierten Schaltungen zu erreichen.
  • Gegenwärtig wird der größte Teil der integrierten Schaltungen auf der Grundlage von Silizium auf Grund der nahezu unbegrenzten Verfügbarkeit, den gut verstandenen Eigenschaften des Siliziums und zugehöriger Materialien und Prozesse und der Erfahrung, die die letzten 50 Jahre gewonnen wurde, hergestellt. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die Wichtigkeit des Siliziums bei der Herstellung von Halbleiterbauelementen liegt in den guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei höheren Temperaturen stabil und ermöglicht somit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für das Ausheizen erforderlich sind, um Dotierstoffe zu aktivieren und um Kristallschäden auszuheilen, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen.
  • Aus den zuvor genannten Gründen ist Siliziumdioxid ein bevorzugtes Material für eine Gateisolationsschicht in Feldeffekttransistoren, die die Gateelektrode, die häufig aus Polysilizium oder anderen metallenthaltenden Materialien aufgebaut ist, von dem Kanalgebiet getrennt. Beim ständigen Verbessern des Bauteilleistungsverhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets zunehmend verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu erhöhen. Da das Transistorleistungsverhalten durch die Spannung gesteuert wird, die der Gateelektrode zugeführt wird, um die Oberfläche des Kanalgebiets in eine ausreichend hohe Ladungsträgerdichte zu invertieren, so dass der gewünschte Durchlassstrom bei einer vorgegebenen Versorgungsspannung erreicht wird, ist ein gewisses Maß an kapazitiver Kopplung erforderlich, die durch den Kondenstor geschaffen wird, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet ist. Es zeigt sich, dass die Verringerung der Kanallänge erhöhte kapazitive Kopplung erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom führen und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer geringen Schwellwertspannung weisen eine exponentielle Zunahme des Leckstromes auf, wobei auch eine erhöhte kapazitive Kopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Daher wir die Dicke der Siliziumdioxidschicht entsprechend verringert, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu erzeugen. Z. B. erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum von Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistoren mit sehr kurzen Kanälen im Wesentlichen auf Hochgeschwindigkeitssignalwege beschränkt ist, wohingegen Transistorelemente mit einem längeren Kanal für weniger kritische Signalwege eingesetzt werden, etwa als Speichertransistorelemente, kann der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxidgateisolationsschicht hervorgerufen wird, für eine Oxiddicke im Bereich von 1 bis 2 nm Werte annehmen, die nicht mehr mit den Leistungsanforderungen des thermischen Entwurfs für entsprechende Schaltungen kompatibel ist.
  • Daher wird das Ersetzen von siliziumdioxidbasierten Dielektrika als Material für Isolationsschichten insbesondere für extrem dünne siliziumbasierte Gateschichten in Betracht gezogen. Möglich alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend hergestellten Gateisolationsschicht eine kapazitive Kopplung ergibt, die durch eine sehr dünne Siliziumdioxidschicht erreicht würde.
  • Es wurde daher vorgeschlagen, Siliziumdioxid durch Material mit hoher Permittivität zu ersetzen, etwa Tantaloxid (Ta2O5) mit einem ε von ungefähr 25, Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 50, Hafniumoxid (HfO2), HfSiO, Zirkonoxid (ZrO2) und dergleichen.
  • Des weiteren kann das Transistorleistungsvermögen erhöht werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, um das für gewöhnlich verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gatedielektrikum aufweist, wodurch die wirksame Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Daher wurde ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε die erforderliche erhöhte Kapazität auf der Grundlage der gleichen Dicke wie eine siliziumbasierte Schicht liefert, während zusätzlich die Leckströme auf einem akzeptablen Niveau erhalten werden. Andererseits wird ein nicht-Polysiliziummaterial, etwa Titannitrid, und dergleichen, in Verbindung mit anderen Metallen vorgesehen, so dass diese mit dem Dilektrikumsmaterial mit großem ε in Verbindung stehen, wodurch das Vorhandensein einer Verarmungszone im Wesentlichen vermieden wird. Da typischerweise eine geringe Schwellwertspannung des Transistors, d. h. die Spannung, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, gewünscht ist, um hohe Durchlassströme zu erreichen, erfordert üblicherweise die gute Steuerbarkeit entsprechender Kanalgebiete ausgeprägte laterale Dotierstoffprofile und Dotierstoffgradienten zumindest in der Nähe der pn-Übergänge. Da die Schwellwertspannung der Transistoren wesentlich durch die Austrittsarbeit des metallenthaltenden Gatematerials beeinflusst ist, muss eine geeignete Einstellung der wirksamen Austrittsarbeit in Bezug auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
  • Das Vorsehen unterschiedlicher Metallsorten zum Einstellen der Austrittsarbeit für die Gateelektrodenstrukturen von p-Kanaltransistoren und n-Kanaltransistoren in einer frühen Fertigungsphase kann jedoch mit einer Reihe von Schwierigkeiten verknüpft sein, die sich aus der Tatsache ergeben, dass eine komplexe Strukturierungssequenz während der Herstellung aufwendiger Metallgatestapel mit großem ε erforderlich ist, die zu einer deutlichen Variabilität der resultierenden Austrittsarbeit und damit der Schwellwertspannung der fertiggestellten Transistorstrukturen führen können. Während einer entsprechenden Fertigungssequenz wird beispielsweise das Material mit großem ε der Einwirkung von Sauerstoff ausgesetzt, was zu einer Zunahme der Schichtdicke und damit zu einer Verringerung der kapazitiven Kopplung führen kann. Ferner kann eine Verschiebung der Austrittsarbeit beobachtet werden, wenn geeignete Metalle für die Austrittsarbeit in einer frühen Fertigungsphase gebildet werden, wobei angenommen wird, dass dies durch eine moderat hohe Sauerstoffaffinität der Metallsorten insbesondere während der Hochtemperaturprozesse hervorgerufen wird, die typischerweise zur Fertigstellung der Transistorstrukturen, etwa zur Herstellung von Drain- und Sourcegebieten, und dergleichen, erforderlich sind. Aus diesem Grunde wird in einigen Vorgehensweisen der anfängliche Gateelektrodenstapel mit einem hohen Grad an Kompatibilität zu konventionellen polysiliziumbasierten Prozessstrategien bereitgestellt und das eigentliche Elektrodenmaterial und die endgültige Einstellung der Austrittsarbeit zumindest einer Transistorsorte wird in einer sehr fortgeschrittenen Herstellungsphase erreicht, d. h. nach dem Fertigstellen der grundlegenden Transistorstruktur. In einem entsprechenden Austausch-Gate-Ansatz wird das dielektrische Material mit großem ε hergestellt und wird mittels einem geeigneten metallenthaltendem Material abgedeckt, etwa Titannitrid und dergleichen, woran sich ein standardmäßiges Polysilizium oder amorphes Siliziummaterial anschließt, das dann auf der Grundlage gut etablierter moderner Lithographie- und Ätztechniken strukturiert wird. Während der Prozesssequenz zur Strukturierung der Gateelektrodenstruktur wird somit das empfindliche dielektrische Material mit großem ε durch das metallenthaltende Material geschützt, möglicherweise in Verbindung mit aufwendigen Seitenwandabstandshalterstrukturen, wodurch eine unerwünschte Materialmodifizierung während der weiteren Bearbeitung im Wesentlichen vermieden wird. Nach dem Strukturieren der Gateelektrodenstruktur werden konventionelle und gut etablierte Prozesstechniken zur Herstellung der Drain- und Sourcegebiete mit dem gewünschten komplexen Dotierstoffprofil typischerweise ausgeführt. Nach den jeweiligen Hochtemperaturprozessen wird die weitere Bearbeitung fortgesetzt, indem beispielsweise ein Metallsilizid gebildet wird, falls dies erforderlich ist, woran sich das Abscheiden eines dielektrischen Zwischenschichtmaterials, etwa von Siliziumnitrid in Verbindung mit Siliziumdioxid und dergleichen, anschließt. In dieser Fertigungsphase wird eine obere Fläche der Gateelektrodenstrukturen in dem dielektrischen Zwischenschichtmaterial freigelegt, beispielsweise durch Ätztechniken, CMP (chemisch-mechanisches Polieren) und dergleichen. Unter Anwendung eines geeigneten Maskierungsschemas wird das Polysiliziummaterial entfernt und wird durch ein geeignetes Metall ersetzt, um damit die Austrittsarbeit für eine Art von Transistor einzuführen, wobei geeignete Ausheiztechnik abhängig von der betrachteten Metallsorte und der gewünschten Austrittsarbeit Verwendung finden können. In anderen Fallen wird das Polysiliziummaterial in beiden Gateelektrodenstrukturen in einem gemeinsamen Ätzprozess entfernt und daraufhin wird ein geeignetes Maskierungsschema angewendet, um selektiv ein geeignetes Metall einzufüllen, was durch Einfüllen der ersten Metallsorte und selektives Entfernen der Metallsorte von der anderen Gateelektrodenstruktur bewerkstelligt werden kann. Daraufhin wird ein weiteres Metallmaterial abgeschieden, wodurch die gewünschte Austrittsarbeit für jede Art an Transistor erhalten wird. Obwohl allgemein dieser Ansatz vorteilhaft ist im Hinblick auf das Anordnen des Prozesses zum Definieren der tatsächlichen Austrittsarbeiten in einer späten Fertigungsphase mit der Möglichkeit die gesamten Prozessschwankungen zu verringern, sind aufwendige Lithographieschritte in dieser Fertigungsphase erforderlich, die auf der Grundlage einer ausgeprägten Oberflächentopographie auf Grund der fortgeschrittenen Fertigungsphase auszuführen sind, was zu zusätzlichen Transistorvariabilitäten beitragen kann. Ferner werden ein oder mehrere der zugehörigen Ätzprozesse beispielsweise zum selektiven Entfernen einer Metallsorte, zum Entfernen eines Photolackmaterials und dergleichen, auf der Grundlage einer Plasmaumgebung ausgeführt, wodurch die Wahrscheinlichkeit erhöht wird, dass empfindliche Grenzflächen am dielektrischen Material mit großem ε auf Grund des Vorhandenseins der Metallsorte geschädigt werden. Folglich kann der resultierende durch Plasma hervorgerufene Schaden zu erhöhten Ausbeuteverlusten oder zu einem beeinträchtigten Leistungsverhalten der resultierenden Halbleiterbauelemente beitragen. Auf Grund des komplexen Strukturierungsschemas auf Grundlage plasmaunterstützter Ätztechniken ist der Aufbau eines Übergangsbereichs in Gateelektrodenleitungen, die einen p-Transistor mit einem n-Transistor verbinden, schwer steuerbar, ohne dass zusätzliche Gegenmaßnahmen getroffen werden, das Vorsehen einer geeigneten leitenden Barrierenmaterialschicht in Form von Tantalnitrid und dergleichen. In diesem Falle kann die Barrierenschicht als ein Ätzstoppmaterial während des Strukturierens einer Metallsorte verwendet werden, was jedoch zusätzlich zur gesamten Prozesskomplexität und zu einem schlechten elektrischen Verhalten der resultierenden Elektrodenstrukturen beiträgt.
  • In der US 2008/0048270 A1 wird ein Transistor beschrieben, in dem die Austrittsarbeit der Gateelektrode durch Dotierstoffe angepasst wird.
  • In der US 2007/0054446 A1 werden Metallgatetransistoren mit unterschiedlichen Austrittsarbeiten beschrieben.
  • In der US 2008/0093682 A1 wird ein Transistor mit silizidierter Gateelektrode beschrieben.
  • Angesichts dieser Situation betrifft die vorliegende Erfindung Verfahren zur Herstellung von Bauelementen mit aufwendigen Metallgatestrukturen mit großem ε, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die vorliegende Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung modernste Halbleiterbauelemente, speziell Verfahren zu deren Herstellung, in denen Gateelektrodenstrukturen auf der Grundlage eines dielektrischen Materials mit großem ε in Verbindung mit einem geeigneten metallenthaltenden Gateelektrodenmaterial mit geeigneten Austrittsarbeiten für p-Kanaltransistoren und n-Kanaltransistoren hergestellt werden, wobei das metallenthaltende Elektrodenmaterial durch Ersetzen eines Platzhaltermaterials, etwa von Siliziummaterial, nach jeglichen Hochtemperaturbehandlungen und nach dem Herstellen eines Teils des dielektrischen Zwischenschichtmaterials bereitgestellt wird, wobei jedoch ein entsprechendes Lithographieschema in einer sehr frühen Fertigungsphase angewendet wird, wodurch entsprechende Lithographieschritte vermieden werden, wenn das Metallelektrodenmaterial bereitgestellt wird und die Austrittsarbeit für zumindest eine Art an Elektrodenstrukturen eingestellt wird. in einigen anschaulichen Aspekten wird die Prozesssequenz zum Ersetzen eines Teils der Gateelektrodenstrukturen durch eine geeignete Metallsorte auf der Grundlage von Ätztechniken ausgeführt, ohne dass plasmaunterstütze Prozessatmosphären eingesetzt werden, wodurch die Wahrscheinlichkeit des Erzeugens von durch Plasma hervorgerufener Schäden insbesondere in empfindlichen dielektrischen Materialien mit großem ε verringert wird. Zu diesem Zweck wird ein Zwischenschichtmaterial selektiv in der Gateelektrode einer Art an Transistor vorgesehen, was bewerkstelligt werden kann, ohne dass zusätzliche Prozesskomplexität während der Gatestrukturierungssequenz entsteht, während gleichzeitig bessere Prozessbedingungen des entsprechenden Lithographieprozesses erreicht werden. Auf der Grundlage des Zwischenschichtmaterials kann das Austauschen des Platzhaltermaterials der Gateeletkrodenstrukturen bewerkstelligt werden, ohne dass zusätzliche Lithographiemasken erforderlich sind, wobei auch ein gemeinsames Elektrodenmetall für beiden Arten an Gateelektrodenstrukturen verwendet wird, was ebenfalls zu einer geringeren Gesamtprozesskomplexität und zu einer höheren Zuverlässigkeit beiträgt und was auch für eine bessere Steuerbarkeit zur Herstellung eines Übergangsbereichs zwischen einer p-Gateelektrodenstruktur und einer n-Gateelektrodenstruktur führt.
  • Ein erfindungsgemäßes Verfahren umfasst Bilden einer ersten Gateelektrodenstruktur über einem ersten Halbleitergebiet eines Halbleiterbauelements und Bilden einer zweiten Gateelektrodenstruktur über einem zweiten Halbleitergebiet, wobei die erste und die zweite Gateelektrodenstruktur eine Gateisolationsschicht mit einem dielektrischen Material mit großem ε, ein metallenthaltendes Gateelektrodenmaterial und ein Platzhaltermaterial aufweisen, und wobei die erste Gateelektrodenstruktur ferner eine Zwischenätzstoppschicht enthält, die zwischen dem metallenthaltendem Elektrodenmaterial und zumindest einen Teil des Platzhaltermaterials angeordnet ist; Entfernen von Material des Platzhaltermaterials in der ersten und der zweiten Gateelektrodenstruktur, um das metallenthaltende Gateelektrodenmaterial oder das dielektrische Material mit großem ε in der zweiten Gateelektrodenstruktur freizulegen und um die Zwischenätzstoppschicht in der ersten Gateelektrodenstruktur freizulegen; Einstellen einer Austrittsarbeit in der zweiten Gateelektrodenstruktur; Entfernen der Zwischenätzstoppschicht in der ersten Gateelektrodenstruktur; und Bilden eines metallenthaltenden Materials in der ersten und der zweiten Gateelektrodenstruktur.
  • Ein noch weiteres erfindungsgemäßes Verfahren umfasst Bilden eines ersten Teils einer ersten Gateelektrodenstruktur und einer zweiten Gateelektrodenstruktur; selektives Bilden einer Zwischenätzstoppschicht auf dem ersten Teil der ersten Gateelektrodenstruktur; Bilden eines zweiten Teils der ersten und der zweiten Gateelektrodenstruktur über dem ersten Teil; Bilden eines ersten Transistors auf der Grundlage der ersten Gateelektrodenstruktur und eines zweiten Transistors auf der Grundlage einer zweiten Gateelektrodenstruktur; Entfernen eines Bereichs der ersten und der zweiten Gateelektrodenstruktur in einem gemeinsamen Ätzprozess, wobei die Zwischenätzstoppschicht als ein Ätzstoppmaterial verwendet wird; Einstellen einer Austrittsarbeit selektiv in der zweiten Gatelektrodenstruktur; Entfernen der Zwischenätzstoppschicht in der ersten Gateelektrodenstruktur; und Bilden eines Gatemetalls in der ersten und der zweiten Gateelektrodenstruktur; und wobei Einstellen einer Austrittsarbeit selektiv in der zweiten Gateelektrodenstruktur umfasst: Freilegen eines metallenthaltenden Materials, das auf einem dielektrischen Material mit großem ε gebildet ist, Abscheiden eines Metallmaterials und Einstellung einer Austrittsarbeit und Verteilen der Metallsorte des abgeschiedenen Metallmaterials in Richtung des dielektrischen Materials mit großem ε, wobei die Zwischenätzschicht als ein diffusionshinderndes Material in der ersten Gateelektrodenstruktur verwendet wird; und weiterhin umfassend Entfernen des Metallmaterials zur Einstellung der Austrittsarbeit, wobei die Stoppschicht als eine Ätzmaske in der ersten Gateelektrodenstruktur verwendet wird.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1k schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung aufwendiger Gateelektrodenstrukturen von Transistoren unterschiedlicher Leitfähigkeitsart auf der Grundlage eines Austauschgateansatzes zeigen, ohne dass ein Lithographieprozess zum Einstellen der Austrittsarbeit gemäß anschaulicher Ausführungsformen verwendet wird;
  • 1l schematisch eine Querschnittsansicht des Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen zeigt, in der ein Elektrodenmetall direkt in einem dielektrischen Material mit großem ε in einer späten Fertigungsphase gebildet wird; und
  • 1m schematisch eine Querschnittsansicht eines Übergangsbereichs zwischen einer n-Gateelektrodenstruktur und einer p-Gateelektrodenstruktur gemäß noch weiterer anschaulicher Ausführungsformen zeigt.
  • Detaillierte Beschreibung
  • Im Allgemeinen betrifft die Erfindung Fertigungstechniken für Halbleiterbauelemente, in denen ein Austauschgateansatz eingesetzt wird, in welchem ein Lithographieprozess zum Einstellen der Austrittsarbeit der Gateelektrodenstrukturen der Transistoren unterschiedlicher Leitfähigkeitsart in einer frühen Fertigungsphase ausgeführt wird, d. h. während der Herstellung eines Gatestapels, wodurch komplexe Lithographieschritte während der Austauschprozesssequenz vermieden werden. In einigen anschaulichen Ausführungsformen wird der Prozess zum Ersetzen des standardmäßigen Elektrodenmaterials durch ein geeignetes Metall und zum Einstellen der Austrittsarbeit ausgeführt, ohne dass plasmaunterstützte Ätzatmosphären eingesetzt werden, wodurch ebenfalls die Wahrscheinlichkeit des Erzeugens von durch Plasma hervorgerufenen Ätzschäden verringert wird. Durch das Vorsehen eines geeigneten Zwischenschichtmaterials, etwa eines Siliziumdioxidmaterials kann eine effiziente Einstellung der Austrittsarbeit erreicht werden, ohne dass Maskierungsschritte erforderlich sind, während andererseits die frühe Strukturierung des Zwischenschichtmaterials nicht in unerwünschter Weise die gesamte Strukturierungssequenz zur Herstellung der aufwendigen Gateelektrodenstruktur beeinflusst.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100, das ein Substrat 101 aufweist, über welchem eine Halbleiterschicht 102 gebildet ist. Das Substrat 101 repräsentiert ein beliebiges geeignetes Trägermaterial, um darüber die Halbleiterschicht 102 auszubilden, beispielsweise in Form einer siliziumbasierten Halbleitermaterialschicht, einer Silizium/Germanium-Materialschicht oder einer anderen Halbleiterverbindung, wie dies zur Erzeugung moderner Transistorelemente erforderlich ist. Wie zuvor erläutert ist, repräsentiert Silizium möglicherweise in Verbindung mit Germanium häufig verwendete Materialien zur Herstellung modernster komplexer Schaltungen auf der Grundlage von CMOS-Technologien und somit repräsentiert in anschaulichen Ausführungsformen die Halbleiterschicht 102 ein siliziumenthaltendes Halbleitermaterial. Das Substrat 101 in Verbindung mit der Halbleiterschicht 102 kann auch ein SOI-(Silizium-auf-Isolator-)Bauelement repräsentieren, wenn eine vergrabene isolierende Schicht (nicht gezeigt) zwischen dem Substrat 101 und der Halbleiterschicht 102 ausgebildet ist. In anderen Fällen ist die Halbleiterschicht 102 auf einem kristallinen Halbleitermaterial des Substrats 101 gebildet, was auch als eine „Vollsubstratkonfiguration” bezeichnet wird. Es sollte beachtet werden, dass eine SOI-Konfiguration und eine Vollsubstratkonfiguration gleichzeitig in unterschiedlichen Bereichen des Halbleiterbauelements 100 verwendet werden können, wenn dies als geeignet erachtet wird. Des weiteren ist eine Isolationsstruktur 103, etwa eine flache Grabenisolation, in der Halbleiterschicht 102 ausgebildet und grenzt entsprechende Halbleitergebiete oder aktive Gebiete 102a, 102b ab, in und über denen Transistorelemente herzustellen sind. Beispielsweise repräsentiert das aktive Gebiet 102a ein Gebiet zur Herstellung eines n-Kanaltransistors, während das aktive Gebiet 102b das aktive Gebiet eines p-Kanaltransistors repräsentiert.
  • Ferner ist in der 1a gezeigten Fertigungsphase ein erster Teil 110a eines Gateschichtstapels über den Halbleitergebieten 102a, 102b gebildet. Der erste Teil 110a umfasst eine Gateisolationsschicht 116, die auf den aktiven Gebieten 102a, 102b hergestellt ist, und das ein aufwendiges Gatedielektrikumsmaterial mit einem dielektrischen Material 112 mit großem ε repräsentiert, möglicherweise in Verbindung mit einem „konventionellen” dielektrischen Material 111, etwa einem siliziumdioxidbasierten Material, etwa in Form einer silizium-, sauerstoff- und stickstoffenthaltenden Verbindung und dergleichen. Die dielektrische Schicht 111 besitzt eine Dicke von ungefähr 1 nm oder weniger und hat eine geeignete Zusammensetzung, um damit die gewünschten Grenzflächeneigenschaften im Hinblick auf die darunter liegenden aktiven Gebiete 102a, 102b bereitzustellen, oder um für die Stabilität des dielektrischen Materials mit großem ε 112 abhängig von den gesamten Prozess- und Bauteilerfordernissen zu sorgen. Beispielsweise wird das dielektrische Material mit großem ε 112 in Form eines hafniumbasierten Materials, etwa Hafniumoxid, Hafniumsiliziumoxid und dergleichen, bereitgestellt, wie dies auch zuvor erläutert ist. Auf Grund der deutlich höheren Dielektritätskonstanten des Materials 112 kann die Gesamtdicke der Gateisolationsschicht 116 im Vergleich zu aufwendigen Gatedielektrika, die ausschließlich auf der Grundlage konventioneller dielektrischer Materialien hergestellt sind, erhöht werden, wie dies auch zuvor erläutert ist. Ferner umfasst der Teil 110a des Gateschichtstapels ein metallenthaltendes Elektrodenmaterial oder ein Deckmaterial 113, das einen gewünschten Grad an Leitfähigkeit besitzt und das für den gewünschten Einschluss des entsprechenden Materials 112 sorgt. Des weiteren kann das metallenthaltende Material 113 für eine geeignete Austrittsarbeit für eine Art an Transistor sorgen, der noch herzustellen ist, beispielsweise für einen Transistor, der in und über dem aktiven Gebiet 102a zu bilden ist. Beispielsweise repräsentiert Titannitrid ein geeignetes Material, um die Schicht 112 einzutellen und um für die gewünschten elektronischen Eigenschaften zu sorgen. Des weiteren umfasst der Teil 110a ein Zwischenschichtmaterial 115, das auch als eine Stoppschicht oder eine Ätzstoppschicht bezeichnet wird, die in der gezeigten Ausführungsform auf einem optionalen Platzhaltermaterial 114, etwa einem Siliziummaterial, einem Silizium/Germanium-Material, einem Germanium-Material und dergleichen, gebildet ist. In anderen Ausführungsformen (in 1a nicht gezeigt) wird das zwischen Zwischenschichtmaterial 115 direkt auf der Schicht 113 gebildet. In einer anschaulichen Ausführungsform repräsentiert das Zwischenschichtmaterial 115 ein Siliziumdioxidmaterial, während in anderen Fallen andere Materialien verwendet werden, die für die gewünschten Ätzstoppeigenschaften in einer sehr fortgeschrittenen Fertigungsphase sorgen, wie dies nachfolgend erläutert ist. Z. B. wird das Beschichtungsmaterial 115 in Form eines Siliziumoxinitridmaterials, eines Siliziumnitridmaterials und dergleichen bereitgestellt. Die Beschichtung 115 besitzt eine Dicke von ungefähr 10 nm oder weniger im Falle eines Siliziumdioxidmaterials, während in anderen Fallen eine andere geeignete Dicke ausgewählt wird, wobei dies von den ätzstopp- und diffusionshindernden Eigenschaften des Materials 115 in einer späteren Fertigungsphase abhängt.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Bilden der aktiven Gebiete 120a, 120b und der Isolationsstruktur 103 unter Anwendung geeigneter Prozesstechniken wird die dielektrische Schicht 111 hergestellt, beispielsweise durch Oxidation und/oder durch Abscheiden möglicherweise in Verbindung mit Oberflächenbehandlungen, was auf der Grundlage gut etablierter Prozesstechniken bewerkstelligt werden kann. Daraufhin wird das dielektrische Material mit großem ε 112 aufgebracht, etwa durch CVD und dergleichen, wobei eine Dicke gemäß den Bauteilerfordernissen eingestellt wird. Es sollte beachtet werden, dass die dielektrische Schicht mit großem ε 112 direkt auf den aktiven Gebieten 102a, 102b hergestellt werden kann, wenn ein direkter Kontakt das gesamte Bauteilverhalten und die weitere Bearbeitung des Bauelements 100 nicht negativ beeinflusst. Als nächstes wird das metallenthaltende Material 113 aufgebracht, beispielsweise in Form Titannitrid, wobei etablierter Sputter-Abscheidetechniken, CVD-Techniken und dergleichen eingesetzt werden können. Daraufhin wird der erste Bereich 114a des Platzhaltermaterials (falls dieser vorgesehen ist), etwa in Form von Silizium und dergleichen aufgebracht, woran sich das Herstellen der Schicht 115 anschließt, was durch Oxidation, Abscheidung, einer Kombination aus Oxidation und Abscheidung, durch Oberflächenbehandlung und dergleichen bewerkstelligt werden kann. Wenn beispielsweise ein Platzhaltermaterial 114a in Form eines Siliziummaterials vorgesehen ist, können gut etablierte Oxidations- und Oberflächenbehandlungsprozesse eingesetzt werden, um damit die Zwischenschicht 115 zu erhalten. Es sollte beachtet werden, dass zumindest die Prozesse zur Herstellung der dielektrischen Schicht mit großem ε 112 und der metallenthaltenden Schicht 113 als ein in-situ-Prozess ausgeführt werden, d. h. als Prozesse, die in der gleichen Abscheidekammer oder zumindest in der gleichen Prozessanlage ausgeführt werden, ohne dass das Vakuum zwischen den beiden Abscheideschritten gebrochen wird, um damit eine unerwünschte Einwirkung reaktiver Komponenten, etwa von Sauerstoff und dergleichen, zu vermeiden, die in der Umgebungsatmosphäre vorhanden sind. Bei Bedarf kann auch die optionale Schicht 114a in-situ zu den Schichten 112, 113 hergestellt werden, wodurch eine verbesserte Integrität der Schicht 112 erreicht wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Ätzmaske 104, etwa eine Lackmaske, über dem Teil 110a ausgebildet, wodurch ein Teil davon, der über dem aktiven Gebiet 102b angeordnet ist, freiliegt, während der Teil 110a, der über dem aktiven Gebiet 102a gebildet ist, abgedeckt ist. Des weiteren unterliegt das Bauelement 100 der Einwirkung einer Ätzumgebung 105, die so gestaltet ist, das Material der Beschichtung 115 selektiv zu einem darunter liegenden Material, etwa dem optionalen Platzhaltermaterial 114a entfernt wird, während in anderen Fallen der Ätzprozess selektiv zu der Schicht 113 erfolgt, wenn das Zwischenschichtmaterial 115 direkt auf der Schicht 113 gebildet ist, wie dies zuvor erläutert ist.
  • Die Ätzmaske 104 kann auf der Grundlage gut etablierter Lithographietechniken hergestellt werden, wobei bessere Oberflächenbedingungen, etwa im Hinblick auf die gesamte Topographie, erreichten, da die Anzahl an Prozessschritten einschließlich von Reinigungsschritten, Ätzschritten und dergleichen, relativ gering ist im Vergleich zu konventionellen Strategien, in denen ein aufwendiges Strukturierungsschema in einer sehr fortgeschrittenen Fertigungsphase auszuführen ist. Die Maske 104, falls diese in form einer Lackmaske vorgesehen ist, kann auf der Grundlage einer relativ geringeren Lackdicke bereitgestellt werden, die im Hinblick auf ein besseres Verhalten während der Aussetzung ausgewählt wird, da der Ätzwiderstand der Maske 104 auf Grund der geringeren Dicke des zu strukturierenden Materials, d. h. der Zwischenschicht 115, nicht sehr ausgeprägt sein muss. Folglich wird die Schicht 115 selektiv über dem aktiven Gebiet 102a mit einem hohen Maß an Genauigkeit und Zuverlässigkeit bereitgestellt und ermöglicht das Ersetzen von Bereichen der entsprechenden Gateelektrodenstrukturen in einer sehr fortgeschrittenen Fertigungsphase.
  • 1c zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen der Ätzmaske 104, die in 1b gezeigt ist, was auf der Grundlage geeigneter Ätzprozesse, etwa Lackabtragungsprozesse, und dergleichen bewerkstelligt werden kann. In der gezeigten Ausführungsform kann das optionale Platzhaltermaterial 114a effizient die Integrität des Materials 113 bewahren, wenn eine Einwirkung einer entsprechenden Prozessumgebung als ungeeignet erachtet wird. In anderen Fällen ist die Zwischenschicht 115 direkt auf der Schicht 113 ausgebildet, die somit für die Integrität der empfindlichen Gateisolationsschicht 116 während eines entsprechenden Abtragungsprozesses sorgt.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein Gateschichtstapel 110 vorgesehen, in welchem ein zweiter Teil 110b des Schichtstapels 110 auf dem ersten Teil 110a gebildet, der die Zwischenschicht 115 aufweist, die selektiv über dem aktiven Gebiet 102a angeordnet ist. Der zweite Teil 110b enthält ein Platzhaltermaterial 114b, ein Siliziummaterial, ein Silizium/Germanium-Material und dergleichen, um damit einen hohen Grad an Kompatibilität in Bezug auf gut etablierte Gatestapel zu erreichen, für die geeignete Strukturierungsstrategien verfügbar sind. Ferner umfasst der zweite Teil 110b ein oder mehrere zusätzliche Materialien, etwa ein Deckmaterial, beispielsweise in Form von Siliziumnitrid und dergleichen, möglicherweise in Verbindung mit einem zusätzlichen Ätzstoppmaterial, falls dies erforderlich ist, und dergleichen. Die Schicht 117 umfasst ggf. andere Materialien, etwa ein Hartmaskenmaterial, etwa in Form Siliziumdioxid und dergleichen, gemäß einem gewünschten Materialsystem für die folgende Strukturierung des Gateschichtstapels 110.
  • Der zweite Teil 110b kann auf der Grundlage geeigneter Abscheidtechniken, etwa CVD bei geringem Druck zur Herstellung eines amorphen oder polykristallinen Siliziummaterials, eines Silizium/Germaniummaterials und dergleichen gebildet werden, woran sich das Abscheiden der Schicht 117 unter Anwendung geeigneter CVD-Techniken anschließt.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein erster Transistor 150a in und über dem aktiven Gebiet 102a gebildet und umfasst die erste Gateelektrodenstruktur 120a. In ähnlicher Weise ist ein zweiter Transistor 150b in und über dem aktiven Gebiet 102b gebildet und umfasst eine zweite Gateelektrodenstruktur 120b. Die Gateelektrodenstruktur 120a enthält die Gateisolationsschicht 116, mit dem darauf gebildete metallenthaltende Material 113, über welchem das Zwischenschichtmaterial 115 angeordnet ist. In der gezeigten Ausführungsform ist ebenfalls das optionale Platzhaltermaterial 114a zwischen dem metallenthaltenden Elektrodenmaterial 113 und der Zwischenschicht 115 vorgesehen. Des weiteren ist das Platzhaltermaterial 114b über dem Zwischenschichtmaterial 115 gebildet. Es sollte beachtet werden, dass abhängig von der gesamten Prozessstrategie ein Metallsilizidmaterial in dem Platzhaltermaterial 114b gebildet sein kann, wenn dieses einen deutlichen Anteil an Silizium aufweist.
  • Die zweite Gateelektrodenstruktur 120b umfasst die Gateisolationsschicht 116 und das metallenthaltende Elektrodenmaterial 113, das darauf ausgebildet ist, woran sich das Platzhaltermaterial 114a anschließt, falls dieses vorgesehen ist und woran sich auch das Material 114b anschließt.
  • Des weiteren umfassen die Transistoren 150a, 150b Drain- und Sourcegebiete 151, die entsprechende Metallsilizidgebiete 153 aufweisen, etwa in Form von Nickelsilizid, Nickel/Platinsilizid und dergleichen. Es sollte beachtet werden, dass die Drain- und Sourcegebiete 151 der Transistoren 150a, 150b Dotierstoffsorten unterschiedlicher Leitfähigkeitsart enthalten, wie dies für das gesamte Leistungsverhalten der Transistoren 150a, 150b erforderlich ist. De weiteren können die Transistoren 150a, 150b weitere Mechanismen zur Verbesserung des gesamten Leistungsverhaltens beinhalten, beispielsweise durch Vorsehen eines verformungsinduzierenden Materials, etwa einer Silizium/Germanium-Legierung, einer Silizium/Kohlenstofflegierung und dergleichen. Der Einfachheit halber sind derartige Komponenten in 1e nicht gezeigt. Des weiteren sind Transistoren 150a, 150b lateral in einem dielektrischen Zwischenschichtmaterial 130 eingebettet, das zwei oder mehr unterschiedliche Materialien aufweisen kann, etwa eine Schicht 131, die eine Ätzstoppschicht in einer späteren Fertigungsphase repräsentiert, wenn das dielektrische Zwischenschichtmaterial 130 strukturiert wird, so dass es Kontaktelemente erhält, die zu den Transistoren 150a, 150b verbunden sind. Des weiteren wird ein Material 132 vorgesehen, etwa in Form von Siliziumdioxid und dergleichen. Abhängig von der gesamten Prozessstrategie können die Transistoren 150a, 150b eine Abstandshalterstruktur 152 aufweisen, die an Seitenwänden der Gateelektrodenstrukturen 120a, 120b ausgebildet ist. Die Abstandshalterstruktur 152 besitzt eine beliebige geeignete Struktur, um damit die empfindlichen Materialien 116 und 113 einzuschließen und auch um als eine geeignete Implantationsmaske zu dienen, wenn die Drain- und Sourcegebiete 151 durch Ionenimplantation hervorgerufen werden. Des weiteren wird die Abstandshalterstruktur 152 für die Ausbildung der Metallsilizidgebiete 153, falls diese vorgesehen sind, verwendet.
  • Das in 1e gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Ausgehend von dem Gateschichtstapel 110 (siehe 1b) wird eine geeignete Sequenz mit einem aufwendigen Lithographieprozess und anisotropen Ätztechniken angewendet, um den Gateschichtstapel zu strukturieren, so dass die Gateelektrodenstrukturen 120a, 120b erhalten werden. Während der Strukturierung des Gateschichtstapels dient die Zwischenschicht 115 als ein Ätzstoppmaterial, so dass bei Bedarf eine andere Ätzchemie zeitweilig eingesetzt werden kann, um durch das Material 115 über dem aktiven Gebiet 102a zu ätzen, ohne im Wesentlichen den Ätzprozess über den aktiven Gebiet 102b zu beeinflussen. Somit kann die Strukturierungssequenz zur Herstellung der Gateelektrodenstrukturen 120a, 120b mit einem hohen Grad an Kompatibilität im Vergleich zu konventionellen Strategien ausgeführt werden. Daraufhin wird die weitere Bearbeitung fortgesetzt durch eine beliebige geeignete Fertigungsstrategie, beispielsweise zum Bilden eines Teils der Abstandshalterstruktur 152, um damit die empfindlichen Materialien 116 und 113 einzuschließen, indem etwa ein Siliziumnitridabstandshalterelement und dergleichen hergestellt wird. Daraufhin werden die Drain- und Sourcegebiete 151, beispielsweise Erweiterungsgebiete, hergestellt und das endgültige laterale Dotierstoffprofil wird auf der Grundlage der Abstandshalterstruktur 152 gemäß gut etablierter Implantations- und Maskierungstechniken erzeugt. Danach werden Hochtemperaturprozesse ausgeführt, um Dotierstoffe zu aktivieren und durch Implantation hervorgerufene Schäden zu rekristallisieren. Somit ist die grundlegende Transistorkonfiguration fertig gestellt, während in anderen Fallen die Metallsilizidgebiete 153 in den Drain- und Sourcegebieten 151 und möglicherweise in den Gateelektrodenstrukturen 120a, 120b hergestellt werden. Als nächstes wird das dielektrische Zwischenschichtmaterial 130 abgeschieden, beispielsweise durch plasmaunterstützte CVD, subatomsphärische CVD und dergleichen, wobei dies von der Art des Materials und dessen Eigenschaften abhängt. Z. B. wird ein Teil des dielektrischen Zwischenschichtmaterials 130, etwa das Material 131, mit einem hohen inneren Verspannungspegel vorgesehen, um damit das Leistungsverhalten eines oder beider Transistoren 150a, 150b zu verbessern. Beispielsweise wird die Schicht 131 mit unterschiedlichen inneren Verspannungspegeln für die Transistoren 150a, 150b vorgesehen, was auf Basis gut etablierter Abscheide- und Strukturierungsstrategien bewerkstelligt werden kann Daraufhin wird das Material 132 abgeschieden und überschüssiges Material wird dann mittels eines Prozesses 106 abgetragen, während welchem Oberflächenbereiche 120s der Gateelektrodenstrukturen 120a, 120b freigelegt werden. Der Abtragungsprozess 106 kann Ätzschritte, einen CMP-Prozess, und dergleichen, umfassen.
  • 1f zeigt schematisch das Halbleiterbauelement 100, wenn es der Einwirkung einer Ätzumgebung 107 unterliegt, die gestaltet ist, um das Platzhaltermaterial 114b möglicherweise in Verbindung mit dem Material 114a, falls dieses vorgesehen ist, selektiv zu den dielektrischen Materialien der Schicht 130 und der Abstandshalterstruktur 152 zu entfernen. Zu diesem Zweck sind eine Vielzahl nasschemischer Ätzrezepte verfügbar, die Siliziummaterial selektiv zu Siliziumdioxid, Siliziumnitrid und dergleichen abtragen können. Beispielsweise wird TMAH (Tetramethylammoniumhydroxid) eingesetzt, das ein chemisches Mittel ist, das Silizium bei erhöhten Temperaturen im Bereich von ungefähr 50 bis 80 Grad C mit einem hohen Grad an Selektivität in Bezug auf Siliziumdioxid und Siliziumnitrid ätzen kann. Folglich kann der Materialabtrag effizient an der Zwischenschicht 115 in der Gateelektrodenstruktur 120a angehalten werden, wodurch eine entsprechende Vertiefung bzw. Aussparung 107a darin erzeugt wird. Andererseits ist der Ätzprozess in und auf den metallenthaltendem Material 113 in der Gateelektrodenstruktur 120b angehalten, wodurch eine entsprechende Vertiefung oder ein Graben 107b darin erzeugt wird. Somit wird das Abtragen zumindest eins Teils der Platzhaltermaterialien 114a, 114b ermöglicht, ohne dass ein aufwendiges Maskierungsschema erforderlich ist, während auch in der dargestellten Ausführungsform eine plasmaunterstützte Ätzumgebung vermieden wird.
  • In einigen anschaulichen Ausführungsformen umfasst der Ätzprozess 107 einen weiteren Ätzschritt, um das freigelegte metallenthaltende Material 113 in der Gateelektrodenstruktur 120b zu entfernen, wenn ein Elektrodenmetall in einer späteren Fertigungsphase in die Öffnungen 107a, 107b eingefüllt wird und dieses zum Erzeugen einer gewünschten Austrittsarbeit für die Gateelektrodenstruktur 120b geeignet ist. In anderen Fällen wird das Material 112 entfernt, um damit einen direkten Kontakt mit einer weiteren Metallsorte zur Einstellung der Austrittsarbeit, die in einer späteren Fertigungsphase abzuscheiden ist, ermöglicht. Zum Abtragen des Materials 113 wird ein geeignetes chemisches Mittel verwendet, beispielsweise eine Ammonium-Wasserstoffperoxid-Mischung (APM) bei einer erhöhten Temperatur, das das Material 113 selektiv zu dem dielektrischen Material mit großem ε 112 entfernt. Andererseits kann das Zwischenschichtmaterial 115 die Integrität der darunter liegenden Materialien in der Gateelektrodenstruktur 120a effizient bewahren.
  • 1g zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen eine Metallschicht 108 in den Gateelektrodenstrukturen 120a, 120b gebildet ist, um damit die Austrittsarbeit in der Gateelektrodenstruktur 120b einzustimmen. Wie zuvor erläutert ist, wird die Metallschicht 108, die ein beliebiges geeignetes Material repräsentiert, um für den gewünschten Bandlückenabstand zu sorgen, etwa in Form von Lanthanum, Aluminium, und dergleichen, auf dem metallenthaltenden Material 113 gebildet, wie dies in 1g gezeigt ist, oder dieses wird direkt auf dem Material 112 gebildet, wenn eine direkter Kontakt zwischen den Schichten 108 und 112 als vorteilhaft im Hinblick auf das Einstellen der resultierenden Austrittsarbeit erachtet wird. Die Metallschicht 108 wird durch eine beliebige geeignete Abscheidetechnik aufgebracht, etwa durch Sputter-Abscheidung, CVD-artige Abscheidung und dergleichen.
  • Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen das Abscheiden des Materials 108 zum Einstellen der Austrittsarbeit weggelassen werden kann und ein Elektrodenmaterial direkt auf dem freiliegenden dielektrischen Material mit großem ε 112 in einer späteren Fertigungsphase aufgebracht werden kann.
  • 1h zeigt schematisch das Halbleiterbauelement 100, wenn es einer Wärmebehandlung 109 unterzogen wird, um damit eine Diffusion von Metallatomen von der Schicht 108 in das Material 113 und/oder in das dielektrische Material mit großem ε 112 in Gang zu setzen. Zu diesem Zweck wird eine beliebige geeignete Prozesstechnik eingesetzt, etwa ein schnelles thermisches Ausheizen, Ofenprozesse und dergleichen in Verbindung mit einer geeigneten Prozesstemperatur, die mit dem Aufbau des Halbleiterbauelements 100 kompatibel ist und die zu der gewünschten Diffusion der Metallsorte in Materialien 113 und/oder 112 führt. Beispielsweise wird eine Temperatur im Bereich von 200 bis 500 Grad C während der Behandlung 109 angewendet. Andererseits kann die Zwischenschicht 115 als ein diffusionshinderndes Material dienen, wobei auch das optionale Platzhaltermaterial 114a als ein zusätzliches Puffermaterial dient, um damit die Diffusion der Metallsorte von der Schicht 108 in die Materialien 113 und 112 deutlich zu unterdrücken. Folglich kann die Austrittsarbeit, die durch die Materialien 113 und 112 definiert ist, im Wesentlichen beibehalten werden, während andererseits eine geeignete Anpassung in der Gateelektrodenstruktur 120b bei Bedarf erreicht werden kann. Wie zuvor erläutert ist, wird in anderen anschaulichen Ausführungsformen (nicht gezeigt) eine geeignete Metallsorte direkt auf der Schicht 112 in einer späteren Fertigungsphase abgeschieden.
  • In der in 1h gezeigten Ausführungsform kann die weitere Bearbeitung auf der Grundlage des Materials 113 fortgesetzt werden, wenn diese Schicht während der vorhergehenden Prozesse nicht entfernt wurde, wie zuvor erläutert ist, und auf der Grundlage des Materials 112, das darin einen Teil 112a aufweist, der entsprechende Metallsorten enthält, die in geeigneter Weise die schließlich erreichte Austrittsarbeit anpassen. Somit ist die Gateelektrodenstruktur 120b in geeigneter Weise vorbereitet, um ein Elektrodenmetall einer beliebigen Art aufzunehmen, wenn die Austrittsarbeit im Wesentlichen auf der Grundlage der Schicht 108 bestimmt ist. In anderen Fällen, wenn eine vorhergehende Einstellung der Austrittsarbeit unter Anwendung der Metallsorte 108 weggelassen wurde, wird ein geeignetes Material direkt auf dem dielektrischen Material mit großem ε 112 aufgebracht, um damit die gewünschte Austrittsarbeit zu erhalten. Beispielsweise können für diesen Zweck aluminiumbasierte Materialien verwendet werden.
  • 1i zeigt schematisch das Halbleiterbauelement 100 während eines Ätzprozesses 121, der auf der Grundlage einer Prozessumgebung ohne Plasma ausgeführt wird, um damit durch Plasma hervorgerufene Ätzschäden zu vermeiden, die in konventionellen Strategien erzeugt werden, wie dies zuvor erläutert ist. Zu diesem Zweck wird in einigen anschaulichen Ausführungsformen der Prozess 121 auf der Grundlage wässriger HCL augeführt, die in effizienter Weise das Material der Schicht 108 abträgt, während das Material 113 nicht wesentlich negativ beeinflusst wird, wenn dieses in dieser Fertigungsphase noch vorhanden ist. Andererseits kann die Zwischenschicht 115 die Integrität der darunter liegenden Materialien in der Gateelektrodenstruktur 120a bewahren. In noch anderen anschaulichen Ausführungsformen wird der Ätzprozess 121 auf der Grundlage einer heißen APM ausgeführt, wenn das Abtragen der Schicht 113 in dieser Fertigungsphase gewünscht ist. In diesem Falle wird die Grenzfläche 112a während des Ätzprozesses 121 freigelegt.
  • 1j zeigt schematisch das Halbleiterbauelement 100, wenn es einen weiteren Ätzprozess 122 unterzogen wird, um damit die Zwischenschicht 115 (siehe 1i) abzutragen, was etwa auf der Grundlage wässriger Flusssäure bewerkstelligt werden kann, wenn die Schicht 115 aus Siliziumdioxid aufgebaut ist. In der Gateelektrodenstruktur 120b wird ein Teil des Materials 113 ggf. während des Prozesses 122 aufgebraucht, während in anderen Fällen die Schicht 112 als ein Ätzstoppmaterial dient, wenn die Schicht 113 in einem der vorhergehenden Fertigungsprozesse entfernt wurde, wie dies auch zuvor beschrieben ist. In einigen anschaulichen Ausführungsformen wird ein zusätzlicher Ätzschritt ausgeführt, um das Material 114 (siehe 1i) zu entfernen, falls dieses vorgesehen ist, was unter Anwendung einer beliebigen geeigneten Ätzchemie erfolgen kann, etwa unter Verwendung von TMAH, wodurch das Material 113 in der Gateelektrodenstruktur 120a freigelegt wird. Somit sind die Gateelektrodenstrukturen 120a, 120b für die Aufnahme eines gemeinsamen Elektrodenmetalls vorbereitet, da die Austrittsarbeit in der Gateelektrodenstruktur 120a durch die Schicht 113 definiert ist, während in der Gateelektrodenstruktur 120b die behandelte Schicht 112, möglicherweise in Verbindung mit einem entsprechenden Rest der Schicht 113, für die gewünschte Austrittsarbeit auf der Grundlage der Sorte der Schicht 108 sorgt (siehe 1g und 1h). In anderen Fallen wird, wie zuvor erläutert ist, die Schicht 112 freigelegt und erhält ein Elektrodenmetall, um damit in geeigneter Weise die Austrittsarbeit in der Gateelektrodenstruktur 120b festzulegend, während das Material 113 für die gewünschte Austrittsarbeit in der Gateelektrodenstruktur 120a sorgt.
  • 1k zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfassen die Gateelektrodenstrukturen 120a, 120b ein gemeinsames Elektrodenmetall 123, das in einer geeigneten Zusammensetzung vorgesehen ist. Beispielsweise können aluminiumbasierte Materialien, Wolfram, Metallsilizide und dergleichen als das Metall 123 verwendet werden, da die Austrittsarbeit der Gateelektrodenstruktur 120a auf der Grundlage des Materials 113 festgelegt ist, während in der Gateelektrodenstruktur 120b das Material 113, falls es vorgesehen ist, in Verbindung mit der Grenze 112a für die gewünschte Austrittsarbeit sorgt.
  • Das Elektrodenmetall 123 kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik hergestellt werden, um in zuverlässiger Weise die Gateelektrodenstrukturen 120a, 120b aufzufüllen, wofür gut etablierte Abscheiderezepte verfügbar sind. Daraufhin wird überschüssiges Material des Elektrodenmetalls 123 entfernt, beispielsweise durch CMP und dergleichen, wodurch das Bauelement 100 geschaffen wird, wie es in 1k gezeigt ist. Folglich enthalten die Transistoren 150a, 150b die Gateelektrodenstrukturen 120a, 120b mit geeigneten Austrittsarbeitswerten, wobei die gesamte Strukturierungssequenz ohne zusätzliche Lithographieschritte ausgeführt wird und ohne dass plasmauntestützte Ätzatmosphären erforderlich sind. Folglich werden die entsprechenden Transistoreigenschaften mit einem hohen Grad an Zuverlässigkeit im Vergleich zu konventionellen Strategien erhalten.
  • 1l zeigt schematisch das Halbleiterbauelement 100 gemäß noch weiterer anschaulicher Ausführungsformen, in denen das gemeinsame Elektrodenmaterial 123 in der Gateelektrodenstruktur 120b direkt auf dem dielektrischen Material mit großem ε 112 gebildet ist. Zu diesem Zweck wurde das Material 113 in einem der vorhergehenden Fertigungsschritte entfernt, wie dies auch zuvor erläutert ist, wobei die Grenzfläche 112a für die gewünschte Austrittsarbeit in Verbindung mit dem Metall 123 sorgt. In noch anderen anschaulichen Ausführungsformen wird, wie zuvor erläutert ist, das Metall 123 direkt auf der Schicht 112 vorgesehen, ohne dass speziell eine zur Einstellung der Austrittsarbeit geeignete Sorte vorgesehen wird, d. h. eine Sorte, die die Grenzfläche 112a definiert, wenn das Elektrodenmetall 123 für eine gewünschte Austrittsarbeit in Verbindung mit der Schicht 112 für den Transistor 150b sorgt.
  • Somit wird ein hohes Maß an Flexibilität beim Auswählen geeigneter Austrittsarbeitswerte erreicht, wobei dennoch ein einzelnes gemeinsames Elektrodenmetall in dem Gateelektrodenstrukturen 120a, 120b auf der Grundlage einer sehr zuverlässigen Fertigungssequenz verwendet wird, ohne dass ein Lithographieprozess einer fortgeschrittenen Fertigungsphase des Bauelements 100 erforderlich ist.
  • 1m zeigt schematisch eine Querschnittsansicht des Halbleiterbauelements 100 in einem Bauteilgebiet, in welchem Gateelektrodenstrukturen von Transistoren von unterschiedlicher Leitfähigkeitswart miteinander verbunden sind. Der Einfachheit halber sind die Gateelektrodenstruktur 120a und die Gateelektrodenstruktur 120b so dargestellt, dass diese über einem Teil der Isolationsstruktur 103 miteinander verbunden sind. Es sollte jedoch beachtet werden, dass diese Konfiguration nicht in den vorhergehenden Figuren dargestellt ist, in denen die Gateelektrodenstrukturen 120a, 120b nebeneinander angeordnet sind. Wie gezeigt, sind die Gateelektrodenstrukturen 120a, 120b miteinander durch ein entsprechendes Übergangsgebiet 140 verbunden, wobei das gemeinsame Elektrodenmetall 123 für eine zuverlässige gut leitende Verbindung zwischen den Strukturen 120a, 120b sorgt. Da aufwendige Strukturierungsstrategien zum Bereitstellen von Elektrodenmetallen in einer fortgeschrittenen Fertigungsphase, wie sie typischerweise in konventionellen Strategien eingesetzt werden, gemäß den hierin offenbarten Prinzipien nicht erforderlich sind, kann ein bessere Gesamtsteuerbarkeit der Fertigungssequenz erreicht werden, ohne dass zusätzliche leitende Ätzstoppmaterialien und dergleichen erforderlich sind.
  • Es gilt also: Die vorliegende Erfindung stellt Techniken zur Herstellung von Halbleiterbauelementen bereit, in denen Austauschgate-Ansatz auf der Grundlage besserer Lithographiebedingungen angewendet wird, indem eine geeignete Zwischenstoppschicht in einer der Gateelektroden in einer frühen Fertigungsphase vorgesehen wird. Somit sorgt das Ersetzen des Platzhaltermaterials durch ein gemeinsames Elektrodenmaterial derart, dass bessere Prozessbedingungen erreicht werden, da beispielsweise Maskierungsschritte vermieden werden, und auch plasmaunterstützte Ätzprozesse nicht erforderlich sind, für eine insgesamt bessere Zuverlässigkeit der resultierenden Gateelektrodenstrukturen. Ferner ermöglicht das Zwischenschichtmaterial einen hohen Grad an Flexibilität beim Einstellen einer gewünschten Austrittsarbeit für n-Kanaltransistoren oder p-Kanaltransistoren in einer späten Fertigungsphase.

Claims (16)

  1. Verfahren mit: Bilden einer ersten Gateelektrodenstruktur (120a) über einem ersten Halbleitergebiet (102a) eines Halbleiterbauelements (100) und Bilden einer zweiten Gateelektrodenstruktur (120b) über einem zweiten Halbleitergebiet (102b), wobei die erste und die zweite Gateelektrodenstruktur (120a, 120b) eine Gateisolationsschicht (116) mit einem dielektrischen Material mit großem ε, ein metallenthaltendes Gateelektrodenmaterial (113) und ein Platzhaltermaterial (114) aufweisen, und wobei die erste Gateelektrodenstruktur (120a) ferner eine Zwischenätzstoppschicht (115) enthält, die zwischen dem metallenthaltenden Elektrodenmaterial und zumindest einem Teil des Platzhaltermaterials (114) angeordnet ist; Entfernen von Material des Platzhaltermaterials (114) in der ersten und der zweiten Gateelektrodenstruktur (120b), um das metallenthaltende Gateelektrodenmaterial (113) oder das dielektrische Material mit großem ε in der zweiten Gateelektrodenstruktur (120b) freizulegen und um die Zwischenätzstoppschicht (115) in der ersten Gateelektrodenstruktur (120a) freizulegen; Einstellen einer Austrittsarbeit in der zweiten Gateelektrodenstruktur (120b); Entfernen der Zwischenätzstoppschicht (115) in der ersten Gateelektrodenstruktur (120a); und Bilden eines metallenthaltenden Materials in der ersten und der zweiten Gateelektrodenstruktur (120b).
  2. Verfahren nach Anspruch 1, wobei Bilden der ersten und der zweiten Gateelektrodenstruktur (120b) umfasst: Bilden der Gateisolationsschicht (116), Bilden des metallenthaltenden Gateelektodenmaterials über der Gateisolationsschicht (116), Bilden der Zwischenätzstoppschicht (115) über dem metallenthaltenden Gateelektrodenmaterial (113) und Entfernen der Zwischenätzstoppschicht (115) selektiv über dem zweiten Halbleitergebiet.
  3. Verfahren nach Anspruch 2, wobei Bilden der ersten und der zweiten Gateelektrodenstruktur (120b) ferner umfasst: Bilden eines Teils des Platzhaltermaterials (114) über dem metallenthaltenden Elektrodenmaterial vor dem Bilden der Zwischenätzstoppschicht (115).
  4. Verfahren nach Anspruch 2, wobei die Zwischenätzstoppschicht (115) auf dem metallenthaltenden Elektrodenmaterial gebildet wird.
  5. Verfahren nach Anspruch 3, das ferner umfasst: Bilden eines weiteren Teils des Platzhaltermaterials (114) über der Zwischenätzstoppschicht (115).
  6. Verfahren nach Anspruch 1, wobei Einstellen der Austrittsarbeit in der zweiten Gateelektrodenstruktur (120b) umfasst: Abscheiden eines Metallmaterials und Ausführen einer Wärmebehandlung, um eine Metallsorte in Richtung der Gateisolationsschicht (116) der zweiten Gateelektrodenstruktur (120b) zu verteilen, wobei die Zwischenätzstoppschicht (115) als ein Diffusionsblockiermaterial in der ersten Gateelektrodenstruktur (120a) verwendet wird.
  7. Verfahren nach Anspruch 6, das ferner umfasst: Entfernen des Metallmaterials auf der Grundlage eines plasmafreien Abtragungsprozesses.
  8. Verfahren nach Anspruch 1, das ferner umfasst: Bilden von Drain- und Sourcegebieten (151) in dem ersten und dem zweiten Halbleitergebiet (102a, 102b) und Bilden zuminderst eines Teils eines dielektrischen Zwischenschichtmaterials vor dem Entfernen des Materials des Platzhaltermaterials (114).
  9. Verfahren nach Anspruch 1, wobei Bilden der Gateisolationsschicht (116) umfasst: Bilden eines Siliziumdioxidbasismaterials und Bilden des dielektrischen Materials mit großem ε auf Siliziumdioxidbasismaterial.
  10. Verfahren nach Anspruch 1, wobei die Zwischenätzstoppschicht (115) auf der Grundlage eines Siliziumdioxidmaterials gebildet wird.
  11. Verfahren nach Anspruch 10, wobei die Zwischenätzstoppschicht (115) eine Dicke von 10 nm oder weniger besitzt.
  12. Verfahren nach Anspruch 7, wobei Entfernen des Metallmaterials Entfernen des metallenthaltenden Elektrodenmaterials umfasst.
  13. Verfahren mit: Bilden eines ersten Teils einer ersten Gateelektrodenstruktur (120a) und einer zweiten Gateelektrodenstruktur (120b); selektives Bilden einer Zwischenätzstoppschicht (115) auf dem ersten Teil der ersten Gateelektrodenstruktur (120a); Bilden eines zweiten Teils der ersten und der zweiten Gateelektrodenstruktur (120b) über dem ersten Teil; Bilden eines ersten Transistors (150a) auf der Grundlage der ersten Gateelektrodenstruktur (120a) und eines zweiten Transistors (150b) auf der Grundlage einer zweiten Gateelektrodenstruktur (120b); Entfernen eines Bereichs der ersten und der zweiten Gateelektrodenstruktur (120b) in einem gemeinsamen Ätzprozess, wobei die Zwischenätzstoppschicht (115) als ein Ätzstoppmaterial verwendet wird; Einstellen einer Austrittsarbeit selektiv in der zweiten Gatelektrodenstruktur; Entfernen der Zwischenätzstoppschicht (115) in der ersten Gateelektrodenstruktur (120a); und Bilden eines Gatemetalls (113) in der ersten und der zweiten Gateelektrodenstruktur (120b); und wobei Einstellen einer Austrittsarbeit selektiv in der zweiten Gateelektrodenstruktur (120b) umfasst: Freilegen eines metallenthaltenden Materials, das auf einem dielektrischen Material mit großem ε gebildet ist, Abscheiden eines Metallmaterials und Einstellung einer Austrittsarbeit und Verteilen der Metallsorte des abgeschiedenen Metallmaterials in Richtung des dielektrischen Materials mit großem ε, wobei die Zwischenätzstoppschicht als ein diffusionshinderndes Material in der ersten Gateelektrodenstruktur (120a) verwendet wird; und weiterhin umfassend Entfernen des Metallmaterials zur Einstellung der Austrittsarbeit, wobei die Zwischenätzstoppschicht als eine Ätzmaske in der ersten Gateelektrodenstruktur (120a) verwendet wird.
  14. Verfahren nach Anspruch 13, das ferner umfasst: Entfernen des metallenthaltenden Materials in der zweiten Gateelektrodenstruktur (120b), um das dielektrische Material mit großem ε freizulegen.
  15. Verfahren nach Anspruch 13, wobei die Zwischenätzstoppschicht so gebildet wird, dass diese ein Siliziumdioxidmaterial aufweist.
  16. Verfahren nach Anspruch 16, wobei die Zwischenätzstoppschicht mit einer Dicke von 10 nm oder weniger hergestellt wird.
DE102009015747A 2009-03-31 2009-03-31 Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht Expired - Fee Related DE102009015747B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009015747A DE102009015747B4 (de) 2009-03-31 2009-03-31 Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US12/749,112 US8367495B2 (en) 2009-03-31 2010-03-29 Method for forming CMOS transistors having metal-containing gate electrodes formed on a high-K gate dielectric material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009015747A DE102009015747B4 (de) 2009-03-31 2009-03-31 Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht

Publications (2)

Publication Number Publication Date
DE102009015747A1 DE102009015747A1 (de) 2010-10-14
DE102009015747B4 true DE102009015747B4 (de) 2013-08-08

Family

ID=42733073

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009015747A Expired - Fee Related DE102009015747B4 (de) 2009-03-31 2009-03-31 Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht

Country Status (2)

Country Link
US (1) US8367495B2 (de)
DE (1) DE102009015747B4 (de)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP5640379B2 (ja) 2009-12-28 2014-12-17 ソニー株式会社 半導体装置の製造方法
US8564066B2 (en) * 2010-06-18 2013-10-22 International Business Machines Corporation Interface-free metal gate stack
US8404533B2 (en) * 2010-08-23 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US9142462B2 (en) * 2010-10-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer and method of forming the same
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US9287252B2 (en) * 2011-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mismatch reduction
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8941184B2 (en) 2011-12-16 2015-01-27 International Business Machines Corporation Low threshold voltage CMOS device
US9142414B2 (en) * 2011-12-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with metal gates and methods for forming the same
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8383473B1 (en) 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
US9576868B2 (en) * 2012-07-30 2017-02-21 General Electric Company Semiconductor device and method for reduced bias temperature instability (BTI) in silicon carbide devices
CN103855016A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9153486B2 (en) * 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069534A1 (en) * 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9202809B2 (en) * 2014-02-06 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
FR3018627A1 (fr) * 2014-03-14 2015-09-18 St Microelectronics Crolles 2 Procede de formation de regions metalliques de grilles differentes de transistors mos
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9455330B2 (en) 2014-11-21 2016-09-27 International Business Machines Corporation Recessing RMG metal gate stack for forming self-aligned contact
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10937783B2 (en) * 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070054446A1 (en) * 2005-09-07 2007-03-08 Texas Instruments Incorporated Work function control of metals
US20080048270A1 (en) * 2005-01-28 2008-02-28 Freescale Semiconductor, Inc. Electronic device comprising a gate electrode including a metal-containing layer having one or more impurities
US20080093682A1 (en) * 2006-10-18 2008-04-24 Liang-Gi Yao Polysilicon levels for silicided structures including MOSFET gate electrodes and 3D devices

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
DE102009021486B4 (de) * 2009-05-15 2013-07-04 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Feldeffekttransistor-Herstellung
DE102009023376B4 (de) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102009039418B4 (de) * 2009-08-31 2013-08-22 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Einstellung der Austrittsarbeit in Gate-Stapeln mit großem ε, die Gatedielektrika mit unterschiedlicher Dicke enthalten
DE102009047306B4 (de) * 2009-11-30 2015-02-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102009055395B4 (de) * 2009-12-30 2011-12-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vordotiertes Halbleitermaterial für eine Metallgateelektrodenstruktur mit großem ε von p-und n-Kanaltransistoren

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080048270A1 (en) * 2005-01-28 2008-02-28 Freescale Semiconductor, Inc. Electronic device comprising a gate electrode including a metal-containing layer having one or more impurities
US20070054446A1 (en) * 2005-09-07 2007-03-08 Texas Instruments Incorporated Work function control of metals
US20080093682A1 (en) * 2006-10-18 2008-04-24 Liang-Gi Yao Polysilicon levels for silicided structures including MOSFET gate electrodes and 3D devices

Also Published As

Publication number Publication date
DE102009015747A1 (de) 2010-10-14
US20100244141A1 (en) 2010-09-30
US8367495B2 (en) 2013-02-05

Similar Documents

Publication Publication Date Title
DE102009015747B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
DE102009023376B4 (de) Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102009031155B4 (de) Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung
DE102009046245B4 (de) Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102009006802B3 (de) Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009031113B4 (de) Technik zum Freilegen eines Platzhaltermaterials in einem Austausch-Gate-Verfahren durch Modifizieren der Abtragsrate verspannter dielektrischer Deckschichten
DE102008064671B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einer Gatestruktur und Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateobseite
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102009021489B4 (de) Erhöhen der Abscheidegleichmäßigkeit für eine zur Schwellwerteinstellung in einem aktiven Gebiet vorgesehene Halbleiterlegierung
DE102009031146B4 (de) Abtrag einer Deckschicht in einem Metallgatestapel mit großem ε unter Anwendung eines Ätzprozesses
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102009015715B4 (de) Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102009055393B4 (de) Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
DE102011004320B4 (de) Verfahren zur Herstellung komplementärer Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102010001403A1 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110415

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110415

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20131109

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee