DE102009047306B4 - Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung - Google Patents

Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung Download PDF

Info

Publication number
DE102009047306B4
DE102009047306B4 DE102009047306.8A DE102009047306A DE102009047306B4 DE 102009047306 B4 DE102009047306 B4 DE 102009047306B4 DE 102009047306 A DE102009047306 A DE 102009047306A DE 102009047306 B4 DE102009047306 B4 DE 102009047306B4
Authority
DE
Germany
Prior art keywords
gate
gate electrode
electrode structure
layer
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102009047306.8A
Other languages
English (en)
Other versions
DE102009047306A1 (de
Inventor
Sven Beyer
Klaus Hempel
Thilo Scheiper
Stefanie Steiner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009047306.8A priority Critical patent/DE102009047306B4/de
Priority to US12/905,440 priority patent/US8232188B2/en
Publication of DE102009047306A1 publication Critical patent/DE102009047306A1/de
Priority to US13/533,807 priority patent/US8652956B2/en
Application granted granted Critical
Publication of DE102009047306B4 publication Critical patent/DE102009047306B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren mit:
Bilden eines Gateschichtstapels (260s) auf einem Substrat über einem ersten aktiven Gebiet (203a) eines ersten Transistors und einem zweiten aktiven Gebiet (203b) eines zweiten Transistors mit einer Gatedielektrikumsschicht (263), einem Platzhaltermaterial (261) über der Gatedielektrikumsschicht (263) und einer Maskenschicht (270) auf dem Platzhaltermaterial (261);
Entfernen der Maskenschicht (270) selektiv von dem ersten aktiven Gebiet (203a); danach
Strukturieren des Gateschichtstapels zu einer ersten Gateelektrodenstruktur (260a) über dem ersten aktiven Gebiet (203a) und zu einer zweiten Gateelektrodenstruktur (260b) über dem zweiten aktiven Gebiet (203b);
Entfernen des Platzhaltermaterials in der ersten Gateelektrodenstruktur, um eine erste Gateöffnung (262) zu erzeugen, während das Platzhaltermaterial in der zweiten Gateelektrodenstruktur durch die Maskenschicht, die als Ätzstoppmaterial beim Entfernen des Platzhaltermaterials fungiert, maskiert ist;
Füllen der ersten Gateöffnung (262) mit einem ersten Elektrodenmaterial (266a);
Entfernen des Platzhaltermaterials der zweiten Gateelektrodenstruktur in Anwesenheit des ersten Elektrodenmaterials, um eine zweite Gateöffnung (262b) zu erzeugen; und
Füllen der zweiten Gateöffnung mit einem zweiten Elektrodenmaterial (266b).

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung komplexer integrierter Schaltungen mit Transistorelementen, die Gatestrukturen mit hoher Kapazität auf der Grundlage eines dielektrischen Materials mit großem ε aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPU's Speicherbauelementen, ASIC's (anwendungsspezifische integrierte Schaltungen) und dergleichen, macht es erforderlich, eine große Anzahl an Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau vorzusehen, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die das Verhalten von integrierten Schaltungen wesentlich bestimmen. Im Allgemeinen wird eine Vielzahl an Prozesstechnologien aktuelle eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der CMOS-Technologie werden Millionen an Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Sourcegebiete bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet gebildet sind, etwa einem Kanalgebiet, das benachbart zu den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst die Leitfähigkeit des Kanalgebiets das Leistungsverhalten von MOS-Transistoren ganz wesentlich. Da die Geschwindigkeit des Erzeugens des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand im Wesentlichen die Transistoreigenschaften bestimmt, ist die Reduzierung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit der integrierten Schaltungen zu erreichen.
  • Gegenwärtig wird der Hauptteil an integrierten Schaltungen auf der Grundlage von Silizium hergestellt auf Grund dessen nahezu unbegrenzter Verfügbarkeit, auf Grund der gut verstandenen Eigenschaften des Siliziums und zugehöriger Materialien und Prozesse und auf Grund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziums bei der Herstellung von Halbleiterbauelementen sind auch die guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturen stabil und ermöglicht damit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie etwa in Ausheizzyklen erforderlich sind, um Dotierstoffe zu aktivieren und um Kristallschäden auszuheilen, ohne dass die elektrischen Eigenschaften der Grenzfläche beeinträchtigt werden.
  • Aus den zuvor dargestellten Gründen wird Siliziumdioxid vorzugsweise als ein Basismaterial einer Gateisolationsschicht in Feldeffekttransistoren verwendet, die die Gateelektrode, die häufig aus Polysilizium oder metallenthaltenden Materialien aufgebaut ist, von dem Siliziumkanalgebiet trennt. Bei stetigen Verbessern des Verhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets kontinuierlich verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu erhöhen. Da das Transistorverhalten durch die Spannung gesteuert ist, die der Gateelektrode zugeführt wird, um die Oberfläche des Kanalgebiets in eine ausreichend hohe Ladungsträgerdichte zu invertieren, um damit den gewünschten Durchlassstrom bei einer vorgegebenen Versorgungsspannung bereitzustellen, ist ein gewisser Grad an kapazitiver Kopplung erforderlich, die durch den Kondensator erreicht wird, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet ist. Es zeigt sich, dass eine Verringerung der Kanallänge eine größere kapazitive Kopplung erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer geringen Schwellwertspannung zeigen eine exponentielle Zunahme des Leckstromes, während auch eine höhere kapazitive Kopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Somit wird die Dicke der Siliziumdioxidschicht entsprechend verringert, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu schaffen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistoren mit einem äußerst kurzen Kanal im Wesentlichen auf Hochgeschwindigkeitssignalwege beschränkt ist, wohingegen Transistoren mit einem längeren Kanal für weniger kritische Signalwege eingesetzt werden, etwa in Form von Speichertransistoren, erreicht der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxidgateisolationsschicht hervorgerufen wird, Werte bei einer Oxiddicke im Bereich von 1 bis 2 nm, die nicht mehr mit den thermischen Entwurfsanforderungen für leistungsorientierte Schaltungen verträglich sind.
  • Daher wurde in Erwägung gezogen, siliziumdioxidbasierte Dielektrika für Gateisolationsschichten zu ersetzen, insbesondere bei extrem dünnen siliziumdioxidbasierten Gateschichten. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend gebildeten Gateisolationsschicht eine kapazitive Kopplung ergibt, die ansonsten durch eine extrem dünne Siliziumdioxidschicht erreicht würde.
  • Des weiteren kann das Transistorverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, so dass für gewöhnlich verwendete Polysiliziummaterial ersetzt wird, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gatedielektrikum zeigt, wodurch die wirksame Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Es wurde daher ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine höhere Kapazität auf der Grundlage der gleichen Dicke wie eine siliziumdioxidbasierte Schicht sorgt, wobei zusätzlich die Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits wird das nicht-Polysiliziummaterial, etwa Titannitrid und dergleichen, in Verbindung mit anderen Metallen so hergestellt, dass es direkt mit dem dielektrischen Material mit großem ε in Verbindung steht, wodurch die Anwesenheit einer Verarmungszone im Wesentlichen vermieden wird und wodurch eine bessere Leitfähigkeit im Vergleich zu den dotierten Polysiliziummaterial gesorgt. Da die Schwellwertspannung der Transistoren, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, wesentlich durch die Austrittsarbeit des metallenthaltenden Gatematerials bestimmt ist, muss eine geeignete Einstellung der wirksamen Austrittsarbeit im Hinblick auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
  • Das Vorsehen unterschiedlicher Metallsorten für das Einstellen der Austrittsarbeit der Gateelektrodenstrukturen für p-Kanaltransistoren und n-Kanaltransistoren in der frühen Fertigungsphase ist jedoch mit einer Reihe von Schwierigkeiten verknüpft, die sich aus der Tatsache ergeben, dass eine komplexe Strukturierungssequenz während der Herstellung des komplexen Metallgatestapels mit großem ε erforderlich ist, was zu einer ausgeprägten Variabilität der resultierenden Austrittsarbeit und somit der Schwellwertspannung der fertiggestellten Transistorstrukturen führen kann. Während eines entsprechenden Prozessablaufs wird beispielsweise das Material mit großem ε der Einwirkung von Sauerstoff ausgesetzt, was zu einer Zunahme der Schichtdicke und somit zu einer Verringerung der kapazitiven Kopplung führen kann. Es kann auch eine Verschiebung der Austrittsarbeit beobachtet werden, wenn geeignete Austrittsarbeitsmetalle in einer frühen Fertigungsphase bereitgestellt werden, wobei man annimmt, dass dies durch die moderat hohe Sauerstoffaffinität der Metallsorten insbesondere während der Hochtemperaturprozesse hervorgerufen wird, die typischerweise die Fertigstellung der Transistorstrukturen, etwa zur Ausbildung der Drain- und Sourcegebiete und dergleichen, erforderlich sind.
  • Aus diesem Grunde wird in einigen Vorgehensweisen der anfängliche Gateelektrodenstapel mit einem hohen Grad an Kompatibilität zu konventionellen polysiliziumbasierten Prozessstrategien bereitgestellt und das eigentliche Elektrodenmetall und die endgültige Einstellung der Austrittsarbeit der Transistoren wird in einer sehr fortgeschrittenen Fertigungsphase bewerkstelligt, d. h. nach der Fertigstellung der grundlegenden Transistorstruktur. In einem entsprechenden Austauschgateverfahren wird das dielektrische Material mit großem ε aufgebracht und wird durch ein geeignetes metallenthaltendes Material, etwa Titannitrid und dergleichen, abgedeckt, woran sich ein standardmäßiges Polysiliziummaterial oder amorphes Siliziummaterial anschließt, das dann auf der Grundlage gut etablierter aufwendiger Lithographie- und Ätztechniken strukturiert wird. Während der Prozesssequenz zum Strukturieren der Gateelektrodenstruktur ist daher das empfindliche dielektrische Material mit großem ε durch das metallenthaltende Material möglicherweise in Verbindung mit komplexen Seitenwandabstandshalterstrukturen geschützt, wodurch eine unerwünschte Materialmodifizierung während der weiteren Bearbeitung im Wesentlichen vermieden wird. Nach dem Strukturieren der Gateelektrodenstruktur werden typischerweise konventionelle und gut etablierte Prozesstechniken für die Herstellung von Drain- und Sourcegebieten mit dem gewünschten komplexen Dotierstoffprofil ausgeführt. Nach jeglichen Hochtemperaturprozessen geht die weitere Bearbeitung weiter, indem ein Metallsilizid bei Bedarf hergestellt wird, woran sich das Abscheiden eines dielektrischen Zwischenschichtmaterials anschließt, etwa in Form von Siliziumnitrid in Verbindung mit Siliziumdioxid und dergleichen. In dieser Fertigungsphase wird eine obere Fläche der Gateelektrodenstruktur, die in dem dielektrischen Zwischenschichtmaterial eingebettet sind, freigelegt, beispielsweise durch Ätztechniken und CMP (chemisch-mechanisches Polieren), und dergleichen. In vielen Fällen ist das Polysiliziummaterial in beiden Arten an Gateelektrodenstrukturen in einem gemeinsamen Ätzprozess abgetragen und daraufhin wird ein geeignetes Maskierungsschema angewendet, um ein geeignetes Metall einzufüllen, das bewerkstelligt werden kann, indem die erste Metallsorte eingefüllt und diese von einer der Gateelektrodenstrukturen selektiv entfernt wird. Daraufhin wird ein weiteres Metallmaterial abgeschieden, wodurch die gewünschte Austrittsarbeit für jede Art von Transistor erhalten wird.
  • Obwohl im Allgemeinen dieser Ansatz Vorteile im Hinblick auf die Reduzierung prozessabhängiger Ungleichmäßigkeiten in den Schwellwertspannungen der Transistoren mit sich bringt, da das dielektrische Material mit großem ε zuverlässig während der gesamten Prozesssequenz eingekapselt ist, ohne dass eine Einstellung der Austrittsarbeit und somit der Schwellwertspannung in einer frühen Fertigungsphase erforderlich ist, kann dennoch die komplexe Prozesssequenz zum Abtragen des Platzhaltermaterials und zum Bereitstellen geeigneter Austrittsarbeitsmaterialien für die unterschiedlichen Arten an Transistoren zu einem ausgeprägten Grad an Variabilität der Transistoreigenschaften führen, was wiederum zumindest einige der Vorteile aufheben kann, die durch die gemeinsame Bearbeitung der Gateelektrodenstrukturen bis zur Fertigstellung der grundlegenden Transistoranordnung erhalten werden.
  • In der DE 10 2007 046 849 A1 wird ein Verfahren zur Herstellung von Transistorbauteilen unter Verwendung einer Opfergateelektrode beschrieben, wobei nach der Herstellung von Gateschichtstapeln für n- und p-Kanaltransistoren eine Ätzmaske für die weitere Bearbeitung verwendet wird. Auch in der US 6 171 910 B1 wird die Herstellung von Transistorbauteilen unter Verwendung von einem Gateopfermaterial beschrieben.
  • Mit Bezug zu den 1a bis 1b wird eine typische konventionelle Prozessstrategie nunmehr beschrieben, um detaillierter Probleme anzusprechen, die mit dem Bereitstellen von Austrittsarbeitsmetallen für p-Kanaltransistoren und n-Kanaltransistoren auf der Grundlage eines Austauschgateverfahrens auftreten.
  • 1a zeigt schematisch eine Querschnittsansicht eines komplexen Halbleiterbauelements 100 in einer fortgeschrittenen Fertigungsphase, d. h. in einer Fertigungsphase, in der ein erster Transistor 150a, etwa ein p-Kanaltransistor, und ein zweiter Transistor 150b, etwa ein n-Kanaltransistor in und über aktiven Gebieten 103a, 103b ausgebildet sind. Die aktiven Gebiete 103a, 103b sind lateral durch Isolationsstrukturen (nicht gezeigt) innerhalb einer Halbleiterschicht 103, etwa einem siliziumbasierten Halbleitermaterial, abgegrenzt. Die Halbleiterschicht 103 ist über einem Substrat 101, etwa einem Siliziumvollsubstrat, einem SOI-(Silizium-auf-Isolator-)Substrat und dergleichen ausgebildet. Im Falle eines SOI-Substrats ist eine vergrabene isolierende Materialschicht (nicht gezeigt) zwischen einem kristallinen Substratmaterial und der Halbleiterschicht 103 vorgesehen. In der gezeigten Fertigungsphase weisen die Transistoren 150a, 150b Gateelektrodenstrukturen 160a, 160b auf, die Gateelektrodenstrukturen 160a, 160b, die auch als Austauschgateelektrodenstrukturen bezeichnet werden können, weisen ein Gatedielektrikumsmaterial 163 auf, das typischerweise ein dielektrisches Material mit großem ε enthält, wie dies zuvor erläutert ist. Bei Bedarf ist auch ein zusätzliches konventionelles dielektrisches Material, etwa ein siliziumoxidbasiertes Material, in dem dielektrischem Material 163 vorgesehen, beispielsweise um bessere Grenzflächenbedingungen im Hinblick auf ein Kanalgebiet 152 der Transistoren 150a, 150b zu schaffen. Ferner ist typischerweise ein leitendes Deckmaterial, etwa ein Titannitridmaterial 164, auf dem dielektrischen Material 163 vorgesehen, um das empfindliche dielektrische Material mit großem ε einzuschließen. Ferner ist ein Platzhaltermaterial 161, etwa ein polykristallines Siliziummaterial, über der leitenden Deckschicht 164 vorgesehen und wurde während der weiteren Bearbeitung entfernt, um Gateöffnungen 162 zu erzeugen, die wiederum eine Materialschicht 166a aufweisen, wie dies zum Einstellen der Eigenschaften einer der Gateelektrodenstrukturen 160a, 160b erforderlich ist. In dem in 1a gezeigten Beispiel sei angenommen, dass die Materialschicht 166a eine Metallsorte aufweist, die für das Einstellen der Austrittsarbeit der Gateelektrodenstruktur 160a geeignet ist. Da das Material 166a aus der Öffnung 162 der Gateelektrodenstruktur 160b in einer späteren Fertigungsphase zu entfernen ist, wie dies zuvor erläutert ist, wird häufig ein Barrierenmaterial oder ein Ätzstoppmaterial 167 in der Materialschicht 166a vorgesehen, um das Entfernen der austrittsarbeitseinstellenden Sorte aus der Öffnung 162 der Gateelektrodenstruktur 160b zu ermöglichen, ohne dass die empfindlichen Materialien 164 und 163 in der Gateelektrodenstruktur 160b unnötig beeinflusst werden. Z. B. ist Tantalnitrid häufig für diesen Zweck eingesetzt. Die Gateelektrodenstrukturen 160a, 160b umfassen ferner eine Abstandshalterstruktur 165, die eine geeignete Ausbildung im Hinblick auf einzelne Abstandshalterelemente, Ätzstoppmaterialien und dergleichen aufweist, wie dies für die Prozesse zur Herstellung der Transistoren 150a, 150b erforderlich ist. Beispielsweise umfassen die Abstandshalterstrukturen 165 Siliziumnitridabstandshalterelemente möglicherweise in Verbindung mit Siliziumdioxidätzstoppmaterialien (nicht gezeigt). Des weiteren umfassen die Transistoren 150a, 150b Drain- und Sourcegebiete 153, die lateral das Kanalgebiet 152 einschließen. Zu beachten ist, dass die Drain- und Sourcegebiete 153 im Transistor 150a einen anderen Aufbau im Vergleich zu den Drain- und Sourcegebieten 153 des Transistors 150b auf Grund der unterschiedlichen Leitfähigkeitsart besitzen. In ähnlicher Weise unterscheiden sich die Kanalgebiete 152 in ihrer grundlegenden Leitfähigkeitsart. Zu beachten ist, dass die Drain- und Sourcegebiete 153 ein beliebiges geeignetes vertikales und laterales Dotierstoffprofil, beispielsweise mit entsprechenden gegendotierten Bereichen oder Halo-Bereichen und dergleichen aufweisen können, um die gesamten Transistoreigenschaften einzustellen. In dem dargestellten Beispiel sind ferner Metallsilizidgebiete 154 in den Drain- und Sourcegebieten 153 vorgesehen, um den gesamten Reihenwiderstand und den Kontaktwiderstand der Bauelemente 150a, 150b zu verringern.
  • Zusätzlich umfasst das Halbleiterbauelement 100 zumindest einen Teil eines dielektrischen Zwischenschichtmaterials 120, um die Gateelektrodenstrukturen 160a, 160b lateral einzuschließen, wobei das dielektrische Material 120 aus einem ersten dielektrischen Material 121, etwa einem Siliziumnitridmaterial, gefolgt von einem weiteren dielektrischen Material, etwa Siliziumdioxid, aufgebaut sein kann, da diese beiden Materialien gut etablierte dielektrische Materialien für Kontaktstrukturen komplexer Halbleiterbauelemente repräsentieren.
  • Das in 1a gezeigte Halbleiterbauelement kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung der aktiven Gebiete 103a, 103b durch Vorsehen der Isolationsstrukturen und Ausführen geeigneter Implantationsprozesse auf der Grundlage geeigneter Maskierungsschemata, werden die Gateelektrodenstrukturen 160a, 160b gebildet. Zu diesem Zweck werden Materialien für die Schichten 162 und 164, etwa durch geeignete Abscheidetechniken und/oder Oberflächenbehandlungen gebildet, woran sich das Abscheiden des Materials 161 anschließt, das auch als Platzhaltermaterial für die Gateelektrodenstrukturen 160a, 160b bezeichnet werden kann. Auch können weitere Materialien, etwa dielektrische Deckschichten, etwa Siliziumnitridmaterialien, Hartmaskenmaterialien, beispielsweise in Form von Siliziumoxinitrid, amorphem Kohlenstoff und dergleichen, ebenfalls aufgebracht werden, um die Strukturierung der Gateelektrodenstrukturen 160a, 160b zu gewährleisten. Daraufhin werden aufwendige Lithographie- und Ätztechniken angewendet, um den resultierenden Materialschichtstapel zu strukturieren, wodurch die Gateelektrodenstrukturen 160a, 160b mit den Materialschichten 163, 164 und 161 erhalten werden. Während dieses Strukturierungsprozesses wird folglich die grundlegende Geometrie der Gateelektrodenstrukturen 160a, 160b festgelegt. Beispielsweise wird eine Gatelänge, die als die horizontale Abmessung der Schichten 163 und 164 in 1a zu verstehen ist, auf einen Wert von 50 nm und weniger eingestellt. Danach geht die Bearbeitung weiter, indem die Drain- und Sourcegebiete 153 in Verbindung mit der Abstandshalterstruktur 165 hergestellt werden, die zum Einschluss der empfindlichen Materialien 163, 164 dienen und die einen gewünschten Abstand für Implantationsprozesse erzeugen, um damit die laterale Gestalt der Drain- und Sourcegebiete 153 festzulegen. Nach jeglichen Ausheizprozessen zum Aktivieren der Dotiermittel in den aktiven Gebieten 103a, 103b und zum Rekristallisieren von durch Implantation hervorgerufenen Schäden werden die Metallsilizidgebiete 154 hergestellt, indem gut etablierte Silizidierungsverfahren angewendet werden. Daraufhin wird das dielektrische Material 121 aufgebracht, woran sich das Material 122 anschließt, das dann eingeebnet wird, um eine Oberfläche des Polysiliziummaterials 161 in den Gateelektrodenstrukturen 160a, 160b freizulegen. Als nächstes wird ein nasschemischer Ätzprozess angewendet, der das Material 161 selektiv in Bezug auf das dielektrische Material 120, die Abstandshalterstruktur 165 und die leitende Deckschicht 164 entfernt. Zu diesem Zweck hat sich TMAH (Tetramethylammoniumhydroxid) als eine effiziente Chemikalie erwiesen, die bei höheren Temperaturen effizient Siliziummaterial abtragen kann, während gleichzeitig eine hohe Selektivität in Bezug auf Siliziumdioxid, Siliziumnitrid, Titannitrid und dergleichen besteht.
  • Beim Abtragen des Platzhaltermaterials 161 werden die Öffnungen 162 gebildet, so dass diese Materialschicht 166a für das Einstellen der Austrittsarbeit der Gateelektrodenstruktur 160a aufnehmen können. Zu diesem Zweck wird die Schicht 166a mittels einer beliebigen geeigneten Abscheidetechnik aufgebracht, etwa durch Sputter-Abscheidung, CVD (chemische Dampfabscheidung) und dergleichen, wobei das Ätzstoppmaterial 167 vorgesehen wird, um die weitere Bearbeitung des Bauelements 100 zu erleichtern. Folglich muss eine gewisse Dicke der Materialschicht 166a innerhalb der Öffnungen 162 vorgesehen werden, woraus sich ein gewisser Grad an Überhängen 168 an den oberen Kanten der Öffnungen 162 ergibt. Ferner kann das Ätzstoppmaterial 167 auch einen Einfluss auf die schließlich erreichte Austrittsarbeit der Gateelektrodenstrukturen 160a, 160b ausüben, da das eigentliche austrittsarbeitseinstellende Metall der Schicht 166a von den Materialien 164 und 163 durch die Schicht 167 beabstandet ist. Folglich wird ein gewisser Grad an Schwellwertspannungsverschiebung in den Transistoren 150a und/oder 150b während der weiteren Bearbeitung hervorgerufen. Nach dem Abscheiden der Materialschicht 166a wird eine Ätzmaske 104 vorgesehen, um die Gateelektrodenstruktur 160a abzudecken und um die Gateelektrodenstruktur 160b freizulassen, in der die austrittsarbeitseinstellende Sorte der Schicht 166a selektiv in Bezug auf die Ätzstoppschicht 167 entfernt wird. Zu diesem Zweck wird typischerweise ein Lackmaterial aufgebracht und lithographisch in die Maske 104 strukturiert. Während dieses aufwendigen Strukturierungsvorganges füllt das Lackmaterial ggf. nicht vollständig die Öffnung 162 und kann somit zu einer ausgeprägten variierenden Oberflächentopographie beitragen, wie dies durch 104a angegeben ist, was zu einer weniger zuverlässigen Strukturierungssequenz beim Belichten und Entfernen des Lackmaterials führen kann. Dieser Mechanismus der Beeinträchtigung kann auch wesentlich von dem Grad der Überhänge 168 abhängen, da für eine kurze Kanallänge die Wirkung der Überhänge 168 mit zunehmendem Maße das Füllverhalten des Lackmaterials während des Lithographieprozesses beeinflusst. Somit ist ein zuverlässiges Auffüllen und damit ein Schutz der Materialschicht 166a während eines nachfolgenden nasschemischen Ätzprozesses 105 weniger ausgeprägt in anspruchsvollen Bauteilgeometrien. Während des Ätzprozesses 105 wird das austrittsarbeitseinstellende Metall, das Aluminium, Titannitrid und dergleichen aufweisen kann, für p-Kanaltransistoren selektiv im Hinblick auf die Ätzstoppschicht 167 entfernt, beispielsweise in Form von Tantalnitrid vorgesehen ist, was bewerkstelligt werden kann, indem schweflige Säure in Verbindung mit Wasserstopfferoxiden und dergleichen verwendet wird. In anderen Fällen hat die Umgebung, wenn die Ätzstoppschicht 167 weggelassen wird, einen starken Einfluss auf die Materialeigenschaften der darunter liegenden Materialien, wodurch ebenfalls resultierende Austrittsarbeit beeinflusst wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 wenn es der Einwirkung einer weiteren reaktiven Prozessumgebung 106 unterliegt, in der die Ätzmaske 104 (siehe 1a) entfernt wird. Beispielsweise repräsentiert der Prozess 106 einen plasmaunterstützten Prozess oder einen nasschemischen Ätzprozess. Auf Grund der komplexen Geometrie der Öffnung 162, insbesondere in der Gateelektrodenstruktur 160a, die nach wie vor die Überhänge 168 aufweisen kann, wird es zunehmend schwierig, das Lackmaterial vollständig zu entfernen, was zu Lackresten 104r führen kann. Bei der weiteren Bearbeitung des Bauelements 100 führen die Reste 104r, möglicherweise in Verbindung mit Prozessunregelmäßigkeiten, die während des Ätzprozesses 105 (siehe 1a) hervorgerufen wurden, einen ausgeprägten Grad an Variabilität der Transistoreigenschaften des Transistors 150a und/oder des Transistors 150b. D. h., Prozessungleichmäßigkeiten insbesondere in der Gateelektrodenstruktur 160a können die weitere Bearbeitung beeinflussen, d. h. das Abscheiden einer weiteren Materialschicht mit einem Austrittsarbeitsmetall für den Transistor 150b und das Abscheiden eines Elektrodenmetalls, etwa von Aluminium, woraus sich eine deutliche Einbuße in der Ausbeute in den stark größenreduzierten Halbleiterbauelementen ergibt.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken, in denen Austrittsarbeitsmetalle in einer sehr fortgeschrittenen Fertigungsphase aufgebracht werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert wird.
  • Überblick über die Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung Verfahren zur Herstellung von Halbleiterbauelementen, wobei Gateelektrodenstrukturen insbesondere auf der Grundlage eines dielektrischen Materials mit großem ε hergestellt werden, das in einer frühen Fertigungsphase bereitgestellt wird, während die Austrittsarbeit für p-Kanaltransistoren in einer späten Fertigungsphase auf der Grundlage eines Austauschgateverfahrens eingestellt wird. Dazu wird das Platzhaltermaterial für diese Transistoren separat ersetzt, wodurch die Problematik vermieden wird, die mit der Strukturierung von Austrittsarbeitsmetallen in komplexen Gateöffnungen verknüpft sind, wie dies zuvor erläutert ist. Ferner wird in den anschaulichen hierin offenbarten Aspekten das separate Abtragen des Platzhaltermaterials der unterschiedlichen Transistoren auf der Grundlage eines geeignet ausgestalteten Gateschichtstapels bewerkstelligt, der in einer frühen Fertigungsphase bereitgestellt wird, um zusätzliche Lithographieprozesse in einer fortgeschrittenen Phase zu verringern oder vollständig zu vermeiden. Erfindungsgemäß wird eine Maskenschicht so vorgesehen, dass diese selektiv das Platzhaltermaterial für eine Transistorart abdeckt, wodurch ein zuverlässiges Entfernen des Platzhaltermaterials des anderen Transistors möglich ist. Erfindungsgemäß werden die entsprechenden Gateöffnungen separat mit einem Elektrodenmaterial gefüllt, das vorzugsweise die geeignete austrittsarbeitseinstellende Sorte aufweist, wodurch empfindliche Materialien, etwa dielektrische Materialien mit großem ε und dergleichen, bei der weiteren Bearbeitung, d. h. bei der Erzeugung der anderen Gateöffnung und bei deren Füllung mit einem geeigneten Elektrodenmaterial, zuverlässig eingeschlossen sind.
  • Die Erfindung löst die o. g. Aufgabe mittels eines Verfahrens mit folgenden Schritten:
    Bilden eines Gateschichtstapels auf einem Substrat über einem ersten aktiven Gebiet eines ersten Transistors und einem zweiten aktiven Gebiet eines zweiten Transistors mit einer Gatedielektrikumsschicht, einem Platzhaltermaterial über der Gatedielektrikumsschicht und einer Maskenschicht auf dem Platzhaltermaterial; Entfernen der Maskenschicht selektiv von dem ersten aktiven Gebiet; Strukturieren des Gateschichtstapels zu einer ersten Gateelektrodenstruktur über dem ersten aktiven Gebiet und zu einer zweiten Gateelektrodenstruktur über dem zweiten aktiven Gebiet; Entfernen des Platzhaltermaterials in der ersten Gateelektrodenstruktur, um eine erste Gateöffnung zu erzeugen, während das Platzhaltermaterial in der zweiten Gateelektrodenstruktur durch die Maskenschicht, die als Ätzstoppmaterial beim Entfernen des Platzhaltermaterials fungiert, maskiert ist; Füllen der ersten Gateöffnung mit einem ersten Elektrodenmaterial; Entfernen des Platzhaltermaterials der zweiten Gateelektrodenstruktur in Anwesenheit des ersten Elektrodenmaterials, um eine zweite Gateöffnung zu erzeugen und Füllen der zweiten Gateöffnung mit einem zweiten Elektrodenmaterial.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a und 1b schematisch Querschnittsansichten eines Halbleiterbauelements zeigen, in denen zwei unterschiedliche austrittsarbeitseinstellende Metalle in einer späten Fertigungsphase gemäß einem konventionellen Austauschgateverfahren aufgebracht werden, das zu ausgeprägten Transistorungleichmäßigkeiten führen kann; und
  • 2a bis 2m schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung von Gateelektrodenstrukturen auf der Grundlage eines Austauschgateverfahrens zeigen, wobei Gateöffnungen von n-Kanaltransistoren und p-Kanaltransistoren gemäß anschaulicher Ausführungsformen getrennt erzeugt werden.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung stellt Fertigungstechniken für Halbleiterbauelemente bereit, in denen das Platzhaltermaterial, etwa Polysilizium, separat für n-Kanaltransistoren und p-Kanaltransistoren entfernt wird oder auch für andere Arten unterschiedlicher Feldeffekttransistoren, die eine unterschiedliche Art an Einstellung einer Austrittsarbeit in der Gateelektrodenstruktur in einer späten Fertigungsphase benötigen. Dazu wird in in der Erfindung ein geeignetes Maskenmaterial, etwa ein Oxidmaterial und dergleichen, in einem Gateschichtstapel vor dem eigentlichen Strukturieren der Gateelektrodenstrukturen vorgesehen, wobei das Maskenmaterial als ein effizientes Ätzstoppmaterial beim Entfernen des Platzhaltermaterials einer Gateelektrodenstruktur verwendet wird, die das Maskenmaterial nicht enthält. Zu diesem Zweck wird ein geeignetes Material, etwa Siliziumdioxid, mit einer gewünschten Dicke gebildet, die ausreichende Ätzstoppeigenschaften bietet, ohne dass die weitere Bearbeitung unnötig beeinflusst wird. Folglich kann in einem sehr fortgeschrittenen Fertigungsstadium in der Gateelektrodenstruktur, die das Maskenmaterial nicht aufweist, das Platzhaltermaterial effizient freigelegt und entfernt werden, während die Integrität des Platzhaltermaterials in der maskierten Gateelektrodenstruktur bewahrt wird. Daher kann ein geeignetes Elektrodenmetall, das eine spezielle austrittsarbeitseinstellende Sorte enthält, in die resultierende Gateöffnung eingefüllt werden, wodurch ebenfalls empfindliche Materialien, etwa das dielektrische Material mit großem ε und ein entsprechend leitendes Deckmaterial, falls diese vorgesehen sind, zuverlässig eingeschlossen werden. Daraufhin wird die Bearbeitung fortgesetzt, indem das maskierte Platzhaltermaterial freigelegt wird, was in einigen anschaulichen Ausführungsformen während einer Prozesssequenz zum Entfernen von überschüssigem Material des zuvor abgeschiedenen Elektrodenmetalls bewerkstelligt werden kann, wodurch zusätzliche Lithographieprozesse vermieden werden. Danach wird das Platzhaltermaterial effizient entfernt, während das Elektrodenmetall in der anderen Gateelektrodenstruktur als ein Ätzstoppmaterial verwendet wird. Schließlich wird die resultierende Gateöffnung mit einem geeigneten Elektrodenmetall entsprechend den Erfordernissen für den betrachteten Transistor gefüllt. Auf diese Weise können somit aufwendige Lithographieprozesse und zugehörige Lackstrukturierungs- und Abtragungsprozesse in Anwesenheit komplexer Gateöffnungen effizient vermieden werden. Ferner können auch komplizierte Strukturierungsprozesse in komplexen Gateöffnungen, beispielsweise auf der Grundlage von Sputter-Ätztechniken und dergleichen, vermieden werden, die konventioneller Weise zu ausgeprägten Modifizierungen empfindlicher Materialien führen können, wodurch eine Verschiebung der Austrittsarbeit, eine Beeinträchtigung der Ladungsträgerbeweglichkeit und dergleichen hervorgerufen werden kann.
  • Mit Bezug zu den 2a bis 2n werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf die 1a und 1b verwiesen sei.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201 in Verbindung mit einer Halbleiterschicht 203, in der ein erstes aktives Gebiet 203a und ein zweites aktives Gebiet 203b, beispielsweise auf der Grundlage von Isolationsstrukturen (nicht gezeigt) und dergleichen, vorgesehen sind. Die Halbleiterschicht 203 repräsentiert ein siliziumbasiertes Material, möglicherweise in Verbindung mit einem vergrabenen isolierenden Material (nicht gezeigt), das zwischen dem Substrat 201 und der Schicht 203 ausgebildet ist, wenn eine SOI-Konfiguration betrachtet wird. In anderen Fällen repräsentiert die Schicht 203 in Verbindung mit dem Substrat 201 eine Vollsubstratkonfiguration. Des weiteren ist in der gezeigten Fertigungsphase ein Gateschichtstapel 260s auf den aktiven Gebieten 203a, 203b ausgebildet und umfasst eine Gatedielektrikumsschicht 263 in Verbindung mit einer Deckschicht 264. Der Stapel 260s umfasst ferner ein Platzhaltermaterial 261, etwa ein Siliziummaterial und dergleichen, woran sich eine Maskenschicht 207 anschließt. Die Maskenschicht 207 weist ein beliebiges geeignetes Material auf, das für die Ätzstoppeigenschaften in einer sehr späten Fertigungsphase sorgt, wenn das Platzhaltermaterial 261 aus den jeweiligen Gateelektrodenstrukturen, die noch herzustellen sind, entfernt wird. In einigen anschaulichen Ausführungsformen ist die Maskenschicht 270 aus Siliziumdioxid mit einer Dicke von 10 nm und weniger aufgebaut. Durch Einstellen einer Dicke in dem oben genannten Bereich für die Maskenschicht 270 beeinflusst ein Unterschied in der Gatehöhe, der durch die Strukturierung der Maskenschicht 279 zustande kommt, die weitere Strukturierung des Gateschichtstapels 260s nicht wesentlich. Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen die Maskenschicht 270 in Form anderer Materialien vorgesehen werden kann, die eine effiziente Strukturierung des Schichtstapels 260 ermöglichen und die für geeignete Ätzstoppeigenschaften sorgen. Beispielsweise bieten viele dielektrische Materialien mit großem ε einen höheren Ätzwiderstand bei einer geringeren Dicke und können damit als die Maskenschicht 270 verwendet werden.
  • Es sollte beachtet werden, dass der Gateschichtstapel 260s einen beliebigen geeigneten Aufbau aufweist, um damit den Erfordernissen komplexer Gateelektrodenstrukturen Rechnung zu tragen, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Beispielsweise weist das Gatedielektrikumsmaterial 263 ein dielektrisches Material mit großem ε 263b auf, etwa Hafniumoxid, Zirkonoxid und dergleichen, während in der gezeigten Ausführungsform zusätzlich ein „konventionelles” dielektrisches Material 263a vorgesehen ist, etwa in Form von Siliziumoxinitridmaterial und dergleichen. Um die Integrität des dielektrischen Materials 263 zu bewahren, wird die Deckschicht 264 vorgesehen, etwa in Form eines metallenthaltenden Materials, etwa als Titannitrid, das auch zusätzliche Substanzen aufweisen kann, um damit die gewünschten Materialeigenschaften zu erreichen. Ferner wird das Material 261 als ein amorphes Siliziummaterial oder als polykristallines Siliziummaterial vorgesehen, während in anderen Fällen auch andere geeignete Halbleitermaterialien verwendet werden können, wenn das Material 261 als ein Elektrodenmaterial in anderen Bauteilbereichen dienen soll.
  • Das Halbleiterbauelement 200, wie es in 2a gezeigt ist, kann auf der Grundlage einer beliebigen geeigneten Prozesstechnik hergestellt werden. Beispielsweise werden die aktiven Gebiete 203a, 203b auf Basis von Prozesstechniken ausgebildet, wie sie zuvor beschrieben sind, woran sich Abscheideprozesse möglicherweise in Verbindung mit Oberflächenbehandlungen anschließen, um die Materialien 263 und 264 herzustellen. Daraufhin ist das Platzhaltermaterial 261 abgeschieden und danach wird die Maskenschicht 270 durch Abscheidung, Oxidation und dergleichen erzeugt.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einer Ätzmaske 207, etwa einer Lackmaske, die über dem Gateschichtstapel 260 so gebildet ist, dass die Maskenschicht 270 über dem aktiven Gebiet 203a freigelegt ist. Das Bauelement 200 unterliegt ferner der Einwirkung einer reaktiven Ätzumgebung 208, um den freiliegenden Bereich der Maskenschicht 270 selektiv zu entfernen. Zu diesem Zweck wird ein beliebiges geeignetes plasmabasiertes Ätzrezept, ein nasschemischen Ätzrezept und dergleichen angewendet, wobei vorzugsweise eine moderat hohe Ätzselektivität in Bezug auf das Material 261 einen unerwünschten Materialabtrag des Materials 261 vermeidet. Z. B. können siliziumoxidbasierte Materialien effizient auf der Grundlage von Flusssäure (HF) und dergleichen entfernt werden. Wegen der reduzierten Dicke der Maskenschicht 270 kann ein unerwünschter Materialverlust des Materials 261 im Wesentlichen vermieden werden, selbst wenn eine weniger ausgeprägte Selektivität erhalten wird beim Ausführen des Ätzprozesses 208.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein dielektrisches Deckmaterial 267, beispielsweise in Form eines Siliziumnitridmaterials und dergleichen, als eine letzte Materialschicht des Gateschichtstapels 260s vorgesehen ist. Auf Grund der vorhergehenden Strukturierung der Maskenschicht 270 weist daher der Gateschichtstapel 260s die Schicht 270 über dem aktiven Gebiet 203b, wodurch ein leichter Unterschied in der Höhe des Stapels 260s über den aktiven Gebieten 203b, 203a entsteht. Ferner ist ein Hartmaskenmaterial 271 über dem Gateschichtstapel 260s ausgebildet und weist ein beliebiges geeignetes Material auf, etwa Siliziumdioxid, amorphes Kohlenstoffmaterial und dergleichen, möglicherweise in Verbindung mit weiteren ARC-(antireflektierenden Beschichtungs-)Materialien auf, wobei dies von der folgenden Strukturierungsstrategie abhängt.
  • Die Materialschichten 267 und 271 können gemäß einer beliebigen geeigneten Abscheidetechnik aufgebracht werden, etwa thermisch aktivierte CVD, plasmaunterstützte CVD und dergleichen. Danach werden aufwendige Lithographietechniken angewendet, um eine Lackmaske (nicht gezeigt) zu bilden, die zum Strukturieren des Hartmaskenmaterials 271 verwendet wird. Als nächstes wird der Gateschichtstapel 260s auf der Grundlage komplexer anisotroper Ätztechniken strukturiert, für welche eine Vielzahl gut etablierter Ätzrezepte verfügbar sind. Zu beachten ist, dass die Anwesenheit der Maskenschicht 270 leicht berücksichtigt werden kann, indem ein kurzer Ätzschritt zum Ätzen durch das Material 270 beim Öffnen des Deckmaterials 267 eingeführt wird.
  • 2d zeigt schematisch das Halbleiterbauelement 200 mit einer ersten Gateelektrodenstruktur 260a, die über dem aktiven Gebiet 203a gebildet ist, und mit einer zweiten Gateelektrodenstruktur 260b, die über dem aktiven Gebiet 203b gebildet ist. Zu beachten ist, dass auf Grund der Anwesenheit der Maskenschicht 270 in der Gateelektrodenstruktur 260b eine Gatehöhe 208 größer ist als eine Gatehöhe 208a der Gateelektrodenstruktur 260a, wobei ein Unterschied in der Höhe im Wesentlichen der Dicke der Schicht 270 entspricht. Des weiteren ist in dieser Fertigungsphase ein schützendes Material 261s, beispielsweise in Form eines Oxidmaterials, an freiliegenden Seitenwänden des Platzhaltermaterials 261 ausgebildet, was auf der Grundlage eines beliebigen geeigneten Oxidationsprozesses bewerkstelligt werden kann. In diesem Falle wird ein Oxidmaterial auch auf einer Oberfläche der aktiven Gebiete 203a, 203b erzeugt.
  • 2e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase gemäß einiger anschaulicher Ausführungsformen, in denen eine verformungsinduzierende Halbleiterlegierung in eines der aktiven Gebiete 203a, 203b einzubauen ist. Wie beispielsweise in 2e gezeigt ist, ist eine verformungsinduzierende Halbleiterlegierung 254 in dem aktiven Gebiet 203b vorgesehen, um eine gewünschte Verformungskomponente in einem benachbarten Kanalgebiet 252 hervorzurufen. Beispielsweise weist die Halbleiterlegierung 254, die als eine kristalline Halbleitermischung zu verstehen ist, Silizium und Germanium auf, wodurch eine kompressive Verformungskomponente in dem Kanalgebiet 252 erzeugt wird, die wiederum vorteilhaft für die Herstellung von p-Kanaltransistoren sind. Bekanntlich kann die Ladungsträgerbeweglichkeit im Kanalgebiet eines Feldeffekttransistors modifiziert werden, indem eine gewisse Art und Größe an Verformung eingeführt wird, wodurch ebenfalls ein besseres Transistorverhalten erreicht wird wenn geeignete Verformungsbedingungen eingestellt werden. Dazu kann ein Abstandshaltermaterial, etwa ein Siliziumnitridmaterial, über den Gateelektrodenstrukturen 260a, 260b abgeschieden werden, wie in 2d gezeigt ist, und danach können Abstandshalterelemente 269 auf der Grundlage anisotroper Ätztechniken auf der Gateelektrodenstruktur 260b hergestellt werden, wobei die Integrität des Abstandshaltermaterials über dem aktiven Gebiet 203a durch eine entsprechende Ätzmaske (nicht gezeigt) bewahrt wird. Daraufhin werden geeignete Aussparungen in den aktiven Gebieten 203b gebildet und diese Aussparungen werden mit dem Material 254 auf der Grundlage selektiver epitaktischer Aufwachstechniken wieder gefüllt, wobei das Platzhaltermaterial 261 von dem Deckmaterial 267 und dem Abstandshalter 269 geschützt wird, während die Gateelektrodenstruktur 260a weiterhin von der entsprechenden Abstandshalterschicht bedeckt ist. Danach werden die Abstandshalter 269 auch an der Gateelektrodenstruktur 260a hergestellt, während die Gateelektrodenstruktur 260b maskiert wird. Zu beachten ist, dass während der Prozesssequenz zur Herstellung der Aussparungen in dem aktiven Gebiet 203b, beim Ausführen des selektiven epitaktischen Aufwachsprozesses und dergleichen, ein gewisser Grad an Materialabtrag eines Deckmaterials 267 auftreten kann, woraus sich eine geringere Dicke 267r ergibt, so dass die Gatehöhe 208b kleiner ist als die Gatehöhe 208a, da das Deckmaterial 267 einen deutlich geringeren Grad an Materialabtrag im Vergleich zum Material 267r erfahren hat.
  • Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen die verformungsinduzierende Halbleiterlegierung 256 nicht vorgesehen wird, wenn das resultierende Transistorverhalten verträglich ist mit dem Aufbau, in der kein verformungsinduzierender Mechanismus auf der Grundlage eines eingebetteten verformungsinduzierenden Halbleitermaterials verwendet wird.
  • 2f zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt ist, ist ein erster Transistor 250 in und über dem aktiven Gebiet 203a ausgebildet und umfasst die Gateelektrodenstruktur 260a, die zusätzlich eine Seitenwandabstandshalterstruktur 265 aufweist. In ähnlicher Weise ist ein zweiter Transistor 250b in und über dem aktiven Gebiet 203b gebildet und enthält die Gateelektrodenstruktur 260b. Beispielsweise repräsentiert in einer anschaulichen Ausführungsform der Transistor 250b einen p-Kanaltransistor, während der Transistor 250a einen n-Kanaltransistor darstellt. In diesem Falle wird das Verhalten des Transistors 250b verbessert, indem ein verformungsinduzierendes Halbleitermaterial 256 bei Bedarf als eine kompressive Verformung enthaltendes Material auf der Grundlage von Silizium in Verbindung mit anderen Sorten, etwa Germanium, Zinn und dergleichen, vorgesehen wird. Des weiteren umfassen die Transistoren 250a, 250b Drain- und Sourcegebiete 253, die einen beliebigen geeigneten Aufbau gemäß den Erfordernissen der dazugehörigen Transistorelemente besitzen. Ferner sind Metallsilizidgebiete 254 in den Drain- und Sourcegebieten 253 vorgesehen. Des weiteren umfasst das Halbleiterbauelement 200 ein dielektrisches Zwischenschichtmaterial 220, das die Gateelektrodenstrukturen 260a, 260b umschließt und dass eine erste Teilschicht 222, beispielsweise in Form eines Siliziumoxidmaterials, in Verbindung mit einer zweiten Teilschicht 222 aufweist, etwa als ein Siliziumnitridmaterial und dergleichen.
  • Das in 2f gezeigte Halbleiterbauelement 200 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden, wie dies auch beispielsweise mit Bezug zu dem Halbleiterbauelement 100 beschrieben ist. D. h., die Drain- und Sourcegebiete 253 können auf der Grundlage von Ionenimplantationstechniken unter Anwendung der Abstandshalterstrukturen 269 und 265 in Verbindung mit den verbleibenden Bereichen der Gateelektrodenstrukturen 260a, 260b als Implantationsmaske hergestellt werden. Nach dem Ausheizen des Bauelements 200 zum Aktivieren der Dotierstoffe und zum Rekristallisieren von implantationsabhängigen Schäden, werden die Metallsilizidgebiete 254 hergestellt, indem ein geeignetes Silizidierungsschema angewendet wird. Als nächstes wird die Schicht 221 auf der Grundlage plasmaunterstützter CVD-Techniken hergestellt, wobei bei Bedarf ein spezieller interner Verspannungspegel in dem Material 221 eingestellt wird, um das Leistungsverhalten eines oder beider Transistoren 250a, 250b weiter zu verbessern. Nachfolgend wird die Schicht 222 aufgebracht, beispielsweise durch plasmaunterstützte CVD-Techniken, thermisch aktivierte CVD-Techniken und dergleichen.
  • 2g zeigt schematisch das Halbleiterbauelement 200 in einer Fertigungsphase, in der Materialabtragungsprozesse 209, beispielsweise auf der Grundlage einer CMP-Technik, so ausgeführt wird, dass das Material 222 eingeebnet und ein Teil des Materials 221 zumindest über der Gatelektrodenstruktur 260a freigelegt wird. Zu diesem Zweck können gut etablierter CMP-Rezepte angewendet werden, in denen die Prozessparameter und das Schleifmaterial so gewählt sind, dass vorzugsweise das Material 222, etwa ein Siliziumdioxidmaterial, abgetragen wird, während das Material 221 als ein effizientes Stoppmaterial dienen kann. In der in 2g gezeigten Ausführungsform wird ein gewisser Grad an Nachpolieren angewendet, wodurch ebenfalls das Material 221 über der Gateelektrodenstruktur 260b freigelegt wird. In anderen Fällen wird ein Teil des Materials 221 über der Gateelektrodenstruktur 260b auf Grund des Höhenunterschiedes beibehalten, wie dies auch nachfolgend detaillierter beschrieben ist.
  • 2h zeigt schematisch das Bauelement 200, wenn es der Einwirkung einer Ätzumgebung 210 unterliegt, die gestaltet ist, die Materialien 221 und 267 (siehe 2d) abzutragen, um damit das Platzhaltermaterial 261 der Gateelektrodenstruktur 260a freizulegen, während das Material 261 der Gateelektrodenstruktur 260b zumindest durch die Maskenschicht 270 geschützt ist. Beispielsweise sind gut etablierter Ätzrezepte für das Entfernen von Siliziumnitridmaterial selektiv in Bezug auf Siliziumdioxid und Siliziummaterial verfügbar und können während des Ätzprozesses 210 eingesetzt werden. z. B. wird ein nasschemischer Ätzprozess auf der Grundlage heißer Phosphorsäure angewendet, während in anderen Fällen ein selektiver plasamunterstützter Ätzprozess Anwendung findet, wobei eine entsprechende laterale Ätzrate deutlich geringer ist als im Vergleich zu nasschemischen Ätzprozessen. Beispielsweise wird ein selektives Entfernen von Siliziumnitridmaterial in Bezug auf Siliziumdioxid und Silizium häufig während der Herstellung von Siliziumnitridabstandshalterelementen angewendet und kann auch während des Prozesses 210 angewendet werden. Folglich wird das Platzhaltermaterial 261 zuverlässig in der Gateelektrodenstruktur 260a freigelegt, während zumindest das Maskenmaterial 270 ein signifikantes Ätzen des Materials 261 in der Gateelektrodenstruktur 260b verhindert. Des weiteren ist zu beachten, dass wenn eine bessere Integrität des Materials 261 erreicht werden soll, das schützende Seitenwandmaterial 261s, beispielsweise in Form von Oxid, wie dies zuvor erläutert ist, mit einer Dicke von mehr als 1 nm vorgesehen werden kann, während auch ein gewisser Grad an Kantenverrundung bewerkstelligt wird, beispielsweise durch Anwenden einer Plasmabehandlung beim Vorsehen des schützenden Materials 261s (siehe 2d). In diesem Falle kann die Integrität des Materials 261 bewahrt werden, selbst wenn ein gewisser Grad an Ätzung der Abstandshalter 269 und 265 während des Ätzprozesses 210 stattfindet.
  • In einigen anschaulichen Ausführungsformen wird ein zusätzlicher Reinigungsprozess nach dem Freilegen des Materials 261 ausgeführt, um Materialreste, etwa Oxide, Kontaminationsstoffe und dergleichen zu entfernen, was auf der Grundlage von Flusssäure bewerkstelligt werden kann. Auch in diesem Falle ist eine größere Dicke des schützenden Materials 261s für das Bewahren der Integrität des Materials 261 vorteilhaft, wenn ein gewisser Grad an „Unterätzung” der Abstandshalter 269, 265 erfolgt. Ferner kann ein entsprechender Reinigungsprozess so ausgeführt werden, dass eine gewisse Dicke des Maskenmaterials 270 beibehalten wird, so dass die gewünschten Ätzstoppeigenschaften während der weiteren Bearbeitung beibehalten werden, wenn das Platzhaltermaterial 261 selektiv in der Gateelektrodenstruktur 260a entfernt wird.
  • 2i zeigt schematisch das Bauelement 200, wenn es der Einwirkung einer Ätzumgebung 211 ausgesetzt ist, um das Material 261 selektiv aus der Gateelektrodenstruktur 260a zu entfernen, wodurch eine erste Gateöffnung 262 gebildet wird. Wie zuvor erläutert ist, sind selektive Ätzrezepte, etwa auf der Grundlage von TMAH, verfügbar, die während des Ätzprozesses 211 eingesetzt werden können, wobei die Maskenschicht 270 für die gewünschten Ätzstoppeigenschaften sorgt, um einen Materialverlust in der Gateelektrodenstruktur 260b zu vermeiden. Ferner kann das Deckmaterial 264 als ein effizientes Ätzstoppmaterial während des Prozesses 211 dienen, wie dies auch zuvor erläutert ist. Nach der Herstellung der Gateöffnung 262 kann somit ein geeignetes Elektrodenmetall in die Öffnung 262 eingefüllt werden, um eine geeignete austrittsarbeitseinstellende Substanz in Verbindung mit einem geeigneten Elektrodenmaterial vorzusehen.
  • 2j zeigt schematisch das Halbleiterbauelement 200 während eines Abscheideprozesses oder einer Sequenz 212, während welcher ein Elektrodenmetall 266a in die Öffnung 262 eingefüllt wird, was auf Basis einer beliebigen geeigneten Abscheidetechnik erfolgen kann. Zu beachten ist, dass das Elektrodenmetall 266a eine oder mehrere Teilschichten (nicht gezeigt) aufweisen kann, um die austrittsarbeitseinstellende Sorte vorzusehen, die für den Transistor 250a geeignet ist, woran sich das Abscheiden eines Elektrodenmaterials anschließt, etwa Aluminium und dergleichen, das für die gewünschte hohe Leitfähigkeit der Gateelektrodenstruktur 260a sorgt. In anderen Fällen wird die austrittsarbeitseinstellende Substanz, beispielsweise in Form von Lanthanum und dergleichen, in dem Material 266a so bereitgestellt, dass eine mehr oder minder kontinuierliche Mischung gebildet wird, wenn dies als geeignet erachtet wird. In jedem Falle werden in den Prozess 212 bessere Abscheidebedingungen erreicht, da andere Materialschichten, etwa leitende Barrierematerialien und dergleichen nicht erforderlich sind. Folglich kann die Austrittsarbeit des Materials 266a in Verbindung mit dem Deckmaterial 264 und dem Gatedielektrikumsmaterial 263 auf der Grundlage besserer Bauteil- und Prozessbedingungen im Vergleich zu konventionellen Öffnungen eingestellt werden, wie sie zuvor mit Bezug zu dem Halbleiterbauelement 100 beschrieben sind.
  • 2k zeigt schematisch das Bauelement 200 während eines Materialabtragungsprozesses 213, in welchem ein überschüssiger Teil des Materials 266a (siehe 2j) entfernt wird, was auf der Grundlage von CMP und dergleichen bewerkstelligt werden kann. In der gezeigten Ausführungsform wird der Abtragungsprozess 213 so gesteuert, dass ein Teil des dielektrischen Zwischenschichtmaterials 220 zusammen mit dem Material 266a und dem Maskenmaterial 270 der Gateelektrodenstruktur 260b entfernt wird, um damit das Platzhaltermatrial 261 freizulegen. Gleichzeitig wird ein Teil 266w des Elektrodenmetalls 266a in der Gateelektrodenstruktur 260a ebenfalls entfernt, wodurch eine verbesserte gesamte Querschnittsanordnung der Gateelektrodenstruktur 260a insbesondere in dicht gepackten Bauteilgebieten geschaffen wird, in denen eine Vielzahl an Gateelektrodenstrukturen 260a mit einem geringen lateralen Abstand vorgesehen sind. Durch geeignetes Steuern des Abtragungsprozesses 213 kann somit ein gewünschter Grad an Dickenreduzierung 220r bewerkstelligt werden, um zuverlässig das Material 261 freizulegen. In diesem Falle können zusätzliche Maskierungsschemata zum Freilegen des Materials 261 der Gateelektrodenstruktur 260b vermieden werden.
  • 2l zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der das Platzhaltermaterial 261 freigelegt ist und in der Gateelektrodenstruktur 260b auf der Grundlage eines beliebigen geeigneten selektiven Ätzprozesses 214 entfernt wird, beispielsweise unter Anwendung von TMAH und dergleichen, um damit eine zweite Gateöffnung 262 zu schaffen. Anderseits dient das Elektrodenmetall 266a als ein Ätzstoppmaterial oder bis zumindest eine deutlich geringere Ätzrate im Vergleich zu dem Material 261, wodurch ein wesentlicher Anteil des Materials 266a während des Prozesses 214 beibehalten wird. Folglich beeinflusst der Prozess 214 nicht wesentlich die Austrittsarbeit in Gateelektrodenstrukturen 260a, während eine Materialerosion in dem Metall 266a während der weiteren Bearbeitung kompensiert werden kann, wenn die Gateöffnung 262b mit einem weiteren Elektrodenmetall gefüllt wird.
  • 2m zeigt schematisch das Halbleiterbauelement 200 während eines Abscheideprozesses 215, in welchem die Gateöffnung 262b mit einem geeigneten Elektrodenmetall 266b gefüllt wird, das eine beliebige geeignete austrittsarbeitseinstellende Substanz, etwa in Form einer separaten Materialschicht (nicht gezeigt) oder in Form eines im Wesentlichen gleichmäßigen Materials und dergleichen aufweist. Ferner kann das Material 266b für eine höhere Leitfähigkeit der Gateelektrodenstruktur 260b sorgen. Wie zuvor erläutert ist, kann während des Abscheideprozesses 215 ein Materialverlust in der Gateelektrodenstruktur 260a effizient kompensiert werden, ohne dass jedoch die Austrittsarbeit der Gateelektrodenstruktur 260a beeinflusst wird, da ein wesentlicher Anteil des vorhergehenden Materials 266a bewahrt wurde, wie zuvor erläutert ist.
  • 2n zeigt schematisch das Halbeiterbauelement 200 während eines weiteren Einebnungsprozesses 216, etwa einem CMP-Prozess, in welchem ein überschüssiger Teil des Elektrodenmetalls 266b (siehe 2m) entfernt wird. Während des Prozesses 216 werden somit die Gateelektrodenstrukturen 260a, 260b als elektrisch isolierte Strukturen geschaffen, wobei ein gewisser Grad an Nachpolieren zu einem zuverlässigen Entfernen von jeglichen Metallresten auf dem dielektrischen Zwischenschichtmaterial 220 sorgt. In anderen Fällen umfasst der Abtragungsprozess 216 weitere Ätzprozesse, Reinigungsprozesse und dergleichen, wie dies für das zuverlässige Entfernen von Metallresten von dem dielektrischen Material 220 erforderlich ist. Bei Bedarf können Prozesse zum Einstellen und/oder Stabilisieren der Eigenschaften der Gateelektrodenstrukturen 260a, 260b angewendet werden, beispielsweise durch Diffundierern einer austrittsarbeitseinstellenden Sorte in Richtung des dielektrischen Materials 263 und dergleichen. Folglich wird zumindest in oder in der Nähe des dielektrischen Materials 263 und der Deckschicht 264 eine austrittsarbeitseinstellende Sorte 267a in der Gateelektrodenstruktur 260a vorgesehen und in ähnlicher Weise wird eine austrittsarbeitseinstellende Sorte 267b in der Gateelektrodenstruktur 260b vorgesehen. Somit sind die Eigenschaften der Transistoren 250a, 250b in Form der Schwellwertspannung in zuverlässiger Weise auf der Grundlage eines Austauschgateverfahrens eingestellt, während jegliche Lithographieprozesse und Strukturierungsschemata zum Einbau der austrittsarbeitseinstellenden Metallsorte in Anwesenheit komplexer Gateöffnungen vermieden werden.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken für Halbleiterbauelemente bereit, in denen ein Austauschgateverfahren angewendet wird, indem ein Platzhaltermaterial separat abgetragen und die resultierende Gateöffnung einer Art an Gateelektrodenstruktur vor dem Entfernen des Platzhaltermaterials der anderen Art an Gateelektrodenstruktur eingefüllt wird. Zu diesem Zweck wird ein Maskenmaterial in einer frühen Fertigungsphase vorgesehen, so dass ein effizientes Maskierungsschema beim selektiven Entfernen der Platzhaltermaterialien der unterschiedlichen Gateelektrodenstrukturen angewendet werden kann. Folglich können die austrittsarbeitseinstellenden Substanzen und die geeigneten Elektrodenmetalle individuell für jede Art an Gateelektrodenstruktur eingeführt werden, so dass die resultierenden Gateelektrodenstrukturen bessere Eigenschaften im Hinblick auf die Schwellwertspannungsstabilität und dergleichen besitzen. Beispielsweise weisen in einigen Bauelementen die Gateelektrodenstrukturen einer Art die geeignete austrittsarbeitseinstellende Substanz für diese Art an Gateelektrodenstruktur auf, während die austrittsarbeitseinstellende Substanz, die für die andere Art an Gateelektrodenstruktur verwendet wird, im Wesentlichen vollständig fehlt. In ähnlicher Weise wird die austrittsarbeitseinstellende Substanz der zuerst genannten Art an Gateelektrodenstruktur im Wesentlichen vollständig in der anderen Art der Gateelektrodenstrukturen.

Claims (5)

  1. Verfahren mit: Bilden eines Gateschichtstapels (260s) auf einem Substrat über einem ersten aktiven Gebiet (203a) eines ersten Transistors und einem zweiten aktiven Gebiet (203b) eines zweiten Transistors mit einer Gatedielektrikumsschicht (263), einem Platzhaltermaterial (261) über der Gatedielektrikumsschicht (263) und einer Maskenschicht (270) auf dem Platzhaltermaterial (261); Entfernen der Maskenschicht (270) selektiv von dem ersten aktiven Gebiet (203a); danach Strukturieren des Gateschichtstapels zu einer ersten Gateelektrodenstruktur (260a) über dem ersten aktiven Gebiet (203a) und zu einer zweiten Gateelektrodenstruktur (260b) über dem zweiten aktiven Gebiet (203b); Entfernen des Platzhaltermaterials in der ersten Gateelektrodenstruktur, um eine erste Gateöffnung (262) zu erzeugen, während das Platzhaltermaterial in der zweiten Gateelektrodenstruktur durch die Maskenschicht, die als Ätzstoppmaterial beim Entfernen des Platzhaltermaterials fungiert, maskiert ist; Füllen der ersten Gateöffnung (262) mit einem ersten Elektrodenmaterial (266a); Entfernen des Platzhaltermaterials der zweiten Gateelektrodenstruktur in Anwesenheit des ersten Elektrodenmaterials, um eine zweite Gateöffnung (262b) zu erzeugen; und Füllen der zweiten Gateöffnung mit einem zweiten Elektrodenmaterial (266b).
  2. Verfahren nach Anspruch 1, wobei Füllen der ersten Gateöffnung (262) umfasst: Abscheiden des ersten Elektrodenmaterials (266a) und Entfernen eines überschüssigen Teils des ersten Elektrodenmaterials durch Anwenden zumindest eines Polierprozesses.
  3. Verfahren nach Anspruch 2, wobei Entfernen eines überschüssigen Teils des ersten Elektrodenmaterials (266a) umfasst: Freilegen einer oberen Fläche des Platzhaltermaterials der zweiten Gateelektrodenstruktur.
  4. Verfahren nach Anspruch 1, wobei das Ätzstoppmaterial mit einer Dicke von 10 Nanometer oder weniger vorgesehen wird.
  5. Verfahren nach Anspruch 1, wobei Entfernen des Platzhaltermaterials der ersten Gateelektrodenstruktur (260a) umfasst: Einebnen eines dielektrischen Zwischenschichtmaterials, das die erste und die zweite Gateelektrodenstruktur (260b) umschließt, und Ausführen eines Ätzprozesses, um selektiv das Platzhaltermaterial der ersten Gatelektrodenstruktur (260a) freizulegen.
DE102009047306.8A 2009-11-30 2009-11-30 Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung Active DE102009047306B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102009047306.8A DE102009047306B4 (de) 2009-11-30 2009-11-30 Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
US12/905,440 US8232188B2 (en) 2009-11-30 2010-10-15 High-K metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning
US13/533,807 US8652956B2 (en) 2009-11-30 2012-06-26 High-k metal gate electrode structures formed by separate removal of placeholder materials using a masking regime prior to gate patterning

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009047306.8A DE102009047306B4 (de) 2009-11-30 2009-11-30 Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung

Publications (2)

Publication Number Publication Date
DE102009047306A1 DE102009047306A1 (de) 2011-06-01
DE102009047306B4 true DE102009047306B4 (de) 2015-02-12

Family

ID=43927000

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009047306.8A Active DE102009047306B4 (de) 2009-11-30 2009-11-30 Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung

Country Status (2)

Country Link
US (2) US8232188B2 (de)
DE (1) DE102009047306B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017119151B4 (de) 2016-11-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zur Herstellung von Leitern und Halbleiter-Bauelement mit Leitern

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009015747B4 (de) * 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US8635573B2 (en) 2011-08-01 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having a defined minimum gate spacing between adjacent gate structures
DE102011080440B4 (de) 2011-08-04 2013-04-04 Globalfoundries Inc. Verfahren zur Herstellung von Metallgateelektrodenstrukturen mit großem ε mittels einer frühen Deckschichtanpassung
US20130295767A1 (en) * 2012-05-02 2013-11-07 Globalfoundries Inc. Increased transistor performance by implementing an additional cleaning process in a stress liner approach
US9054125B2 (en) * 2013-04-30 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making semiconductor device with gate profile control
US8846467B1 (en) * 2013-09-09 2014-09-30 Globalfoundries Inc. Silicidation of semiconductor devices
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9257289B2 (en) * 2014-03-05 2016-02-09 International Business Machines Corporation Lowering parasitic capacitance of replacement metal gate processes
US9312182B2 (en) * 2014-06-11 2016-04-12 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
KR102250656B1 (ko) * 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10937783B2 (en) 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108321090B (zh) * 2017-01-18 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11881520B2 (en) * 2017-11-30 2024-01-23 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US10629499B2 (en) * 2018-06-13 2020-04-21 International Business Machines Corporation Method and structure for forming a vertical field-effect transistor using a replacement metal gate process
US10411091B1 (en) 2018-07-13 2019-09-10 Qualcomm Incorporated Integrated circuits employing a field gate(s) without dielectric layers and/or work function metal layers for reduced gate layout parasitic resistance, and related methods

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6468851B1 (en) * 2002-01-02 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS device with dual gate electrode
EP1959491A2 (de) * 2007-02-16 2008-08-20 Matsushita Electric Industrial Co., Ltd. Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102007046849A1 (de) * 2007-09-29 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US20090236669A1 (en) * 2008-03-20 2009-09-24 Yi-Wen Chen Metal gate transistor and polysilicon resistor and method for fabricating the same
WO2009122345A1 (en) * 2008-04-02 2009-10-08 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304841B2 (en) * 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6468851B1 (en) * 2002-01-02 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS device with dual gate electrode
EP1959491A2 (de) * 2007-02-16 2008-08-20 Matsushita Electric Industrial Co., Ltd. Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102007046849A1 (de) * 2007-09-29 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US20090236669A1 (en) * 2008-03-20 2009-09-24 Yi-Wen Chen Metal gate transistor and polysilicon resistor and method for fabricating the same
WO2009122345A1 (en) * 2008-04-02 2009-10-08 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017119151B4 (de) 2016-11-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zur Herstellung von Leitern und Halbleiter-Bauelement mit Leitern

Also Published As

Publication number Publication date
DE102009047306A1 (de) 2011-06-01
US8232188B2 (en) 2012-07-31
US8652956B2 (en) 2014-02-18
US20110127613A1 (en) 2011-06-02
US20120261765A1 (en) 2012-10-18

Similar Documents

Publication Publication Date Title
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102009046245B4 (de) Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102009031113B4 (de) Technik zum Freilegen eines Platzhaltermaterials in einem Austausch-Gate-Verfahren durch Modifizieren der Abtragsrate verspannter dielektrischer Deckschichten
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009031146B4 (de) Abtrag einer Deckschicht in einem Metallgatestapel mit großem ε unter Anwendung eines Ätzprozesses
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102009006802B3 (de) Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102011003232B4 (de) Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009047891B4 (de) Verfahren zur Herstellung eines Transistors mit verbesserten Füllbedingungen in einem Austauschgateverfahren durch Eckenverrundung vor dem vollständigen Entfernen eines Platzhaltermaterials
DE102010063296B4 (de) Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102009023376A1 (de) Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102009046250B4 (de) Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102010040058A1 (de) Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
DE102010003451B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102009039521A1 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102010064281A1 (de) Herstellung einer Kanalhalbleiterlegierung durch Erzeugen eines Hartmaskenschichtstapels und Anwenden eines plasmaunterstützten Maskenstrukturierungsprozesses
DE102010064291A1 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE