DE102009046250B4 - Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird - Google Patents

Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird Download PDF

Info

Publication number
DE102009046250B4
DE102009046250B4 DE102009046250.3A DE102009046250A DE102009046250B4 DE 102009046250 B4 DE102009046250 B4 DE 102009046250B4 DE 102009046250 A DE102009046250 A DE 102009046250A DE 102009046250 B4 DE102009046250 B4 DE 102009046250B4
Authority
DE
Germany
Prior art keywords
opening
sacrificial
gate electrode
work function
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102009046250.3A
Other languages
English (en)
Other versions
DE102009046250A1 (de
Inventor
Jens Heinrich
Thomas Werner
Frank Seliger
Frank Richter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009046250.3A priority Critical patent/DE102009046250B4/de
Priority to US12/894,985 priority patent/US7951677B2/en
Publication of DE102009046250A1 publication Critical patent/DE102009046250A1/de
Application granted granted Critical
Publication of DE102009046250B4 publication Critical patent/DE102009046250B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

Verfahren mit:
Bilden einer Öffnung, die eine Breite aufweist, in einer Gateelektrodenstruktur eines Transistors durch Entfernen eines Platzhalterelektrodenmaterials der Gateelektrodenstruktur;
Bilden eines Opferfüllmaterials in der Öffnung, um zumindest eine Unterseite der Öffnung abzudecken;
Vergrößern der Breite der Öffnung, in der das Opferfüllmaterial gebildet ist, an einem oberen Bereich in Anwesenheit des Opferfüllmaterials;
Entfernen des Opferfüllmaterials aus der Öffnung;
Bilden einer Materialschicht an Seitenwänden und der Unterseite der Öffnung, die die größere Breite an dem oberen Bereich besitzt, wobei die Materialschicht eine austrittsarbeitseinstellende Substanz aufweist, um die Austrittsarbeit einer Gateelektrode einzustellen; und
Einfüllen eines leitenden Elektrodenmaterials in die Öffnung über der Materialschicht.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung komplexer integrierter Schaltungen mit Transistorelementen, die Gatestrukturen basierend auf einem Gatedielektrikumsmaterial mit großem ε in Verbindung mit einem Metallelektrodenmaterial aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPUs, Speicherbauelementen, ASICs (anwendungsspezifischen integrierten Schaltungen) und dergleichen macht es notwendig, eine große Anzahl an Schaltungselementen auf der vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau herzustellen, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die das Leistungsverhalten der integrierten Schaltungen wesentlich bestimmen. Im Allgemeinen wird eine Vielzahl an Prozesstechnologien aktuell eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung beispielsweise der CMOS-Technologie werden Millionen an Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche gebildet sind, die durch stark dotierte Gebiete, die als Drain- und Sourcegebiete bezeichnet werden, und einem leicht dotierten oder nicht-dotierten Gebiet gebildet ist, etwa einem Kanalgebiet, das benachbart zu den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst die Leitfähigkeit des Kanalgebiets wesentlich das Leistungsverhalten von MOS-Transistoren.
  • Gegenwärtig wird der Hauptanteil an integrierten Schaltungen basierend auf Silizium hergestellt auf Grund der nahezu unbegrenzten Verfügbarkeit, auf Grund der gut verstandenen Eigenschaften des Siliziums und der zugehörigen Materialien und Prozesse und auf Grund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziums bei der Herstellung von Halbleiterbauelementen sind die guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturen stabil und ermöglicht das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise zum Ausheizen für die Aktivierung der Dotierstoffe und zum Ausheilen von Kristallschäden erforderlich sind, ohne dass dabei die elektrischen Eigenschaften der Grenzfläche beeinträchtigt werden.
  • Aus den zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als ein Basismaterial einer Gateisolationsschicht in Feldeffekttransistoren eingesetzt, die die Gateelektrode, die häufig aus Polysilizium oder metallenthaltenden Materialien aufgebaut ist, von dem Siliziumkanalgebiet trennt. Beim stetigen Verbessern des Leistungsverhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets kontinuierlich verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu erhöhen. Da das Transistorverhalten durch die Spannung gesteuert ist, die der Gateelektrode zugeführt wird, um die Oberfläche des Kanalgebiets in eine ausreichend hohe Ladungsträgerdichte zu invertieren, um damit den gewünschten Durchlassstrom bei einer vorgegebenen Versorgungsspannung bereitzustellen, ist ein gewisser Grad an kapazitiver Kopplung erforderlich, die durch den Kondensator hervorgerufen wird, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet ist. Es erweist sich, dass eine Verringerung der Kanallänge eine höhere kapazitive Kopplung erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer geringen Schwellwertspannung weisen eine exponentielle Zunahme des Leckstromes auf, wobei auch eine höhere kapazitive Kopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Daher wird die Dicke der Siliziumdioxidschicht entsprechend verringert, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu schaffen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl allgemein die Verwendung von Hochgeschwindigkeitstransistoren mit einem extrem kurzen Kanal im Wesentlichen auf Hochgeschwindigkeitssignalwege beschränkt wird, wohingegen Transistoren mit einem längeren Kanal für weniger kritische Signalwege eingesetzt werden, etwa in Form von Speichertransistoren, erreicht der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxidgateisolationsschicht hervorgerufen wird, Werte für eine Oxiddicke im Bereich von 1 bis 2 nm, die nicht mehr mit den thermischen Entwurfsleistungserfordernissen für leistungsbezogene Schaltungen vereinbar sind.
  • Daher wurde das Ersetzen des siliziumdioxidbasierten Dielektrikums als Material für Gateisolationsschichten in Betracht gezogen, insbesondere für extrem dünne siliziumdioxidbasierte Gateschichten. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend gebildeten Gateisolationsschicht eine kapazitive Kopplung ergibt, die ansonsten durch eine extrem dünne Siliziumdioxidschicht erreicht würde.
  • Es wurde daher vorgeschlagen, Siliziumdioxid durch Materialien mit hoher Permittivität zu ersetzen, etwa durch Tantaloxid (Ta2O5) mit einem ε von ungefähr 25, durch Strontiumtitanoxid (SrTiO3) mit einem ε von 150, durch Hafniumoxid (HfO2), HfSiO, Zirkonoxid (ZTO2) und dergleichen.
  • Zudem kann das Transistorverhalten verbessert werden, indem ein geeignetes leitendes Material der Gateelektrode vorgesehen wird, um damit das für gewöhnlich verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gatedielektrikum aufweist, wodurch die wirksame Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Daher wurde ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine erhöhte Kapazität auf der Grundlage der gleichen Dicke wie eine siliziumdioxidbasierte Schicht sorgt, während zusätzlich Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits wird das nicht-Polysiliziummaterial, etwa Titannitrid und dergleichen, in Verbindung mit anderen Metallen so hergestellt, dass eine direkte Verbindung zu dem dielektrischen Material mit großem ε besteht, wodurch das Auftreten einer Verarmungszone im Wesentlichen vermieden wird. Da die Schwellwertspannung der Transistoren, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, wesentlich von der Austrittsarbeit des metallenthaltenden Gatematerials bestimmt ist, muss eine geeignete Einstellung der wirksamen Austrittsarbeit in Bezug auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
  • Das Vorsehen unterschiedlicher Metallsorten für das Einstellen der Austrittsarbeit der Gateelektrodenstrukturen für p-Kanaltransistoren und n-Kanaltransistoren während einer frühen Fertigungsphase kann jedoch mit einer Reihe von Schwierigkeiten verknüpft sein, die sich aus der Tatsache ergeben, dass eine komplexe Strukturierungssequenz während der Herstellung des komplexen Metallgatestapels mit großem ε erforderlich ist, was zu einer ausgeprägten Variabilität der resultierenden Austrittsarbeit und somit der Schwellwertspannung der Transistorstrukturen führen kann. Während einer entsprechenden Fertigungssequenz wird beispielsweise das Material mit großem ε dem Einfluss von Sauerstoff ausgesetzt, was zu einer Zunahme der Schichtdicke und somit zu einer Verringerung der kapazitiven Kopplung führen kann. Ferner kann eine Verschiebung der Austrittsarbeit beobachtet werden, wenn geeignete Austrittsarbeitsmetalle in einer frühen Fertigungsphase hergestellt werden, wobei man annehmen kann, dass dies durch eine moderat hohe Sauerstoffaffinität der Metallsorten insbesondere bei Hochtemperaturprozessen hervorgerufen wird, die typischerweise zur Fertigstellung der Transistorstrukturen, beispielsweise zur Bildung der Drain- und Sourcegebiete und dergleichen, erforderlich sind.
  • Aus diesem Grunde wird in einigen Vorgehensweisen der anfängliche Gateelektrodenstapel mit einem hohen Grad an Kompatibilität zu konventionellen polysiliziumbasierten Prozessstrategien bereitgestellt und das eigentliche Elektrodenmetall und die endgültige Einstellung der Austrittsarbeit der Transistoren wird in einer sehr fortgeschrittenen Fertigungsphase, d. h. nach der Fertigstellung der grundlegenden Transistorstruktur, bewerkstelligt.
  • Gemäß dieser Vorgehensweise können insbesondere Schwellwertschwankungen, die durch Hochtemperaturprozesse und dergleichen hervorgerufen werden, effizient vermieden werden, wodurch zu einer besseren Gleichmäßigkeit der komplexen Transistorelemente beigetragen wird. In diesen Austauschgateverfahren wird das Polysiliziummaterial auf der Grundlage geeigneter Ätzrezepte, etwa nasschemischer Ätzrezepte, entfernt, die einen hohen Grad an Selektivität in Bezug auf das isolierende Material aufweisen, das das Polysiliziummaterial lateral einschließt. Nach dem Entfernen des Polysiliziummaterials wird ein geeignetes metallenthaltendes Material aufgebracht, um die austrittsarbeitseinstellende Sorte über dem Gatedielektrikumsmaterial zu bilden, wie dies auch zuvor erläutert ist. Typischerweise erfordern p-Kanaltransistoren und n-Kanaltransistoren andere Arten von austrittsarbeitseinstellenden Substanzen, wodurch ein entsprechendes Maskierungs- und Strukturierungsschema erforderlich ist, um in geeigneter Weise das gewünschte austrittsarbeitseinstellende Material in den Gateelektrodenstrukturen von p-Kanaltransistoren bzw. n-Kanaltransistoren vorzusehen. Unabhängig von der angewendeten Prozessstrategie muss nach dem Abscheiden der austrittsarbeitseinstellenden Materialschicht zumindest das eigentliche Elektrodenmetall, etwa Aluminium, in die Öffnung eingefüllt werden, deren Breite jedoch durch die vorhergehende Abscheidung des austrittsarbeitseinstellenden Materials weiter verringert wurde, wodurch ausgeprägte Unregelmäßigkeiten hervorgerufen werden, wie dies mit Bezug zu den 1a bis 1c erläutert ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100, das ein Substrat 101 aufweist, etwa ein Siliziumsubstrat und dergleichen, in oder über welchem eine siliziumbasierte Halbleiterschicht 102 vorgesehen ist. Ferner umfasst das Bauelement 100 einen Transistor 150, etwa einen p-Kanaltransistor oder einen n-Kanaltransistor, der auf der Grundlage kritischer Abmessungen von ungefähr 40 nm und weniger hergestellt wird. Ferner ist ein weiteres Schaltungselement 160, etwa ein Feldeffekttransistor, ein Kondensator und dergleichen, in dem Halbleiterbauelement 100 vorgesehen und wird auf der Grundlage einer größeren kritischen Abmessung hergestellt. Der Transistor 150 umfasst Drain- und Sourcegebiete 151, möglicherweise in Verbindung mit Metallsilizidgebieten 152. In ähnlicher Weise umfasst das Schaltungselement 160 „Drain- und Sourcegebiete” 161 in Verbindung mit Metallsilizidgebieten 162. Der Transistor 150 umfasst ferner eine Gateelektrodenstruktur 155, die in der gezeigten Fertigungsphase ein Gatedielektrikumsmaterial 155a aufweist, das auf der Grundlage eines dielektrischen Materials mit großem ε hergestellt ist, wie dies zuvor erläutert ist. Ferner ist eine Seitenwandabstandshalterstruktur 155c vorgesehen und bildet eine Öffnung 155o mit einer Breite, die im Wesentlichen einer Solllänge der Gateelektrodenstruktur 155 entspricht. Beispielsweise beträgt eine Breite der Öffnung 155o 40 nm und weniger in anspruchsvollen Anwendungen. In ähnlicher Weise umfasst das Schaltungselement 160 eine „Gateelektrodenstruktur” 165 mit einem Gatedielektrikumsmaterial 165a und einer Abstandshalterstruktur 165c, die eine Öffnung 165o bildet. Im Prinzip besitzen die Gateelektrodenstrukturen 155, 165 den gleichen Aufbau mit Ausnahme einer unterschiedlichen Breite der Öffnung 155o im Vergleich zu der Öffnung 165o. Ferner ist ein dielektrisches Material 103, beispielsweise in Form von Siliziumnitrid, Siliziumdioxid und dergleichen so vorgesehen, dass die Gateelektrodenstrukturen 155, 165 lateral eingeschlossen sind.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesstechniken hergestellt werden. Nach dem Bilden von geeigneten Halbleitergebieten in der Schicht 102, beispielsweise durch Vorsehen von Isolationsstrukturen (nicht gezeigt), zur Aufnahme der Schaltungselemente 150, 160 werden die Gatedielektrikumsmaterialien 155a, 165a auf Basis von Oxidation und/oder komplexen Abscheidetechniken hergestellt, wobei typischerweise ein dielektrisches Material mit großem ε in die dielektrische Materialien 155a, 165a eingebaut wird. Z. B. wird häufig ein siliziumoxidbasiertes Material als Basisschicht verwendet, möglicherweise in Verbindung mit anderen Stoffsorten, etwa Stickstoff, worauf ein geeignetes dielektrisches Material mit großem ε, etwa Hafniumoxid und dergleichen, aufgebracht wird. Daraufhin wird ein leitendes Deckmaterial hergestellt, um die Integrität der Gatedielektrikumsmaterialien 155a, 165a, während der weiteren Bearbeitung sicherzustellen. Daraufhin wird Polysiliziummaterial auf der Grundlage gut etablierter Prozessrezepte abgeschieden, möglicherweise in Verbindung mit weiteren Deckmaterialien, Hartmaskenmaterialien und dergleichen, wie dies zum Strukturieren des resultierenden Materialschichtstapels entsprechend den Entwurfsregeln erforderlich ist, um somit in zuverlässiger Weise die kritischen Abmessungen für die Gateelektrodenstruktur 155 einzurichten. Danach werden komplexe Strukturierungsprozesse angewendet, um die Gateelektrodenstrukturen 155, 165 zu schaffen, die das Polysiliziummaterial als ein Platzhaltermaterial enthalten. Als nächstes werden die Drain- und Sourcegebiete 151 in Verbindung mit den Seitenwandabstandshalterstrukturen 155c, 165c gebildet, um das gewünschte Dotierstoffprofil zu erreichen. Ferner werden die Abstandshalterstrukturen 155c, 165c auch verwendet, um die Metallsilizidgebiete 152, 162 herzustellen, wodurch die grundlegende Struktur der Schaltungselemente 150, 160 vervollständigt wird. Als nächstes wird das dielektrische Material 103, das auch als ein dielektrisches Zwischenschichtmaterial bezeichnet wird, hergestellt, indem beispielsweise Siliziumnitrid abgeschieden wird, woran sich Siliziumdioxid und dergleichen anschließt. Daraufhin wird überschüssiges Material entfernt und eine obere Oberfläche der Gateelektrodenstrukturen 155, 165 wird beispielsweise durch einen Polierprozess, etwa durch CMP (chemisch-mechanisches Polieren) freigelegt. Anschließend wird ein Ätzprozess, etwa ein sehr selektiver nasschemischer Ätzprozess, ausgeführt, um das freigelegte Polysiliziummaterial selektiv zu dem dielektrischen Material 103 und den Seitenwandabstandshalterstrukturen 155c, 165c zu entfernen, wodurch die Öffnungen 155o, 165o gebildet werden.
  • 1b zeigt schematisch das Halbleiterbauelement 100 mit einer metallenthaltenden Materialschicht 155d, die auf dem dielektrischen Material 103 und in der Öffnung 155o gebildet ist. Die Materialschicht 155d weist eine oder mehrere Schichten unterschiedlicher Materialien auf, etwa in Form von Titannitrid, Tantalnitrid und dergleichen, wobei ebenfalls eine geeignete Metallsorte, etwa Lanthan, Aluminium und dergleichen eingebaut ist, um die resultierende Austrittsarbeit der Gateelektrodenstrukturen 155, 165 einzustellen. Wie zuvor erläutert ist, werden abhängig von der gesamten Prozessstrategie unterschiedliche Materialschichten lokal in Transistoren unterschiedlicher Leitfähigkeitsart vorgesehen, wodurch das Abscheiden zumindest einer oder mehrerer Materialschichten möglicherweise in Verbindung mit zusätzlichen Ätzprozessen erforderlich ist, um selektiv eine oder mehrere dieser Schichten aus Gateelektrodenstrukturen von Transistoren zu entfernen, die eine andere Art an Austrittsarbeitsmetallsorte erfordern. Unabhängig von der angewendeten Prozessstrategie wird das Material 155d auf der Grundlage komplexer Abscheidetechniken aufgebracht, etwa durch chemische Dampfabscheidung, physikalische Dampfabscheidung und dergleichen, um die Materialschicht 155d zuverlässig zumindest über den Gatedielektrikumsmaterialien 155a, 165a mit einer Dicke auszubilden, wie dies zum geeigneten Anordnen der Austrittsarbeitsmetallsorte an und in den dielektrischen Materialien 155a, 165a erforderlich ist. Während des Abscheidens des Materials 155d kann eine ausgeprägte Schwankung der Schichtdicke hervorgerufen werden, die insbesondere in einem oberen Bereich der Öffnung 155o mit den reduzierten kritischen Abmessungen ausgeprägt ist. Während eine Breite 155w der Öffnung 155o an deren Unterseite durch die normale Schichtdicke festgelegt ist, die so gewählt ist, dass die gewünschte Abdeckung des Gatedielektrikumsmaterials 155a erreicht wird, wird eine Breite 155r an der Oberseite der Öffnung 155o deutlich auf Grund entsprechender Überhänge der Schicht 155d verringert. Andererseits beeinflusst die geringere Breite 165r an den oberen Bereich der Öffnung 165o die weitere Bearbeitung des Bauelements 100 nicht wesentlich. Auf der anderen Seite führt die geringere Breite 155r, die 20 nm oder weniger bei einer anfänglichen Breite der Öffnung 155o von ungefähr 40 nm betragen kann, zu ausgeprägten Unregelmäßigkeiten während der weiteren Bearbeitung, wenn das eigentliche Elektrodenmaterial in die Öffnungen 155o, 165o eingefüllt wird.
  • 1c zeigt schematisch das Halbleiterbauelement 100 nach dem Abscheiden eines Elektrodenmetalls 155e, etwa in Form von Aluminium und dergleichen, um die Gateelektrodenstrukturen 155, 165 fertigzustellen. Auf Grund der reduzierten Breite 155r ist unter Umständen die Öffnung 155o nicht vollständig gefüllt oder die Öffnung 155o bleibt im Wesentlichen ungefüllt, wodurch eine nicht funktionsfähige Gateelektrodenstruktur für den Transistor 150 erzeugt wird. Andererseits kann die Öffnung 165o zuverlässig auf Grund der weniger kritischen Breite der Öffnung 165o gefüllt werden. Beim Entfernen von überschüssigem Material werden somit die Gateelektrodenstrukturen 155, 165 fertiggestellt, wobei eine ausgeprägte Wahrscheinlichkeit besteht, dass nicht funktionsfähige Gateelektrodenstrukturen für kritische Transistoren, etwa dem Transistor 150, erzeugt werden. Obwohl somit im Prinzip die Einstellung der Austrittsarbeit der Gateelektrodenstruktur 155 in einer sehr fortgeschrittenen Fertigungsphase vorteilhaft ist im Hinblick auf eine Verringerung der Transistorvariabilität hinsichtlich der Schwellwertspannungsschwankungen, ergeben sich insbesondere in sehr kleinen Transistorelementen Ausbeuteverluste auf Grund von unvollständig gefüllten Elektrodenstrukturen oder auf Grund von nicht funktionsfähigen Gateelektrodenstrukturen.
  • Die US 2008/0185637 A1 offenbart Verfahren zum Herstellen von Feldeffekttransistoren mittels eines Gateaustauschverfahrens. In einer Gateöffnung werden zunächst eine Gatedielektrikumsschicht und eine austrittsarbeitseinstellende Schicht gebildet und unter Verwendung eines Lackmaterials, das den unteren Bereich der Gateöffnung füllt, werden die Schichten im oberen Bereich der Gateöffnung wieder selektiv entfernt.
  • Die US 2005/0269644 A1 offenbart Verfahren zum Herstellen von Feldeffekttransistoren mittels eines Gateaustauschverfahrens. Eine Gateaustauschstruktur wird zweilagig ausgebildet, sodass zunächst selektiv der obere Bereich entfernt werden kann und die dadurch freigelegten Bereiche der Abstandshalterstrukturen entfernt werden können, sodass eine Gateöffnung mit einem breiteren oberen Bereich entsteht.
  • Angesichts der zuvor beschriebenen Situation ist es die Aufgabe der vorliegenden Erfindung verbesserte Fertigungstechniken bereitzustellen, in denen basierend auf gut bewährten Fertigungstechniken eine Austrittsarbeitssorte in einer sehr fortgeschrittenen Fertigungsphase eingebracht wird, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die vorliegende Erfindung
  • Im Allgemeinen stellt die vorliegende Erfindung Fertigungstechniken bereit, in denen ein leitendes Gateelektrodenmaterial in eine Öffnung einer Gateelektrodenstruktur auf der Grundlage einer verbesserten Querschnittsform der Öffnung eingefüllt wird, nachdem das Platzhaltermaterial in einem Austauschgateverfahren entfernt wurde. Zu diesem Zweck wird eine Breite eines oberen Bereichs der Öffnung mittels einer geeigneten Behandlung vergrößert, etwa durch einen plasmaunterstützten Ätzprozess, einen Teilchenbeschuss und dergleichen, wobei gleichzeitig die Unterseite der Öffnung durch Opferfüllmaterial geschützt wird, etwa durch ein organisches Material, wodurch eine unerwünschte Wechselwirkung des Prozesses zur Vergrößerung der Breite an dem oberen Bereich und sensitiver Materialien, etwa den dielektrischen Materialien mit großem ε und jeglichen Deckschichten, vermieden wird. Daraufhin wird das austrittsarbeitseinstellende Metall und das eigentliche Elektrodenmetall auf der Grundlage einer besseren Querschnittsform eingefüllt. In einigen anschaulichen hierin offenbarten Aspekten wird das Opferfüllmaterial zusätzlich als eine effiziente Maske verwendet, um das Abscheiden einer austrittsarbeitseinstellenden Metallsorte in gewissen Gateöffnungen zu vermeiden, wenn das austrittsarbeitseinstellende Metall in anderen Gateöffnungen einzufüllen ist. Zu diesem Zweck wird nach dem Vergrößern der Breite zumindest einiger Gateöffnungen das Opfermaterial selektiv von den Gateöffnungen entfernt, in denen die austrittsarbeitseinstellende Sorte vorzusehen ist, während in anderen Öffnungen zumindest ein Teil des Opfermaterials beibehalten wird. Somit kann die weitere Bearbeitung auf der Grundlage verbesserter Querschnittsformen zumindest einiger Gateöffnungen fortgesetzt werden, während gleichzeitig eine Notwendigkeit vermieden wird, zusätzliche leitende Ätzstoppschichten zum Einstellen der Austrittsarbeit von n-Kanaltransistoren bzw. p-Kanaltransistoren vorzusehen.
  • Die Aufgabe der vorliegenden Erfindung wird speziell durch die Verfahren der Ansprüche 1, 12 und 19 gelöst.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen beim Ersetzen eines Polysiliziummaterials durch ein Elektrodenmetall in Verbindung mit einer austrittsarbeitseinstellenden Substanz auf der Grundlage konventioneller Austauschgateverfahren zeigen;
  • 2a bis 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wobei ein leitendes Elektrodenmaterial in den Öffnungen eingefüllt wird, das darin ausgebildet eine austrittsarbeitseinstellende Materialschicht aufweist und eine bessere Querschnittsform besitzt, die auf der Grundlage eines Opferfüllmaterials gemäß anschaulicher Ausführungsformen erreicht wird; und
  • 3a bis 3g schematisch Querschnittsansichten eines Halbleiterbauelements mit Transistoren unterschiedlicher Leitfähigkeitsart während diverser Fertigungsphasen zeigen, wobei die Austrittsarbeit der Gateelektrodenstrukturen auf der Grundlage eines Opferfüllmaterials gemäß noch weiterer anschaulicher Ausführungsformen eingestellt wird.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung stellt allgemein eine Fertigungstechnik bereit, in der ein Opferfüllmaterial, etwa ein organisches Material, effizient eingesetzt wird, um den unteren Bereich einer Gateöffnung nach dem Entfernen eines Platzhalterelektrodenmaterials zu schützen, wenn eine Breite der Gateöffnung auf der Grundlage einer geeigneten Prozesstechnik, etwa durch einen plasmaunterstützten Ätzprozess, einen Ionenbeschuss und dergleichen, vergrößert wird. Folglich können die weiteren erforderlichen Materialien, etwa die austrittsarbeitseinstellenden Substanzen und insbesondere das eigentliche Elektrodenmaterial in die Gateöffnung auf der Grundlage einer verbesserten Querschnittsform eingefüllt werden, wodurch das Auftreten von durch Abscheidung hervorgerufenen Unregelmäßigkeiten, etwa nicht gefüllte Gateelektroden oder unvollständig gefüllte Gateelektroden, vermieden oder zuminderst deutlich reduziert wird.
  • In anderen anschaulichen hierin offenbarten Ausführungsformen wird das Opferfüllmaterial als eine effiziente Maske verwendet, wenn eine austrittsarbeitseinstellende Substanz abgeschieden und von Transistoren und Gateelektrodenstrukturen entfernt wird, die eine andere Art an austrittsarbeitseinstellendem Material erfordern. In einigen anschaulichen Ausführungsformen wird das Entfernen eines unerwünschten Teils der austrittsarbeitseinstellenden Substanz zusätzlich auf der Grundlage eines weiteren Opferfüllmaterials bewerkstelligt, das in einer Gateöffnung vorgesehen wird, die darin ein gewünschtes austrittsarbeitseinstellendes Material aufweist, wodurch eine höhere Integrität der austrittsarbeitseinstellenden Sorte erreicht wird. Beispielsweise wird ein Ätzprozess und/oder ein Polierprozess angewendet, um freiliegende Bereiche der austrittsarbeitseinstellenden Substanz zu entfernen, ohne im Wesentlichen dieses Material in einer Art an Gateöffnungen zu beeinflussen, während die andere Art an Gateöffnungen weiterhin mit dem anfänglich aufgebrachten Opferfüllmaterial gefüllt ist. In einigen anschaulichen Ausführungsformen wird bei Bedarf ein weiterer Prozess zum Vergrößern einer Breite einer oder beider Arten an Gateöffnungen ausgeführt, beispielsweise wird dieser in anschaulichen Ausführungsformen als ein Teil der vorhergehenden Materialabtragungsprozesssequenz ausgeführt, wodurch das Gesamtverhalten für einen nachfolgenden Abscheideprozess zum Bereitstellen einer weiteren Metallsorte und zum Bereitstellen des eigentlichen Elektrodenmetalls weiter verbessert wird.
  • Die Opferfüllmaterialien können auf der Grundlage gut etablierter Ätztechniken entfernt werden, die typischerweise einen hohen Grad an Selektivität in Bezug auf andere Materialien in den entsprechenden Gateöffnungen besitzen, so dass das Opferfüllmaterial effizient entfernt werden kann, ohne dass die darunter liegenden Materialien unnötig beeinflusst werden. Z. B. ist eine Vielzahl an Polymermaterialien verfügbar, die in einem Zustand von geringer Viskosität aufgebracht werden, und diese Materialien können vorteilhaft eingesetzt werden. Beispielsweise werden geeignete Materialien häufig als „optische Einebnungsschichten” in kritischen Lithographieprozessen und dergleichen eingesetzt. Folglich können derartige Materialien mit einem hohen Grad an Spaltfüllvermögen eingesetzt werden, während gleichzeitig die Materialien für einen im Wesentlichen gleichmäßigen Höhenpegel über große Bereiche des Halbleitersubstrats hinweg sorgen. Andrerseits können derartige Materialien effizient entfernt werden, beispielsweise durch Plasmaabtragungsprozesse, nasschemische Ätzrezepte und dergleichen. In einigen anschaulichen Ausführungsformen wird das Opferfüllmaterial mittels einer Strahlenbehandlung entfernt, beispielsweise auf der Grundlage von Laserstrahlung, Blitzlichtstrahlung und dergleichen, um damit das Opferfüllmaterial zu „verdampfen”, wobei eine minimale Auswirkung auf die darunter liegenden Materialien ausgeübt wird, etwa empfindliche dielektrische Materialien mit großem ε und entsprechende Deckschichten. Folglich kann die Breite eines oberen Bereichs der Gateöffnung auf der Grundlage geeigneter reaktiver Prozesstechniken, etwa durch Plasmaätzen, Ionensputtern und dergleichen, vergrößert werden, wodurch ein gewünschter Grad an Kantenverrundung oder Verjüngung der Gateöffnung vor dem Abscheiden der austrittsarbeitseinstellenden Substanz erreicht wird. Auf Grund der besseren Querschnittsform werden somit bessere Abscheidebedingungen nach dem Abscheiden der austrittsarbeitseinstellenden Sorte und nach einer Prozesssequenz zum selektiven Entfernen eines Teils der austrittsarbeitseinstellenden Sorte von Gateelektrodenstrukturen, die eine andere Art an austrittsarbeitseinstellender Substanz erfordern, geschaffen.
  • Mit Bezug zu den 2a bis 3g werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf die 1a bis 1c verwiesen wird.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201, über welchem eine Halbleiterschicht 202 gebildet ist. Das Substrat 201 in Verbindung mit der Halbleiterschicht 202 repräsentiert ein beliebiges geeignetes Materialsystem, um darin und darüber Schaltungselemente, etwa einen Transistor 250 und andere Schaltungselemente 260 zu bilden, die etwa Transistoren, Kondensatoren und dergleichen repräsentieren können. In der gezeigten Ausführungsform ist der Transistor 250 auf der Grundlage kritischer Abmessungen hergestellt, die kleiner sind als kritische Abmessungen des Schaltungselements 260, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Ferner repräsentieren das Substrat 201 und die Halbleiterschicht 202 eine SOI-(Silizium-auf-Isolator-)Konfiguration, wenn eine vergrabene isolierende Schicht (nicht gezeigt) unter der Halbleiterschicht 202 vorgesehen ist.
  • Wie zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist, weist der Transistor 250 Drain- und Sourcegebiete 251 möglicherweise in Verbindung mit Metallsilizidgebieten 252 auf. In ähnlicher Weise enthält das Schaltungselement 260 „Drain- und Sourcegebiete” 261 in Verbindung mit Metallsilizidgebieten 262. Des weiteren umfasst der Transistor 250 eine Gateelektrodenstruktur 255, die in der gezeigten Fertigungsphase ein Gatedielektrikumsmaterial 255a möglicherweise in Verbindung mit einem leitenden Deckmaterial, und eine Abstandshalterstruktur 255c aufweist. In ähnlicher Weise enthält das Schaltungselement 260 eine „Gateelektrodenstruktur” 265 mit den Komponenten 265a und 265c. Ferner sind entsprechende Öffnungen 255o, 265o in den Strukturen 255, 265 vorgesehen und sind mit einem Opferfüllmaterial 206 gefüllt. Das Opferfüllmaterial 206 besitzt gewünschte Abscheideeigenschaften, so dass die Öffnungen 255o, 265o, die deutlich unterschiedliche laterale Abmessungen besitzen können, zuverlässig gefüllt werden, was bewerkstelligt werden kann auf der Grundlage von verfügbaren optischen Einebnungsmaterialien. Des weiteren kann das Opferfüllmaterial 206 effizient auf der Grundlage sehr selektiver Ätzrezepte abgetragen werden, ohne dass das Materialsystem 255c beeinflusst wird. Eine geeignete Materialzusammensetzung für das Material 206 kann effizient ermittelt werden, indem Experimente für unterschiedliche Materialzusammensetzungen, beispielsweise auf der Grundlage verfügbarer optischer Einebnungsmaterialien, ausgeführt werden, um die Abscheideeigenschaften und das Ätzverhalten zu ermitteln, wenn eine Einwirkung durch Plasmaätzrezepte, Ionenbeschusstechniken und dergleichen erfolgt. Die Abtragungseigenschaften des Materials 206 können ferner auf der Grundlage von Experimenten bestimmt werden, um damit geeignete Materialzusammensetzungen und Ätzrezepte zum Abtragen verbleibender Bereiche des Opferfüllmaterials 206 zu ermitteln, ohne dass die darunter liegenden Materialien 255a, 265a unerwünscht beeinflusst werden.
  • Das Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Die Schaltungselemente 250, 260 können auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden, wie dies auch beispielsweise zuvor beschrieben ist, als auf das Halbleiterbauelement 100 verwiesen wurde. D. h., nach der Herstellung eines dielektrischen Zwischenschichtmaterials 203 zum Einschluss der Gateelektrodenstrukturen 255, 265 wird eine Oberfläche dieser Strukturen freigelegt mittels einer geeigneten Prozesstechnik und danach wird ein Platzhaltermaterial, etwa in Form von Polysilizium, Silizium/Germanium und dergleichen, möglicherweise in Verbindung mit zusätzlichen Deckmaterialien und dergleichen, auf der Grundlage gut etablierter Ätztechniken entfernt. Daraufhin wird das Opferfüllmaterial 206 vorgesehen, beispielsweise durch Abscheiden eines Polymermaterials in einem Zustand geringer Viskosität auf der Grundlage von Aufschleudertechniken, wobei die geeignet ausgewählten Spaltfülleigenschaften zu einem effizienten Füllen der Öffnung 255o mit den kritischen Abmessungen führen. Beispielsweise wird ein gewisser Grad an Überfüllung der Öffnungen 255o, 265o erreicht, woraus sich eine im Wesentlichen ebene Oberflächentopographie ergibt. Nach der möglichen weiteren Behandlung der Schicht 206, beispielsweise durch Ausführen einer Wärmebehandlung und/oder einer Behandlung auf der Grundlage von Strahlung und dergleichen, können die gewünschten Materialeigenschaften eingestellt werden, etwa im Hinblick auf die chemische Widerstandsfähigkeit während der weiteren Bearbeitung des Bauelements 200, wenn eine anfängliche Breite der Öffnungen 255o, 265o vergrößert wird, um bessere Bedingungen beim Einfüllen weiterer Materialien in die Öffnungen 255o, 265o zu schaffen, etwa für austrittsarbeitseinstellende Substanzen und gut leitende Elektrodenmaterialien. Als nächstes wird ein Abtragungsprozess 207 ausgeführt, etwa ein Ätzprozess, ein Polierprozess und dergleichen, um den oberen Bereich 255t der Öffnung 255o freizulegen. In ähnlicher Weise wird ein oberer Bereich der Öffnung 265o freigelegt. Im Folgenden sei lediglich auf die Öffnung 255o verwiesen, da diese Öffnung die kritische Komponente während der weiteren Bearbeitung repräsentiert, während ein entsprechender Grad an Kantenverrundung in der Öffnung 265o weniger relevant ist. In der gezeigten Ausführungsform wird der Prozess 207 als ein Ätzprozess ausgeführt, beispielsweise als ein nasschemischer Ätzprozess, ein plasmaunterstützter Ätzprozess und dergleichen, um einen überschüssigen Bereich der Schicht 206 abzutragen.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Phase des Abtragungsprozesses 207 (siehe 2a) oder während eines separaten Prozesses 207b, wobei der obere Bereich 255t der Gateelektrodenstruktur 255 freigelegt und in seiner Querschnittsform modifiziert wird. Während des Prozesses 207b wird auch vorzugsweise die Abstandshalterstruktur 255c und das dielektrische Zwischenschichtmaterial 203 an dem oberen Bereich 255t angegriffen.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Phase oder während eines separaten Materialabtragungsprozesses 207c, der geeignet gestaltet ist, um eine Materialerosion oder Abtragung vorzugsweise an dem oberen Bereich 255t in Gang zu setzen, wodurch die Breite der Öffnung 255o an dem oberen Bereich 255t vergrößert wird. Zu diesem Zweck wird in einigen anschaulichen Ausführungsformen der Prozess 207c auf der Grundlage eines plasmaunterstützten Ätzrezepts ausgeführt, in welchem geeignete reaktive Substanzen auf der Grundlage von Fluor, Chlor und dergleichen aufgebracht werden, um vorzugsweise Material an den Kanten der Öffnung 255o abzutragen, ohne dass in unerwünschter Weise Material der Schicht 203 abgetragen wird. Während des Prozesses 207c kann auch ein Teil des Opfematerials 206 abgetragen werden, wobei jedoch das Material 255a zuverlässig von zumindest einem Teil des Materials 206 geschützt wird.
  • In anderen anschaulichen Ausführungsformen wird der Prozess 207c auf der Grundlage eines effizienten Teilchenbeschusses ausgeführt, etwa in Form eines Ionensputter-Prozesses, was als ein Prozess zu verstehen ist, wobei Gasmoleküle oder Atome ionisiert und diese ionisierten Teilchen auf der Grundlage eines geeigneten Beschleunigungssystems beschleunigt werden, wodurch den Teilchen ausreichend kinetische Energie verliehen wird, um damit Atome aus einer Oberflächenschicht eines freiliegenden Materials herauszulösen. In dem oberen Bereich 255t, d. h. an den Kanten der Öffnung 255o ist der gesamte Materialabtrag ausgeprägter im Vergleich zu horizontalen Bereichen auf Grund einer größeren Oberfläche und damit einer größeren Anzahl an eintreffenden energetischen Ionen oder neutralen Teilchen. Folglich wird die Breite vergrößert, ohne dass übermäßig Material der dielektrischen Schicht 203 entfernt wird, während der verbleibende Teil des Opfermaterials 206 weiterhin zuverlässig das Material 255a schützt. Es sollte beachtet werden, dass ein gewünschter Grad an „Kantenverrundung” effizient eingestellt werden kann, indem geeignete Prozessparameter des Prozesses 207c ausgewählt werden, die auf der Grundlage von Experimenten ermittelt werden können, wobei unterschiedliche Beschusssorten und Energien für einen Ionensputter-Prozess ausgewählt werden, wobei ähnliche Materialien und Geometrien angewendet werden, wie sie dem Bauelement 200 entsprechen.
  • 2d zeigt schematisch das Halbleiterbauelement 200 nach dem Ende des Prozesses 207c aus der 2c. Wie gezeigt, ist ein gewünschter Grad an Kantenverrundung in dem oberen Bereich 255t erreicht, so dass die größere Breite zu einer verbesserten Querschnittsform der Öffnung 255o im Hinblick auf die weitere Bearbeitung des Bauelements 200 führt. In der gezeigten Fertigungsphase ist ferner ein Teil des Opferfüllmaterials 206 weiterhin in der Öffnung 255o und auch in der Öffnung 265o enthalten.
  • 2e zeigt schematisch das Halbleiterbauelement 200, wenn es der Einwirkung einer weiteren reaktiven Prozessumgebung 209 ausgesetzt ist, in der der verbleibende Teil des Materials 206 (siehe 2d) aus den Öffnungen 255o, 265o entfernt wird. Wie zuvor erläutert ist, ist eine Vielzahl an organischen Materialien verfügbar, die eine hohe Abtragsrate haben, wenn sie der Einwirkung gut bekannter nasschemischer oder plasmaunterstützter Ätzprozesse ausgesetzt werden, während eine Abtragsrate für andere Materialien, etwa das Material 255a und das dielektrische Material 203 und die Abstandshalter 255c deutlich geringer ist. Während des Prozesses 209 werden beispielsweise nasschemische Ätzrezepte, etwa in Form von schwefliger Säure und Wasserstoffperoxid, TMAH (Tetramethylammoniumhydroxid) und dergleichen angewendet, um effizient das Opferfüllmaterial 206 zu entfernen. In anderen Fällen werden sehr sauerstoffbasierte Plasmaprozesse eingesetzt, um den verbleibenden Teil des Opferfüllmaterials 206 ab zutragen. Zu diesem Zweck können geeignete Rezepte effizient auf der Grundlage von Experimenten ermittelt werden. In anderen anschaulichen Ausführungsformen wird der Prozess 209 auf der Grundlage von Strahlung eingerichtet, etwa durch Ultraviolettstrahlung und dergleichen, oder auf der Grundlage anderer Prozesse, in denen ausreichend Energie in den verbleibenden Teil des Opfermaterials 206 (siehe 2d) eingebracht werden kann, um die Verdampfung dieses Materials in Gang zu setzen, ohne dass andere Materialsysteme, etwa das Material 255a wesentlich beeinflusst werden. In diesem Falle sind geeignete Strahlungsquellen, etwa Laserquellen, blitzlichtbasierte Strahlungsquellen und dergleichen typischerweise in Halbleiterfertigungsstätten verfügbar.
  • 2f zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Materialschicht 255d mit einer austrittsarbeitseinstellenden Substanz in Öffnungen 255o, 265o gebildet ist. Die Materialschicht 255d kann auch weitere Ätzstoppmaterialien, etwa Tantalnitrid und dergleichen in Verbindung mit der austrittsarbeitseinstellenden Substanz aufweisen, wenn die austrittsarbeitseinstellende Substanz von anderen Bauteilbereichen, d. h. von Gateelektrodenstrukturen von Transistoren mit anderer Leitfähigkeitsart im Vergleich zu den Schaltungselementen 250, 260 zu entfernen ist. Ferner kann ein Elektrodenmaterial 255e, beispielsweise in Form von Aluminium oder einem anderen geeigneten leitenden Material, in den Öffnungen 255o, 265o mit einem gewissen Grad an Überfüllung ausgebildet sein. Die Materialschicht 255d, die zwei oder mehr einzelne Schichten aufweisen kann, wird auf der Grundlage einer beliebigen geeigneten Abscheidetechnik hergestellt, wie dies auch zuvor erläutert ist, wobei die verbesserte Querschnittsform der Öffnungen 255o, 265o im Wesentlichen eine unerwünschte Verengung des oberen Bereichs 255t vermeidet. Nach dem Abscheiden der Schicht 255d und nach einer möglichen Strukturierung davon in Verbindung mit dem Abscheiden einer weiteren austrittsarbeitseinstellenden Substanz (nicht gezeigt) wird das Elektrodenmaterial 255e auf der Grundlage einer geeigneten Abscheidetechnik 210 aufgebracht. Beispielsweise können Sputter-Abscheidetechniken, beispielsweise zum Bereitstellen einer Saatschicht, falls erforderlich, und dergleichen, CVD-(chemische Dampfabscheide-)Techniken, elektrochemische Abscheideprozesse, etwa Elektroplattieren und/oder stromloses Plattieren und dergleichen, möglicherweise auch in Kombination, angewendet werden, um die Öffnungen 255o, 265o zu füllen. Auf Grund der verbesserten Querschnittsform am oberen Bereich 255t, der die größere Breite aufweist, wird ein zuverlässiges Füllen der kritischen Öffnung 255o unabhängig von der Anwesenheit der Schicht 255d erreicht, wodurch die gesamte Produktgleichmäßigkeit deutlich verbessert wird und wodurch auch eine höhere Produktionsausbeute erreicht wird. Daraufhin wird überschüssiges Material des Elektrodenmaterials 255e entfernt, etwa durch CMP (chemisch-mechanisches Polieren), während welchem auch unerwünschte Bereiche der Schicht 255d oder anderer austrittsarbeitseinstellender Substanzen entfernt. Während des entsprechenden Polierprozesses kann auch eine gewünschte endgültige Höhe der Gateelektrodenstrukturen 255o, 265o und somit auch eine endgültige Breite am oberen Bereich 255t eingestellt werden, indem ein gewisser Grad an Materialabtrag eingestellt wird. Beispielsweise kann durch Abtragen eines gewissen Oberflächenbereichs die Breite des oberen Gebiets 255t verringert werden, was in Bauteilgebieten vorteilhaft sein kann, die eine Vielzahl dicht liegender Gateelektrodenstrukturen aufweisen, wodurch die Wahrscheinlichkeit des Erzeugens von Leckstromwegen zwischen benachbarten Gateelektrodenstrukturen verringert wird.
  • Mit Bezug zu den 3a bis 3g werden weitere anschauliche Ausführungsformen beschrieben, in denen das Opferfüllmaterial vorteilhafter Weise bei der Verbesserung der Querschnittsform verwendet wird, wenn unterschiedliche Arten an austrittsarbeitseinstellenden Substanzen für unterschiedliche Transistorelemente bereitgestellt werden.
  • 3a zeigt schematisch das Halbleiterbauelement 200 mit dem Transistor 250 und der Gateelektrodenstruktur 255 und einem zweiten Transistor 270 mit einer Gateelektrodenstruktur 275. Die Transistoren 250, 270 repräsentieren etwa Transistoren unterschiedlicher Leitfähigkeitsart, etwa einen p-Kanaltransistor und einen n-Kanaltransistor, die somit unterschiedliche austrittsarbeitseinstellende Substanzen erfordern. Zu beachten ist, dass die Gateelektrodenstrukturen 275 des Transistors 270 einen ähnlichen Aufbau wie die Gateelektrodenstruktur 255, etwa im Hinblick auf kritische Abmessungen, Gatedielektrikumsmaterial und dergleichen besitzen kann. In der gezeigten Fertigungsphase ist ferner das Opferfüllmaterial 206 zumindest in der Öffnung 255o und in einer entsprechenden Öffnung 275o vorgesehen. Zu diesem Zweck können entsprechende Prozesstechniken angewendet werden, wie sie zuvor erläutert sind. Des weiteren ist eine Ätzmaske 215, etwa eine Lackmaske und dergleichen, über dem Transistor 270 ausgebildet, wodurch das Material 206 in der Öffnung 275o abgedeckt wird. In anderen anschaulichen Ausführungsformen, wie dies durch die gestrichelte Linie angezeigt ist, kann ein Teil 260a des Opferfüllmaterials noch über dem dielektrischen Material 203 ausgebildet sein, während in anderen Fällen überschüssiges Material des Opferfüllmaterials 206 auf der Grundlage von CMP, Ätzen und dergleichen entfernt worden ist, um damit das Material 203 vor dem Herstellen der Ätzmaske 215 freizulegen. Das Bauelement 200 unterliegt der Einwirkung einer reaktiven Prozessumgebung, etwa dem Prozess 207c, wie dies zuvor erläutert ist, um die Breite der Öffnung 255o zu vergrößern, während das Material 206 zuverlässig das Material 255a schützt. Während des Prozesses 207c kann die Ätzmaske 215 das Material 206 möglicherweise in Verbindung mit dem Bereich 206a über dem Transistor 270 bewahren. Im Hinblick auf Prozessparameter des Prozesses 207c sei auf die zuvor beschriebenen Ausführungsformen verwiesen, um einen gewünschten Grad an Kantenverrundung zu erreichen.
  • 3b zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, besitzt der obere Bereich 255t die größere Breite 255x, die auf der Grundlage des Prozesses 207c aus 3a erhalten wurde, wobei auch ein Teil des Materials 206 in der Öffnung 255o verbraucht wird. In ähnlicher Weise kann auch ein Teil der Maske 215 während des vorhergehenden reaktiven Prozesses abhängig von der jeweiligen Abtragungsrate der Maske 215 aufgebraucht werden. Das Bauelement 200 unterliegt ferner dem Ätzprozess 209, um den verbleibenden Teil des Materials 206 aus der Öffnung 255o zu entfernen. Zu diesem Zweck wird eine beliebige geeignete Ätztechnik angewendet, wie dies auch zuvor erläutert ist. Beispielsweise werden nasschemische Ätzrezepte angewendet, wenn dies als geeignet erachtet wird. In anderen Fällen ist der Prozess 209 so gestaltet, dass die Maske 215, beispielsweise auf der Grundlage eines Sauerstoffplasmas, abgetragen wird, während welchem der verbleibende Bereich des Materials 206 aus der Öffnung 255o entfernt wird. Während des Prozesses 209 ist zumindest ein wesentlicher Anteil des Materials 206 innerhalb der Öffnung 275o bewahrt, unabhängig davon, ob unterschiedliche Ätzschritte zum Entfernen des Materials 206 aus der Öffnung 255o und zum Entfernen der Maske 215 angewendet werden. Wie zuvor mit Bezug zu 3a erläutert ist, bietet der zusätzliche Bereich 206a, falls dieser vorgesehen ist, ausreichende Prozesssicherheiten während des Prozesses 209, um zuverlässig einen gewissen Teil des Materials 206 in der Öffnung 275o zu bewahren.
  • 3c zeigt schematisch das Halbleiterbauelement 200 nach dem Abscheiden der Materialschicht 255d, die eine austrittsarbeitseinstellende Substanz aufweist, die für die Gateelektrodenstruktur 255 geeignet ist. Auf Grund der verbesserten Querschnittsform der Öffnung 255o können das Material oder die Materialien 255d mit höherer Effizienz aufgebracht werden, während eine verbesserte Querschnittsform für die nachfolgende Abscheidung weiterer Materialien, etwa eine weitere austrittsarbeitseinstellende Substanz in Verbindung mit einem hoch leitenden Elektrodenmaterial beibehalten wird. Während des Abscheidens des Materials 255d wird zumindest die Unterseite der Öffnung 275o zuverlässig durch das Material 206a abgedeckt, wodurch ein Kontakt des Materials 255d mit einem Gatedielektrikumsmaterial 275a der Gateelektrodenstruktur 275 vermieden wird. Es sollte beachtet werden, dass das Material 206 eine ausreichende Stabilität besitzt, um den Bedingungen einer Vielzahl an Abscheideprozessen, etwa einem Sputter-Prozess, CVD-Prozessen bei geringen Temperaturen und dergleichen zu widerstehen, so dass der Prozess zur Herstellung des Materials 255d im Wesentlichen nicht negativ durch die Anwesenheit des Materials 206 beeinflusst ist.
  • In einigen anschaulichen Ausführungsformen wird das Material 255d von der Gateelektrodenstruktur 275 abgetragen, was bewerkstelligt werden kann unter Anwendung von CMP, wobei das Material 255d in der Öffnung 255o zumindest an der Unterseite beibehalten wird. Daraufhin wird freiliegendes Material 206 aus der Öffnung 255o auf der Grundlage eines Ätzprozesses, wie dies zuvor angegeben ist, entfernt, wobei das Material 255d in der Öffnung 255o als ein Ätzstoppmaterial dienen kann, ohne dass es in unerwünschter Weise durch den entsprechenden Abtragungsprozess auf Grund der hohen Selektivität zwischen dem Material 206 und dem Material 255d beeinflusst wird. Daraufhin wird ein weiteres austrittsarbeitseinstellendes Material aufgebracht, was in der Öffnung 255o bewerkstelligt werden kann, ohne dass die Abscheidebedingungen für ein nachfolgendes Abscheiden eines Elektrodenmetalls wesentlich beeinträchtigt werden, während in der Öffnung 275o lediglich eine sehr dünne Materialschicht aufgebracht wird, wodurch die weitere Abscheidung des Elektrodenmetalls ebenfalls nicht unnötig beeinflusst wird.
  • Mit Bezug zu 3d werden nunmehr weitere anschauliche Ausführungsformen beschriebenen, in denen zusätzlich eine verbesserte Querschnittsform für die Gateelektrodenstruktur 275 und/oder eine bessere Integrität des Materials 255d in der Öffnung 255o erreicht werden.
  • 3d zeigt schematisch das Bauelement 200 mit einem weiteren Opferfüllmaterial 206b, das in der Öffnung 255o gebildet ist. Das Material 206b kann im Wesentlichen die gleichen Eigenschaften wie das Füllmaterial 206 aufweisen, beispielsweise im Hinblick auf die Spaltfüllfähigkeit, das Ätzverhalten und dergleichen. Beispielsweise können die gleichen Materialzusammensetzungen für die Materialien 206 und 206b verwendet werden. Das Material 206b kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik aufgebracht werden, etwa durch Aufschleudern, möglicherweise in Verbindung mit nachfolgenden Behandlungen, und überschüssiges Material kann beispielsweise durch Ätzen, CMP und dergleichen entfernt werden.
  • 3e zeigt schematisch das Halbleiterbauelement 200 während eines Materialabtragungsprozesses 217c und/oder 217d, um freiliegende Bereiche des Materials 255d abzutragen und/oder um einen gewissen Grad an Kantenverrundung in der Gateelektrodenstruktur 275 hervorzurufen. Beispielsweise repräsentiert der Abtragungsprozess 217d einen CMP-Prozess, in welchem Teile des Materials 255d effizient entfernt werden, wobei die Integrität des Materials 255d zumindest an der Unterseite der Öffnung 255o durch das Material 206b bewahrt wird, wobei die Integrität des Materials 275a in der Gateelektrodenstruktur 275 durch das Material 206 bewahrt wird. Zusätzlich oder alternativ zu dem Prozess 217d kann der Prozess 217c angewendet werden, beispielsweise in Form eines Ätzprozesses, um das Material 255d abzutragen und um das Material 206 in der Öffnung 275 freizulegen. Während eines oder während beider Prozesse 217c, 217d kann, bei Bedarf, auch ein gewisser Grad an Kantenverrundung der Gateelektrodenstruktur 275 erreicht werden. Abhängig von der ausgewählten Prozesssequenz und den Ätzstoppeigenschaften des Materials 255d kann auch in diesem Falle eine weitere Verrundung der Kanten der Gateelektrodenstruktur 255 erreicht werden.
  • 3f zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, d. h. nach dem Entfernen eines Teils des Materials 255d. In der gezeigten Ausführungsform wurde auch ein Teil des Materials 206b, 206 während der vorhergehenden Prozesssequenz verbraucht und ein gewisser Grad an Kantenverrundung wurde in der Gateelektrodenstruktur 275 erreicht, wodurch eine größere Breite 275x an einem oberen Bereich 275t der Gateelektrodenstruktur 275 erzeugt wird. Das Entfernen unerwünschter Teile des Materials 255d kann somit auf der Grundlage der verbesserten Integrität des verbleibenden Bereichs des Materials 255d erreicht werden, zumindest an der Unterseite der Öffnung 255o, während auch das Material 275a in der Öffnung 275o zuverlässig geschützt ist. Als nächstes werden die Materialien 206, 206b beispielsweise auf der Grundlage nasschemischer Ätzrezepte, Plasmaabtragungsprozesse und dergleichen, entfernt, wie dies auch zuvor beschrieben ist. Es kann auch ein „Verdampfungsprozess” angewendet werden, wie dies zuvor beschrieben ist, wodurch darunter liegende Materialien, etwa das Material 255d oder das Material 275a nicht in unerwünschter Weise beeinflusst werden. Nach dem Entfernen der Materialien 206b, 206 wird die weitere Bearbeitung fortgesetzt, indem eine weitere austrittsarbeitseinstellende Materialschicht gebildet wird, die geeignet gewählt ist, um die gewünschte Austrittsarbeit für die Gateelektrodenstruktur 275 zu erhalten.
  • 3g zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschritten Fertigungsphase. Wie gezeigt, ist eine Materialschicht 275d, die eine austrittsarbeitseinstellende Substanz für den Transistor 270 aufweist, in der Öffnung 255o gebildet, d. h. auf dem verbleibenden Teil des Materials 255d, und ist auch in der Öffnung 275o ausgebildet, so dass das Material mit dem Materialsystem 275a in Kontakt ist. Es sollte beachtet werden, dass bei Bedarf die Materialschicht 255d ein leitendes Diffusionsbarrierenmaterial, etwa Titannitrid und dergleichen, aufweisen kann, um eine Diffusion einer austrittsarbeitseinstellenden Substanz in der Öffnung 255o in Richtung des Materials 255a zu vermeiden. In anderen Fällen besitzt das Material 255d selbst eine diffusionshindernde Eigenschaft, so dass die Austrittsarbeit der Gateelektrodenstruktur 255 und somit die Schwellwertspannung des Transistors 250 im Wesentlichen durch das Material 255d gegeben ist. Die Materialschicht 275d kann auf der Grundlage eines beliebigen geeigneten Abscheiderezepts aufgebracht werden, wobei die verbesserte Querschnittsform der Öffnung 275o für eine bessere Abscheidegleichmäßigkeit sorgt, während andererseits die ausgeprägte Verengung nach unten der Öffnung 255o eine zuverlässige Abscheidung des Materials 275d ermöglicht, während gleichzeitig bessere Prozessbedingungen während des nachfolgenden Abscheidens des Elektrodenmaterials 255e gewährleistet sind. Im Hinblick auf eine Abscheidetechnik zum Bereitstellen des Elektrodenmaterials 255e sei auf zuvor beschriebene Ausführungsformen verwiesen. Daraufhin wird überschüssiges Material des Elektrodenmaterials 255e und der austrittsarbeitseinstellenden Materialsorte 275d entfernt, beispielsweise durch CMP, wie dies auch zuvor erläutert ist. Folglich kann das Material 255d ohne ein darunter liegendes Ätzstoppmaterial, etwa Tantalnitrid, vorgesehen werden, wie dies häufig der Fall ist, um ein selektives Entfernen des Materials 255d aus anderen Gateöffnungen, etwa der Öffnung 275o in konventionellen Strategien zu ermöglichen. Gemäß den hierin offenbarten Prinzipien kann das Abscheiden des Materials 255d in der Öffnung 275o zuverlässig durch das Material 206 (siehe 3f) vermieden werden. Folglich kann eine zuverlässigere Einstellung der Austrittsarbeit der Transistoren 250, 270 erreicht werden. Danach wird das Material 255e auf der Grundlage der verbesserten Querschnittsform der Öffnungen 255o, 275o eingefüllt.
  • Es gilt also: die vorliegende Erfindung stellt Techniken bereit, in denen ein Opferfüllmaterial vorteilhaft verwendet wird, um eine verbesserte Querschnittsform zumindest einer Art an Gateöffnung vor dem Einfüllen einer austrittsarbeitseinstellenden Substanz und eines Elektrodenmaterials zu schaffen. In einigen anschaulichen Ausführungsformen wird das Opferfüllmaterial auch als eine Abscheidemaske verwendet, um das Abscheiden einer Art an austrittsarbeitseinstellender Substanz in Gateöffnungen zu vermeiden, in denen eine andere Art an austrittsarbeitseinstellender Substanz erforderlich ist. Folglich können Austauschgateverfahren auf Transistorelemente mit kritischen Abmessungen von 32 nm und weniger angewendet werden, wobei dennoch ein hoher Grad an Gleichmäßigkeit der Bauteileigenschaften erreicht wird und wobei auch eine hohe Produktionsausbeute beibehalten wird.

Claims (20)

  1. Verfahren mit: Bilden einer Öffnung, die eine Breite aufweist, in einer Gateelektrodenstruktur eines Transistors durch Entfernen eines Platzhalterelektrodenmaterials der Gateelektrodenstruktur; Bilden eines Opferfüllmaterials in der Öffnung, um zumindest eine Unterseite der Öffnung abzudecken; Vergrößern der Breite der Öffnung, in der das Opferfüllmaterial gebildet ist, an einem oberen Bereich in Anwesenheit des Opferfüllmaterials; Entfernen des Opferfüllmaterials aus der Öffnung; Bilden einer Materialschicht an Seitenwänden und der Unterseite der Öffnung, die die größere Breite an dem oberen Bereich besitzt, wobei die Materialschicht eine austrittsarbeitseinstellende Substanz aufweist, um die Austrittsarbeit einer Gateelektrode einzustellen; und Einfüllen eines leitenden Elektrodenmaterials in die Öffnung über der Materialschicht.
  2. Verfahren nach Anspruch 1, wobei Bilden des Opferfüllmaterials in der Öffnung umfasst: Abscheiden einer organischen Materialschicht, um die Öffnung zu überfüllen, und Entfernen von überschüssigem Material der organischen Materialschicht.
  3. Verfahren nach Anspruch 2, wobei Entfernen von überschüssigem Material der organischen Materialschicht Ausführen eines Ätzprozesses umfasst.
  4. Verfahren nach Anspruch 2, wobei Entfernen von überschüssigem Material der organischen Materialschicht Ausführen eines Polierprozesses umfasst.
  5. Verfahren nach Anspruch 1, wobei Vergrößern der Breite der Öffnung am oberen Bereich umfasst: Ausführen eines plasmaunterstützten Ätzprozesses.
  6. Verfahren nach Anspruch 1, wobei Vergrößern einer der Breite der Öffnung umfasst: Ausführen eines Teilchenbeschusses.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Öffnung in einer zweiten Gateelektrodenstruktur, Bilden des Opferfüllmaterials gemeinsam in der zweiten Öffnung und in der Öffnung und Maskieren der zweiten Öffnung, wenn die Breite der Öffnung vergrößert wird.
  8. Verfahren nach Anspruch 7, das ferner umfasst: Bewahren zumindest eines Teils des Opferfüllmaterials in der zweiten Öffnung, wenn das Opferfüllmaterial in der Öffnung abgetragen wird.
  9. Verfahren nach Anspruch 8, wobei die Materialschicht in der Öffnung und über zumindest dem Teil des Opferfüllmaterials, der in der zweiten Öffnung bewahrt wird, gebildet wird.
  10. Verfahren nach Anspruch 9, das ferner umfasst: Bilden eines zweiten Opferfüllmaterials in der Öffnung nach dem Bilden der Materialschicht darin und Entfernen eines freiliegenden Bereichs der Materialschicht in Anwesenheit des zweiten Opferfüllmaterials und des zumindest einen Teils des Opferfüllmaterials, der in der zweiten Öffnung bewahrt ist.
  11. Verfahren nach Anspruch 10, das ferner umfasst: Entfernen des zweiten Opferfüllmaterials und des zumindest einen Teils des Opferfüllmaterials und Bilden einer zweiten Materialschicht in der zweiten Öffnung und in der Öffnung, wobei die zweite Materialschicht eine zweite austrittsarbeitseinstellende Substanz aufweist, die sich von der austrittsarbeitseinstellenden Substanz unterscheidet, um die Austrittsarbeit einer zweiten Gateelektrode einzustellen.
  12. Verfahren mit: Bilden einer ersten Öffnung, die eine Breite aufweist, in einer ersten Gateelektrodenstruktur eines ersten Transistors und einer zweiten Öffnung, die eine Breite aufweist, in einer zweiten Gateelektrodenstruktur eines zweiten Transistors durch Entfernen eines Platzhalterelektrodenmaterials der ersten und der zweiten Gateelektrodenstruktur; Füllen der ersten und der zweiten Öffnung mit einem ersten Opferfüllmaterial; Vergrößern der Breite der ersten und/oder der zweiten Öffnung an einem oberen Bereich in Anwesenheit zumindest eines Teils des ersten Opferfüllmaterials in der ersten und der zweiten Öffnung; Entfernen zumindest des Teils des ersten Opferfüllmaterials aus der ersten Öffnung; Bilden einer ersten Materialschicht in der ersten Öffnung und über zumindest dem Teil des ersten Opferfüllmaterials, der in der zweiten Öffnung gebildet ist; Entfernen des zumindest einen Teils des ersten Opferfüllmaterials aus der zweiten Öffnung; Bilden einer zweiten Materialschicht in der ersten und der zweiten Öffnung, wobei die erste Öffnung an zumindest einem unteren Bereich davon die erste Materialschicht ausgebildet aufweist; und Füllen eines leitenden Elektrodenmaterials in die erste und die zweite Öffnung.
  13. Verfahren nach Anspruch 12, wobei die erste Materialschicht eine erste austrittsarbeitseinstellende Substanz aufweist, um die Austrittsarbeit einer ersten Gateelektrode einzustellen, und die zweite Materialschicht eine zweite austrittsarbeitseinstellende Substanz aufweist, die sich von der ersten austrittsarbeitseinstellenden Substanz unterscheidet, um die Austrittsarbeit einer zweiten Gateelektrode einzustellen.
  14. Verfahren nach Anspruch 12, das ferner umfasst: Entfernen eines Teils der ersten Materialschicht von der zweiten Gateelektrodenstruktur.
  15. Verfahren nach Anspruch 14, wobei Entfernen eines Teils der ersten Materialschicht umfasst: Bilden eines zweiten Opferfüllmaterials in der ersten Öffnung, um zumindest einen unteren Bereich der ersten Materialschicht in der ersten Öffnung abzudecken, und Ausführen eines Materialabtragungsprozesses in Anwesenheit des zweiten Opferfüllmaterials.
  16. Verfahren nach Anspruch 15, wobei Ausführen des Materialabtragungsprozesses umfasst: Ausführen eines Polierprozesses und/oder eines Ätzprozesses.
  17. Verfahren nach Anspruch 15, wobei Ausführen des Materialabtragungsprozesses umfasst: Vergrößern einer Breite eines oberen Bereichs der zweiten Öffnung.
  18. Verfahren nach Anspruch 15, das ferner umfasst: Entfernen des ersten und des zweiten Opferfüllmaterials in einem gemeinsamen Abtragungsprozess vor dem Bilden der zweiten Materialschicht.
  19. Verfahren zum Einstellen einer Austrittsarbeit von Gateelektroden, wobei das Verfahren umfasst: Bilden eines Opferfüllmaterials in einer ersten Öffnung einer ersten Gateelektrodenstruktur, wobei die erste Öffnung eine Breite aufweist, und in einer zweiten Öffnung einer zweiten Gateelektrodenstruktur; Vergrößern der Breite eines oberen Bereichs zumindest der ersten Öffnung in Anwesenheit des Opferfüllmaterials; Entfernen des Opferfüllmaterials aus der ersten Öffnung und Bewahren zumindest eines Teils des Opferfüllmaterials in der zweiten Öffnung; Bilden einer ersten austrittsarbeitseinstellenden Substanz, die geeignet ist die Austrittsarbeit einer ersten Gateelektrode einzustellen, in der ersten Öffnung und über zumindest dem Teil des Opferfüllmaterials in der zweiten Öffnung; Entfernen des zumindest einen Teils des Opferfüllmaterials aus der zweiten Öffnung; und Bilden einer zweiten austrittsarbeitseinstellenden Substanz, die geeignet ist die Austrittsarbeit einer zweiten Gateelektrode einzustellen, in der zweiten Öffnung und über der ersten austrittsarbeitseinstellenden Substanz.
  20. Verfahren nach Anspruch 19, das ferner umfasst: Einfüllen eines zweiten Opferfüllmaterials in die erste Öffnung nach dem Bilden der ersten austrittsarbeitseinstellenden Substanz und vor dem Entfernen des zumindest einen Teils des Opferfüllmaterials.
DE102009046250.3A 2009-10-30 2009-10-30 Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird Expired - Fee Related DE102009046250B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009046250.3A DE102009046250B4 (de) 2009-10-30 2009-10-30 Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
US12/894,985 US7951677B2 (en) 2009-10-30 2010-09-30 Corner rounding in a replacement gate approach based on a sacrificial fill material applied prior to work function metal deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009046250.3A DE102009046250B4 (de) 2009-10-30 2009-10-30 Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird

Publications (2)

Publication Number Publication Date
DE102009046250A1 DE102009046250A1 (de) 2011-05-12
DE102009046250B4 true DE102009046250B4 (de) 2015-11-26

Family

ID=43852679

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009046250.3A Expired - Fee Related DE102009046250B4 (de) 2009-10-30 2009-10-30 Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird

Country Status (2)

Country Link
US (1) US7951677B2 (de)
DE (1) DE102009046250B4 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
CN102856255B (zh) * 2011-06-27 2016-05-25 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
US8383473B1 (en) 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US8951855B2 (en) * 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US10014382B2 (en) * 2014-03-13 2018-07-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with sidewall passivation and method of making
US10164049B2 (en) * 2014-10-06 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with gate stack
US9461043B1 (en) 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10297602B2 (en) * 2017-05-18 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for forming source/drain regions of different transistors
US11004953B2 (en) * 2019-06-26 2021-05-11 Globalfoundries U.S. Inc. Mask-free methods of forming structures in a semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269644A1 (en) * 2004-06-08 2005-12-08 Brask Justin K Forming integrated circuits with replacement metal gate electrodes
US20080185637A1 (en) * 2007-02-06 2008-08-07 Sony Corporation Insulated gate field effect transistor and a method of manufacturing the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264486A1 (en) * 2009-04-20 2010-10-21 Texas Instruments Incorporated Field plate trench mosfet transistor with graded dielectric liner thickness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269644A1 (en) * 2004-06-08 2005-12-08 Brask Justin K Forming integrated circuits with replacement metal gate electrodes
US20080185637A1 (en) * 2007-02-06 2008-08-07 Sony Corporation Insulated gate field effect transistor and a method of manufacturing the same

Also Published As

Publication number Publication date
US20110104880A1 (en) 2011-05-05
DE102009046250A1 (de) 2011-05-12
US7951677B2 (en) 2011-05-31

Similar Documents

Publication Publication Date Title
DE102009046250B4 (de) Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009023376B4 (de) Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102009021486B4 (de) Verfahren zur Feldeffekttransistor-Herstellung
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102008059500B4 (de) Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
DE102009043628B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Ausführen eines Polierprozesses auf der Grundlage eines Opferfüllmaterials
DE102009006802B3 (de) Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102008064671B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einer Gatestruktur und Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateobseite
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009047891B4 (de) Verfahren zur Herstellung eines Transistors mit verbesserten Füllbedingungen in einem Austauschgateverfahren durch Eckenverrundung vor dem vollständigen Entfernen eines Platzhaltermaterials
DE102009015715B4 (de) Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
DE102011003232B4 (de) Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
DE102010028465B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallgate und Halbleiterwiderständen, die auf der Grundlage eines Austauschgateverfahrens hergestellt sind
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102009046245A1 (de) Metallgateelektrodenstrukturen mit großem ε, die durch eine separate Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart hergestellt sind
DE102010042229B4 (de) Verfahren zum Steigern der Integrität eines Gatestapels mit großem ε durch Erzeugen einer gesteuerten Unterhöhlung auf der Grundlage einer Nasschemie und mit den Verfahren hergestellter Transistor
DE102012205298B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε unter Anwendung einer Mehrschichtkontaktebene und Halbleiterbauelement
DE102010003559B4 (de) Halbleiterbauelement mit Metallgatestrukturen, die durch ein Austauschgateverfahren hergestellt sind, und E-Sicherung mit einem Silizid
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE102010040058A1 (de) Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
DE102007041206B4 (de) Halbleiterbauelement und Verfahren zum selbstjustierten Entfernen eines high-k Gatedielektrikums über einem STI-Gebiet

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823600

Ipc: H01L0021823400

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823600

Ipc: H01L0021823400

Effective date: 20111121

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee