DE102008011813B4 - Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements - Google Patents

Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements Download PDF

Info

Publication number
DE102008011813B4
DE102008011813B4 DE102008011813A DE102008011813A DE102008011813B4 DE 102008011813 B4 DE102008011813 B4 DE 102008011813B4 DE 102008011813 A DE102008011813 A DE 102008011813A DE 102008011813 A DE102008011813 A DE 102008011813A DE 102008011813 B4 DE102008011813 B4 DE 102008011813B4
Authority
DE
Germany
Prior art keywords
gate electrode
layer
forming
electrode structure
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102008011813A
Other languages
English (en)
Other versions
DE102008011813A1 (de
Inventor
Sven Beyer
Rolf Stephan
Martin Trentzsch
Patrick Press
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008011813A priority Critical patent/DE102008011813B4/de
Priority to US12/204,395 priority patent/US8293610B2/en
Priority to TW098106103A priority patent/TWI495016B/zh
Priority to CN2009801112730A priority patent/CN101981674A/zh
Priority to PCT/US2009/001283 priority patent/WO2009108366A2/en
Priority to KR1020107021524A priority patent/KR101559537B1/ko
Publication of DE102008011813A1 publication Critical patent/DE102008011813A1/de
Application granted granted Critical
Publication of DE102008011813B4 publication Critical patent/DE102008011813B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

Verfahren mit:
Bilden einer Gateelektrodenstruktur eines Transistors über einer Halbleiterschicht, wobei die Gateelektrodenstruktur eine dielektrische Schicht mit großem ε, ein metallenthaltendes Material, das auf der dielektrischen Schicht mit großem ε ausgebildet ist, und ein Maskenmaterial, das über dem metallenthaltenden Material gebildet ist, aufweist;
Bilden von Drain- und Sourcegebieten in der Halbleiterschicht unter Anwendung der Gateelektrodenstruktur als eine Implantationsmaske;
Entfernen zumindest eines Teils des Maskenmaterials der Gateelektrodenstruktur, um eine Höhe der Gateelektrodenstruktur zu verringern; und
Bilden einer verformungsinduzierenden dielektrischen Schicht über den Drain- und Sourcegebieten und der Gateelektrodenstruktur mit der geringeren Höhe, wobei die verformungsinduzierende dielektrische Schicht eine Verformung in einem Kanalgebiet des Transistors hervorruft.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Offenbarung die Herstellung moderner integrierter Schaltungen mit Transistorelementen mit geringen Abmessungen mit Gatestrukturen, die eine erhöhte Kapazität aufweisen und ein Gatedielektrikum mit großem ε mit erhöhter Permittivität im Vergleich zu konventionellen Gatedielektrika, etwa Siliziumdioxid und Siliziumnitrid aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPU's, Speicherbauelementen, ASICS (anwendungsspezifische integrierte Schaltungen) und dergleichen erfordert das Ausbilden einer großen Anzahl von Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einer spezifizierten Schaltungsanordnung, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die im Wesentlichen das Leistungsverhalten der integrierten Schaltungen bestimmen. Im Allgemeinen werden eine Vielzahl von Prozesstechnologien aktuell eingesetzt, wobei für viele Arten von komplexen Schaltungen mit Feldeffekttransistoren die MOS-Technologie aktuell eine der vielversprechendsten Lösungen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der MOS-Technologie werden Millionen Transistoren, beispielsweise n-Kanaltransistoren und/oder p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Sourcegebiete bezeichnet werden, mit einem leicht dotierten oder nicht-dotierten Gebiet gebildet wird, etwa einem Kanalgebiet, das benachbart zu den stark dotierten Gebieten ausgebildet ist. In einem Feldeffekttransistor wird die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht bei Anliegen der Steuerspannung an der Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets im Wesentlichen das Leistungsverhalten von MOS-Transistoren. Da die Geschwindigkeit des Erzeugens des Kanals, die von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand im Wesentlichen die Transistoreigenschaften bestimmen, ist somit das Verringern der Kanallänge und damit verknüpft die Verringerung des Kanalwiderstands und ein Anwachsen des Gatewiderstands ein wesentliches Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Gegenwärtig wird der Großteil der integrierten Schaltungen auf Grundlage von Silizium hergestellt auf Grund seiner im Wesentlichen unbegrenzten Verfügbarkeit, der gut verstandenen Eigenschaften des Siliziums und zugehöriger Materialien und Prozesse, und auf Grund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt mit hoher Wahrscheinlichkeit Silizium das Material der Wahl für künftige Schaltungsgenerationen, die für die Massenproduktion vorgesehen sind. Ein Grund für die überragende Bedeutung von Silizium bei der Herstellung von Halbleiterbauelementen waren die guten Eigenschaften der Grenzfläche zwischen Silizium/Siliziumdioxid, die eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxid-Grenzfläche ist bei hohen Temperaturen stabil und ermöglicht daher das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise während des Ausheizens erforderlich sind, um Dotiermittel zu aktivieren und Kristallschäden auszuheilen, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen.
  • Aus den zuvor angeführten Gründen wird Siliziumdioxid vorzugsweise als eine Gateisolationsschicht in Feldeffekttransistoren eingesetzt, die die Gateelektrode, die häufig aus Polysilizium oder metallenthaltenden Materialien hergestellt ist, von dem Siliziumkanalgebiet trennt. Beim ständigen Verbessern des Leistungsverhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets zunehmend verringert, um damit die Schaltgeschwindigkeit und den Durchlassstrom zu verbessern. Da das Transistorleistungsverhalten durch die Spannung gesteuert wird, die an die Gateelektrode angelegt wird, um die Oberfläche des Kanalgebiets mit einer ausreichend hohen Ladungsdichte zu invertieren, um somit den gewünschten Durchlassstrom für eine gegebene Versorgungsspannung zu erreichen, ist ein gewisses Maß an kapazitiver Ankopplung, die durch den Kondensator bereitgestellt wird, erforderlich, der durch die Gateelektrode, das Kanalgebiet und das dazwischen angeordnete Siliziumdioxid gebildet wird. Es zeigt sich dass das Reduzieren der Kanallänge eine höhere kapazitive Kopplung benötigt, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer Abhängigkeit der Schwellwertspannung bzw. Einsetzspannung von der Kanallänge führen. Aggressiv größenreduzierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit einer geringen Schwellwertspannung zeigen eine exponentielle Zunahme des Leckstroms, wobei auch eine größere kapazitive Kopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Somit muss die Dicke der Siliziumdioxidschicht entsprechend verringert werden, um die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu erreichen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid, das eine Dicke von ungefähr 1,2 nm aufweist. Obwohl im Allgemeinen Hochgeschwindigkeitstransistorelemente mit einem äußerst kurzen Kanalgebiete, vorzugsweise für eine Hochgeschwindigkeitsanwendung eingesetzt werden, wohingegen Transistorelemente mit einem längeren Kanal für weniger kritische Anwendungen verwendet werden, etwa als Speichertransistoren, kann der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxid-Gateisolationsschicht hervorgerufen wird, für eine Oxiddicke im Bereich von 1 bis 2 nm Werte erreichen, die nicht mit den Erfordernissen für Schaltungen mit hohem Leistungsverhalten kompatibel sind.
  • Daher wurde das Ersetzen von Siliziumdioxid als Material für Gateisolationsschichten in Erwägung gezogen, insbesondere für äußerst dünne Siliziumdioxidgateschichten. Mögliche alternative Materialien sind solche, die eine deutlich größere Permittivität aufweisen, so dass eine physikalische größere Dicke einer entsprechend hergestellten Gateisolationsschicht eine kapazitive Kopplung bietet, die durch eine sehr dünne Siliziumdioxidschicht erreicht würde. Üblicherweise wird eine Dicke, die zum Erreichen einer spezifizierten kapazitiven Kopplung mit Siliziumdioxid erforderlich ist, als eine Kapazitätsäquivalenzdicke (CET) bezeichnet. Zunächst erscheint es so, dass das einfache Ersetzen des Siliziumdioxids durch Materialien mit großem ε eine naheliegende Entwicklung ist, um damit eine Kapazitätsäquivalenzdicke im Bereich von 1 nm oder weniger zu erreichen.
  • Es wurde daher vorgeschlagen, Siliziumdioxid durch Materialien mit großer Permittivität, etwa Tantaloxid (Ta2O5) mit einem ε von ungefähr 25 durch Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 150, durch Hafniumoxid (HfO2), HfSiO, Zirkonoxid (ZrO2) und dergleichen zu ersetzen.
  • Des weiteren kann das Transistorleistungsverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gateelektrode verwendet wird, um damit das für gewöhnlich verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gatedielektrikum zeigt, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Es würde somit ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine höhere Kapazität auf der Grundlage der gleichen Dicke wie eine Siliziumdioxidschicht sorgt, während zusätzlich Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits kann das nicht-Polysiliziummaterial, etwa Titannitrid, und dergleichen, gebildet werden, um damit eine Verbindung zu dem dielektrischen Material mit großem ε herzustellen, wodurch im Wesentlichen das Vorhandensein einer Verarmungszone vermieden wird. Da typischerweise eine geringe Schwellwertspannung des Transistors, d. h. die Spannung, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, gewünscht ist, um hohe Durchlassströme zu erreichen, erfordert üblicherweise die Steuerbarkeit des jeweiligen Kanals ein ausgeprägtes laterales Dotierstoffprofil und Dotierstoffgradienten zumindest in der Nähe der pn-Übergänge. Daher werden sogenannte Halo-Gebiete üblicherweise durch Ionenimplantation hergestellt, um damit eine Dotierstoffsorte einzuführen, deren Leitfähigkeitsart der Leitfähigkeitsart des verbleibenden Kanals und des Halbleitergebiets entspricht, um damit den resultierenden pn-Übergangdotierstoffgradienten nach der Ausbildung jeweiliger Erweiterungs- und tiefer Drain- und Sourcegebiete zu „verstärken”. Auf diese Weise bestimmt die Schwellwertspannung des Transistors deutlich die Steuerbarkeit des Kanals, wobei eine deutliche Variabilität der Schwellwertspannung bei geringeren Gatelängen beobachtet werden kann. Somit kann durch Vorsehen eines geeigneten Halo-Implantationsgebiets die Steuerbarkeit des Kanals verbessert werden, wodurch auch die Schwankungen der Schwellwertspannungen verringert werden, was ansonsten auch als Schwellwert-Variabilität bezeichnet wird, und wobei auch deutliche Änderungen des Transistorverhal tens bei einer Änderung der Gatelänge verringert werden. Da die Schwellwertspannung der Transistoren im Wesentlichen durch die Austrittsarbeitsfunktion der metallenthaltenden Gatematerials bestimmt ist, muss eine geeignete Einstellung der effektiven Austrittsarbeit im Hinblick auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
  • Zusätzlich zum Vergrößern der kapazitiven Kopplung der Gateelektroden an das Kanalgebiet und die Gesamtverringerung des Gatewiderstands wurden weitere Verfahren entwickelt in dem Versuch, das Leistungsverhalten von Feldeffekttransistoren auf Siliziumbasis weiter zu verbessern. Ein vielversprechender Ansatz ist die Modifizierung der Gitterstruktur eines Siliziumkristalls, da durch geeignetes Erzeugen von Verformung in dem Kanalgebiet der Transistoren die Ladungsträgerbeweglichkeit, d. h. die Elektronenbeweglichkeit oder die Löcherbeweglichkeit, erhöht werden kann, wodurch sich ein erhöhter Durchlassstrom ergibt. Beispielsweise führt für eine standardmäßige Kristallkonfiguration des Siliziummaterials, d. h. eine (100) Oberflächenorientierung, wobei die Kanallängsrichtung entlang einer <110> Kristallachse orientiert ist, eine Zugverformung entlang der Transistorlängsrichtung zu einer erhöhten Elektronenbeweglichkeit, während eine kompressive Verformung entlang der Transistorlängsrichtung zu einem Zuwachs der Löcherbeweglichkeit führt. Folglich wurden eine Vielzahl von Prozesstechnologien entwickelt, um lokal eine gewünschte Art an Verformung bereitzustellen, um damit individuell das Transistorleistungsverhalten zu verbessern.
  • Ein effizienter Ansatz wird häufig eingesetzt und beinhaltet das Anordnen stark verspannter dielektrischer Materialien in der Nähe des Kanalgebiets nach der Fertigstellung der Transistorbasisstruktur. Beispielsweise kann ein Teil des dielektrischen Zwischenschichtmaterials, beispielsweise eine Ätzstoppschicht zur Strukturierung von Kontaktöffnungen in dem dielektrischen Zwischenschichtmaterial nahe an der Transistorstruktur angeordnet werden und kann daher als eine Quelle für eine mechanische Verspannung dienen, die dann in das Kanalgebiet übertragen wird, um damit die gewünschte Art an Verformung darin zu erzeugen. Die Art und die Größe des inneren Verspannungspegels in dem dielektrischen Material kann durch die Abscheideparameter gesteuert werden, wobei beispielsweise Siliziumnitrid effizient auf Grundlage plasmaunterstützter Abscheidetechniken mit einer hohen inneren kompressiven Verspannung oder Zugverspannung abgeschieden werden kann. Obwohl das Vorsehen verspannter dielektrischer Materialien über den einzelnen Transistorelementen für eine deutliche Leistungssteigerung sorgt, ist die Gesamteffizienz des verformungs induzierenden Mechanismus durch die Menge des dielektrischen Materials, das nahe an dem Kanalgebiet angeordnet ist, und dessen inneren Verspannungspegel bestimmt. Es zeigt sich jedoch, dass die Größe des inneren Verspannungspegels und die Menge des Materials, die abgeschieden wird, deutlich von den Abscheideeigenschaften der betrachteten Abscheidetechnik abhängen, wobei insbesondere für anspruchsvolle Bauteilgeometrien im Hinblick auf die Abscheidung Einschränkungen auferlegt werden, wodurch die Effizienz des verformungsinduzierenden Mechanismus begrenzt wird. Beispielsweise ist die ausgeprägte Oberflächentopographie, die in Transistorelementen mit minimalen Abmessungen erhalten wird, durch einen kleinen Abstand zwischen benachbarten Schaltungselementen und die Höhe der Gateelektrodenstrukturen bestimmt, die wiederum durch die Ionenblockierwirkung während der Bildung der Drain- und Sourcegebiete im Wesentlichen bestimmt ist. Obwohl effiziente Prozessverfahren verfügbar sind, um das Transistorleistungsverhalten zu verbessern, etwa durch Reduzieren des Gatewiderstands, Erhöhen der kapazitiven Kopplung, Verbessern der Ladungsträgerbeweglichkeit in dem Kanalgebiet, zeigt sich, dass konventionelle Prozesstechniken unter Umständen nicht kompatibel sind mit einem signifikanten Erhöhen der Wirkung eines oder mehrerer dieser Mechanismen, ohne dass zu einer Beeinträchtigung im Leistungsverhalten im Hinblick auf einen oder mehrere der anderen Mechanismen beigetragen wird.
  • Die Patentanmeldung DE 10 2007 041 207 A1 offenbart Metallgateaustauschtechniken für CMOS-Bauelemente mit Geteisolationsshichten mit unterschiedlicher Art und Dicke.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Verfahren und Halbleiterbauelemente, die darauf abzielen, das Transistorleistungsverhalten zu verbessern, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in ihrer Auswirkung reduziert werden.
  • Überblick über die vorliegende Erfindung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand Halbleiterbauelemente und Verfahren zur Herstellung derselben, in denen anspruchsvolle Gateelektroden in Verbindung mit verbesserten verformungsinduzierenden Mechanismen eingesetzt werden, indem die Gatehöhe vor dem Abscheiden des verspannten dielektrischen Materials verringert wird, ohne dass der Vorgang des Herstellens der Drain- und Sourcegebiete negativ beeinflusst wird und ohne dass in unerwünschter Weise zu einer geringeren Gateleitfähigkeit beigetragen wird. Zu diesem Zweck wird in einigen anschaulichen Aspekten ein Metallgatestapel mit einem dielektrischen Material mit großem ε in Verbindung mit einem Masken material vorgesehen, um damit die Ionenblockiereigenschaft vorzusehen, die während der Herstellung tiefer Drain- und Sourcegebiete erforderlich sind, wobei zumindest ein Teil des Maskenmaterials während einer beliebigen geeigneten Fertigungsphase vor dem Vorsehen eines verspannten dielektrischen Materials entfernt wird, das auf der Grundlage somit einer weniger ausgeprägten Oberflächentopographie aufgebracht werden kann, wobei auch das verspannte dielektrische Material näher an dem Kanalgebiet angeordnet werden kann.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Gateelektrode eines Transistors über einer Halbleiterschicht, wobei die Gateelektrode eine dielektrische Schicht mit großem ε, ein metallenthaltendes Material, das in der dielektrischen Schicht mit großem ε ausgebildet ist, und ein Maskenmaterial, das über den metallenthaltenden Material gebildet ist, aufweist. Das Verfahren umfasst ferner das Bilden von Drain- und Sourcegebieten in der Halbleiterschicht, wobei die Gateelektrode als eine Implantationsmaske dient, und Entfernen zumindest eines Teils des Maskenmaterials der Gateelektrode, um eine höhere Gateelektrode zu reduzieren. Des weiteren umfasst das Verfahren das Bilden einer verformungsinduzierenden dielektrischen Schicht über den Drain- und Sourcegebieten und der Gatelektrode mit der geringeren Höhe, wobei die verformungsinduzierende dielektrische Schicht eine Verformung in einem Kanalgebiet des Transistors erzeugt.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Gateelektrode eines ersten Transistors über einer Halbleiterschicht, wobei die erste Gateelektrode ein dielektrisches Material mit großem ε und ein erstes metallenthaltendes Material aufweist. Des weiteren umfasst das Verfahren das Bilden einer zweiten Gateelektrode eines zweiten Transistors, wobei die zweite Gateelektrode ein dielektrisches Material mit großem ε und ein zweites metallenthaltendes Material aufweist. Es werden Drain- und Sourcegebiete des ersten und des zweiten Transistors in der Halbleiterschicht gebildet, indem Dotierstoffsorten implantiert werden und indem die erste und die zweite Gateelektrode als Implantationsmaske verwendet werden. Des weiteren umfasst das Verfahren das Reduzieren einer Höhe der ersten und der zweiten Gateelektrode nach dem Bilden der Drain- und Sourcegebiete und Bilden einer ersten verformungsinduzierenden Schicht über der ersten Gateelektrode mit der geringeren Höhe und einer zweiten verformungsinduzierenden Schicht über der zweiten Gateelektrode mit der geringeren Höhe, wobei die erste und die zweite verformungsinduzierende Schicht eine unterschiedliche Art an Verformung hervorrufen.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst einen ersten Transistor mit einer Gateelektrode, die ein erstes Gatedielektrikumsmaterial mit großem ε und ein metallenthaltendes Elektrodenmaterial, das auf dem dielektrischen Material mit großem ε ausgebildet ist, aufweist. Der Transistor umfasst ferner Drain- und Sourcegebiete, die in einer Halbleiterschicht gebildet sind, wobei auch ein verformungsinduzierendes dielektrisches Material auf dem metallenthaltenden Elektrodenmaterial gebildet ist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1e schematisch Querschnittsansichten eines Transistors während diverser Fertigungsphasen zeigen, wobei ein Metallgate mit einem dielektrischen Material mit großem ε in seiner Höhe nach der Herstellung von Drain- und Sourcegebieten reduziert wird, um damit die Verformungswirkung eines dielektrischen Materials gemäß anschaulicher Ausführungsformen zu verbessern;
  • 1f schematisch eine Querschnittsansicht eines Transistors während einer Zwischenfertigungsphase zeigt, wobei ein Füllmaterial zusätzlich verwendet wird, um eine erhöhte Verformung in dem Kanalgebiet gemäß noch anderer anschaulicher Ausführungsformen zu schaffen;
  • 1g und 1h schematisch den Transistor während diverser Fertigungsphasen zeigen, wobei Metallsilizidgebiete in unterschiedlichen Höhenzuständen der Gateelektrode gemäß noch weiterer anschaulicher Ausführungsformen vorgesehen sind; und
  • 2a bis 2g schematisch Querschnittsansichten eines Halbleiterbauelements mit Transistoren unterschiedlicher Leitfähigkeitsart während diverser Fertigungsphasen zeigen, wobei Metallgates mit einem dielektrischen Material mit großem ε mit geringerer Höhe in Verbindung mit verspannungsinduzierenden Schichten gemäß noch weiteren anschaulichen Ausführungsformen gebildet werden.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung betrifft Verfahren und Bauelemente, in denen modernste Gateelektrodenstrukturen mit einem dielektrischen Material mit großem ε und einem metallenthaltenden leitenden Material in einer reduzierten Höhe gebildet werden, wobei dennoch die erforderliche Ionenblockierwirkung während der Implantationsprozesse zum Bilden der Drain- und Sourcegebiete erreicht wird. Zu diesem Zweck wird in anschaulichen hierin offenbarten Ausführungsformen zunächst der Metallgatestapel auf der Grundlage eines geeigneten Maskenmaterials, etwa Polysilizium, hergestellt, das dann während einer geeigneten Fertigungsphase nach den Drain- und Sourceimplantationsprozessen entfernt wird, wobei in einigen anschaulichen Ausführungsformen ein Material zum Steuern des Abtragungsprozesses vorgesehen wird, beispielsweise in einer Form einer CMP(chemisch-mechanisches Einebnungs-)Stoppschicht oder einer Ätzstoppschicht, wodurch für eine insgesamt verbesserte Prozessgleichmäßigkeit gesorgt wird, ohne dass im Wesentlichen die Integrität des metallenthaltenden Elektrodenmaterials beeinflusst wird. In einigen Aspekten wird ein effizientes Entfernen des Maskenmaterials erreicht, indem zusätzlich ein Füllmaterial vorgesehen wird, das in seiner Höhe gemeinsam mit dem Maskenmaterial verringert werden kann, wodurch ein gewünschtes hohes Maß an mechanischer Integrität während des Materialabtragungsprozesses erreicht wird. In diesem Falle sorgt die Steuerschicht für eine geeignete Festlegung eines Endpunkts des Abtragungsprozesses und kann auch vorteilhaft in einer nachfolgenden Fertigungsstufe eingesetzt werden, etwa dem Entfernen des verbleibenden Füllmaterials, der Herstellung von Metallsilizidgebieten, und dergleichen. Somit kann auf der Grundlage der reduzierten Gatehöhe, die im Wesentlichen den Gesamtgatewiderstand auf Grund der hohen Leitfähigkeit des metallenthaltenden E lektrodenmaterials nicht negativ beeinflusst, die resultierende Oberflächentopographie ein Abscheiden eines stark verspannten dielektrischen Materials auf Grundlage deutlich weniger anspruchsvoller Abscheidebedingungen ermöglichen, wobei auch das Material näher an dem Kanalgebiet des Transistors angeordnet wird.
  • Ferner wird in einigen hierin offenbarten anschaulichen Aspekten das Füllmaterial oder zumindest ein Teil davon vorteilhaft zum Hervorrufen einer zusätzlichen Verformung in dem Kanalgebiet verwendet, indem gewisse Transistoren, etwa n-Kanaltransistoren, in Anwesenheit des Füllmaterials geeignet ausgeheizt werden, was zu einem verformten Wiederaufwachsen der Drain- und Sourcebereiche führt, wodurch eine weitere Verbesserung des Transistorverhaltens ermöglicht wird. Folglich kann die geringere Gatehöhe die Abscheidebedingung und damit die Gesamteffizienz in dem verformungsinduzierenden Mechanismus in Verbindung mit der Reduzierung der Abschirmkapazität der Gateelektrode verbessern, ohne dass im Wesentlichen der Reihenwiderstand der Gateelektrode negativ beeinflusst wird. Durch geeignetes Gestalten des Gesamtprozessablaufs können zusätzliche Verspannungsgedächtnisverfahren eingesetzt werden, ohne dass die Prozesskomplexität zunimmt.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, auf dem eine Halbleiterschicht 102 ausgebildet ist, beispielsweise eine Siliziumschicht, eine siliziumenthaltende Schicht, und dergleichen, die ausgebildet ist, die Herstellung von Transistorelementen darin und darüber zu ermöglichen. Beispielsweise werden, wie zuvor erläutert ist, komplexe integrierte Schaltungen überwiegend auf Grundlage von Silizium auf Grund der gut verstandenen Eigenschaften und der hohen Verfügbarkeit hergestellt. Es sollte jedoch beachtet werden, dass eine siliziumenthaltende Halbleiterschicht als ein Material zu verstehen ist, in der auch andere Komponenten eingebaut sind, etwa Germanium, Kohlenstoff, Zinn, ein variierendes Maß an Dotierstoffsorten oder Verunreinigungsmaterialien in Abhängigkeit von den gesamten Prozess- und Bauteilerfordernissen. In einigen anschaulichen Ausführungsformen ist die Halbleiterschicht 102 auf einer vergrabenen isolierenden Schicht (nicht gezeigt), gebildet, wodurch eine SOI-(Halbleiter- oder Silizium-auf-Isolator-)Konfiguration definiert wird, während in anderen Fällen die Halbleiterschicht 102 einen oberen Bereich eines im Wesentlichen kristallinen Materials des Substrats 101 repräsentiert. In noch anderen Fällen wird eine SOI-Konfiguration und eine „Vollsubstrat”-Konfiguration, d. h. eine Konfiguration, in der eine Dicke der Halbleiterschicht 102 wesentlich größer ist als die Tiefe von darin ausgebildeten Schaltungselementen, gemeinsam in unterschiedlichen Bauteilgebieten des Bauelements 100 vorgesehen.
  • Des weiteren umfasst die Halbleiterschicht 102 ein aktives Gebiet 102a, das als ein geeignet dotiertes Halbleitergebiet zu verstehen ist, um darin und darüber entsprechende Transistorelemente mit Drain- und Sourcegebieten und einem Kanalgebiet zu bilden. In der in 1a gezeigten Fertigungsphase umfasst das Bauelement 100 einen Transistor 150 mit einer Gateelektrode 160, die eine Gateisolationsschicht 161 aufweist, die die Gateelektrode 160 elektrisch von einem Kanalgebiet 151 isoliert. Die Gateisolationsschicht 161 weist ein dielektrisches Material mit großem ε auf, das als ein Material zu verstehen ist, das eine relative Permittivität von 10 oder höher aufweist. Beispielsweise besitzt die Gateisolationsschicht 161 ein oder mehrere der zuvor beschriebenen Materialien, etwa dielektrische Materialien auf Hafniumbasis oder Zirkonbasis, wodurch die Kapazität zwischen der Gateelektrode 160 und dem Kanalgebiet 151 bei einer ähnlichen Dicke im Vergleich zu konventionell verwendetem Siliziumdioxidgatedielektrika deutlich vergrößert wird. Beispielsweise wird die Gateisolationsschicht 161 mit einer Dicke von ungefähr 15 bis 25 Angstrom für äußerst anspruchsvolle Anwendungen vorgesehen.
  • Die Gateelektrode 160 umfasst ferner eine metallenthaltendes Material 162, wobei zumindest ein Teil davon, etwa ein Bereich 162a, der mit der Gateisolationsschicht 161 in Berührung ist, eine erste Austrittsarbeit aufweist, die so eingestellt ist, dass das Fermi-Niveau im Hinblick auf die Bandlücke des dotierten Siliziummaterials in dem Kanalgebiet 151 geeignet positioniert ist. Wenn somit der Transistor 150 einen n-Kanaltransistor repräsentiert, besitzt der erste Bereich 162a ein Fermi-Niveau in der Nähe der oberen Bandlückenkante, was beispielsweise erreicht werden kann, indem der erste Bereich 162a in Form einer Legierung eines Metalls vorgesehen wird, das beispielsweise in einem zweiten Bereich 162b enthalten ist und das zum Erzeugen einer geeigneten Austrittsarbeit für einen p-Kanaltransistor geeignet ist. Es sollte jedoch beachtet werden, dass in anderen Fällen das metallenthaltende Material 160 eine im Wesentlichen konforme Metallkomponente repräsentiert, wenn die Austrittsarbeit das gewünschte Fermi-Niveau hervorruft. Durch geeignetes Auswählen der Austrittsarbeit des Materials 162 oder zumindest des Bereichs 162a kann die Schwellwertspannung des Transistors 150 auf einem geringen Pegel gehalten werden, wodurch die Möglichkeit geschaffen wird, die Kanalsteuerung auf der Grundlage von beispielsweise Halo-Implantationsprozessen zu verbessern, wie dies zuvor erläutert ist.
  • Die Gateelektrode 160 umfasst ferner ein Maskenmaterial 163, das in einigen anschaulichen Ausführungsformen in Form eines Polysiliziummaterials vorgesehen ist, wodurch ein hohes Maß an Kompatibilität mit konventionellen Fertigungstechniken für die Herstellung von Metallgates gesorgt wird. In anderen anschaulichen Ausführungsformen wird das Maskenmaterial 163 in Form eines beliebigen anderen geeigneten Materials vorgesehen, etwa von dielektrischen Materialien in Form von Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Kohlenstoffmaterial und dergleichen, abhängig von der Gesamtprozessstrategie. In einer anschaulichen Ausführungsform umfasst das Maskenmaterial 163 eine Materialschicht 163a, die so angeordnet ist, dass ein Bereich 163b des Maskenmaterials 163 von dem eigentlichen Elektrodenmaterial 162 getrennt ist, wobei die Materialschicht 163a, die auch als Abtragungssteuerschicht bezeichnet werden kann, unterschiedliche Materialeigenschaften im Vergleich zu dem Bereich 163b aufweisen kann, um damit die Steuerung eines Abtragungsprozesses für den Bereich 163b in einer späteren Fertigungsphase zu ermöglichen. Beispielsweise ist der Bereich 163b aus Polysilizium aufgebaut, während die Materialschicht 163a aus Siliziumdioxid, Siliziumnitrid und dergleichen aufgebaut ist. Folglich kann beim Entfernen des Bereichs 163b, beispielsweise durch CMP(chemisch-mechanisches Einebnen oder Polieren) und/oder durch Ätzprozesse die Schicht 163a für die gewünschten Stoppeigenschaften oder zumindest Endpunkterkennungseigenschaften sorgen, um damit die Gesamtgleichmäßigkeit während des Entfernens des Bereichs 163b zu verbessern, ohne im Wesentlichen die Integrität des Elektrodenmaterials 162 zu beeinträchtigen. Im Allgemeinen kann das Maskenmaterial 163 beispielsweise in Form der Bereiche 163b und 163a für eine ausreichende Gatehöhe sorgen, um damit eine unerwünschte Dotierung des Kanalgebiets 151 während der Herstellung der Drain- und Sourcegebiete in dem aktiven Gebiet 102a im Wesentlichen zu vermeiden. Ferner umfasst in der gezeigten Fertigungsphase die Gateelektrode 160 einen geeignet gestalteten Versatzabstandshalter 164, der beispielsweise in Form von einem Siliziumdioxidmaterial mit einer geeigneten Breite vorgesehen ist, um damit einen Abstand von Drain- und Sourceerweiterungsgebieten 152e einzustellen, die auf Grundlage eines Implantationsprozesses 103 gebildet werden.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann gemäß den folgenden Prozessen hergestellt werden. Zunächst werden in Grabenisoltionsstrukturen (nicht gezeigt) auf Grundlage gut etablierter Techniken hergestellt, wozu Lithographie-, Ätz- und Abscheideprozesse gehören. Anschließend werden geeignete Implantationsprozesse ausgeführt, um das aktive Gebiet 102a zu bilden, beispielsweise in Bezug auf die Kanaldotierung und dergleichen. Als nächstes wird das dielektrische Material mit großem ε auf Grundlage geeigneter Abscheidetechniken hergestellt, wobei eine Schichtdicke innerhalb des oben spezifizierten Bereichs für anspruchsvolle Bauelemente beibehalten wird. Danach wird das metallenthaltende Material 162 etwa auf der Grundlage von CVD (chemische Dampfabscheidung), PVD (physikalische Dampfabscheidung) und dergleichen aufgebracht. Z. B. wird in einem ersten Schrittmaterial des Bereichs 162a abgeschieden und wird in geeigneter Weise behandelt, um damit die gewünschten elektronischen Eigenschaften vorzusehen, wie dies zuvor erläutert ist. Da in anderen Bauteilgebieten andere Eigenschaften erforderlich sein können, können weitere Materialien abgeschieden werden, beispielsweise etwa der Bereich 162b und dergleichen, wobei ebenfalls eine im Wesentlichen ebene Oberflächentopographie geschaffen wird, um die weitere Bearbeitung des Bauelements 100 zu verbessern. Anschließend wird das Maskenmaterial 163 abgeschieden, wobei in einer anschaulichen Ausführungsform die Materialschicht 163a so vorgesehen wird, das dieses unterschiedliche Materialeigenschaften im Vergleich zum 163b aufweist. Beispielsweise können Siliziumnitrid, Siliziumdioxid, Siliziumoxinitrid oder andere geeignete Materialien, die die erforderlichen CMP-Stoppeigenschaften oder Ätzstoppeigenschaften aufweisen, abgeschieden werden, woran sich das Abscheiden des Materials 163b anschließt, etwa in Form von Polysilizium, wodurch ein hohes Maß an Kompatibilität mit konventionellen Prozesstechniken erreicht wird. In anderen Ausführungsformen werden andere Materialien, etwa Siliziumdioxid, Siliziumnitrid und dergleichen für den Bereich 163b eingesetzt, während das Material 163a sich davon in der Materialzusammensetzung unterscheidet, um die gewünschten Stopp- oder Steuereigenschaften zu erreichen. Somit besitzt der resultierende Gateschichtstapel eine Höhe, wie sie für die Herstellung tiefer Drain- und Sourcebereiche in einer späteren Fertigungsphase als geeignet erachtet wird.
  • Als nächstes wird der Gateschichtstapel strukturiert, indem moderne Lithographietechniken eingesetzt werden, wobei beispielsweise das Maskenmaterial 163 oder ein Teil davon, etwa der Bereich 163b als ein Hartmaskenmaterial verwendet wird, das auf der Grundlage einer Lackmaske strukturiert wird, beispielsweise durch gut etablierte Siliziumätztechniken, um damit den Bereich 163b zu schaffen, wenn dies aus Polysilizium aufgebaut ist, wobei die Materialschicht 163a als eine effiziente Ätzstoppschicht dient. Danach wird die Ätzchemie in geeigneter Weise so gewählt, dass die Schicht 163a und das metallenthaltende Material 162 geätzt werden. Schließlich wird das dielektrische Material mit großem ε strukturiert, um die Gateisolationsschicht 161 zu erhalten. Danach werden die Versatzabstandshalterelemente 164 durch Abscheiden und anisotropes Ätzverfahren gebildet, worauf sich der Implantationsprozess 103 anschließt. Es sollte beachtet werden, dass weitere Implantationsprozesse ausgeführt werden können, beispielsweise um ein Halo-Gebiet zu bilden, wie dies zuvor erläutert ist.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst der Transistor eine Abstandshalterstruktur 154, die an dem Versatzabstandshalter 164 gebildet ist, wobei ein oder mehrere einzelne Abstandshalterelemente, etwa Abstandshalter 154b, vorgesehen sind in Verbindung mit einem geeigneten Ätzstoppmaterial 154a. Die Abstandshalterstruktur 154 definiert das laterale Profil der Drain- und Sourcegebiete 152, indem die Struktur als eine Implantationsmaske zusammen mit der verbleibenden Gateelektrode 160 dient, wobei dessen Höhe ausreichend ist, um einen unerwünschten Einbau von Dotierstoffsorten in das Kanalgebiet 151 im Wesentlichen zu vermeiden. Es sollte beachtet werden, dass die Abstandshalterstruktur 154 eine beliebige geeignete Konfiguration während der diversen Fertigungsphasen aufweist, um damit zwischenzeitliche Implantationsprozesse zu ermöglichen, etwa den Prozess 104, um damit schließlich das gewünschte laterale und vertikale Profil der Drain- und Sourcegebiete 152 zu erreichen.
  • 1c zeigt schematisch das Halbleiterbauelement 100 während eines Abtragungsprozesses 105, der gestaltet ist, die Höhe der Gateelektrode 160 zu verringern. In der gezeigten Ausführungsform ist ein Füllmaterial 106 vorgesehen, um zumindest die Gateelektrode 160 lateral einzuschließen, um damit die mechanische Integrität der Gateelektrode 160 während des Abtragungsprozesses 105 zu verbessern. In einigen anschaulichen Ausführungsformen wird ein beliebiges geeignetes Material vorgesehen, wodurch ein gesteuertes Abtragen zumindest eines Bereichs des Maskenmaterials 163 möglich ist. Beispielsweise können Siliziumdioxid, Siliziumnitrid und dergleichen effizient auf der Grundlage von CMP-Techniken unter Anwendung gut etablierter Rezepte abgetragen werden, wobei nach einem Einebnungsprozess auch ein Teil der Gateelektrode 160 mit einem hohen Maß an Prozessgleichmäßigkeit entfernt werden kann. In anderen anschaulichen Ausführungsformen umfasst der Abtragungsprozess 105 einen Ätzprozess, beispielsweise zum Einebnen der Oberflächentopographie und zum Entfernen mindestens eines Teils des Füllmaterials 106 über der Gateelektrode 160.
  • Das Füllmaterial 106 kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik, etwa CVD, Aufschleuderverfahren und dergleichen gebildet werden. Wenn beispielsweise die mechanischen Eigenschaften eines Polymermaterials als geeignet für den Abtragungsprozess 105 erachtet werden, können effiziente Aufschleuderverfahren eingesetzt werden, um eine wenig ausgeprägte Oberflächentopographie nach dem Vorsehen des Füllmaterials 106 zu erreichen, das dann in sehr effizienter Weise durch CMP eingeebnet werden kann, wobei ebenfalls ein Teil des Maskenmaterials 163 abgetragen wird. Wie zuvor erläutert ist, kann in einigen anschaulichen Ausführungsformen die Materialschicht 163a für geeignete Stopp- oder Steuereigenschaften während des Abtragungsprozesses 105 sorgen, beispielsweise durch geeignetes Verlangsamen der Abtragungsrate beim Freilegen der Schicht 163a, wodurch für ein gewisses Maß an Gleichmäßigkeit über das Substrat hinweg gesorgt wird. Beispielsweise sind selektive CMP-Rezepte für Silizium, Siliziumnitrid in Bezug auf Siliziumdioxid oder für Silizium in Bezug auf Siliziumdioxid und dergleichen verfügbar.
  • 1d zeigt schematisch das Halbleiterbauelement 100 nach dem Abtragungsprozess 105, während welchem zumindest ein Teil des Maskenmaterials 163 entfernt wurde. Folglich besitzt die Gateelektrode 160 nunmehr eine deutlich geringere Höhe, die nunmehr als Gateelektrode 160r bezeichnet ist. In ähnlicher Weise umschließt in der gezeigten Fertigungsphase der verbleibende Teil des Füllmaterials 106, der als 106r gekennzeichnet ist, die Gateelektrode 160r. Des weiteren ist in der gezeigten Ausführungsform in 1d die Stopp- oder Steuermaterialschicht 163a weiterhin vorhanden, jedoch mit einer geringeren Dicke, abhängig von der Gesamtprozesseigenschaften des Abtragungsprozesses 105 und den Stopp- oder Steuereigenschaften der Schicht 163a. Beispielsweise wird die Schicht 163a mit einer ausreichenden Dicke vorgesehen, so dass nach deren Freilegen eine erforderliche Nachätz- oder Nachpolierzeit nicht zu einem Freilegen des darunter liegenden metallenthaltenden Materials 162 auf Grund der geringeren Abtragungsrate der Schicht 163a führt. Folglich kann während des Prozesses 105 und auch während der weiteren Bearbeitung die Integrität des Materials 162 beibehalten werden. Somit wird in einigen anschaulichen Ausführungsformen das verbleibende Material 106r auf der Grundlage eines geeigneten selektiven Ätzprozesses entfernt, wobei die Schicht 163a für die gewünschte Integrität des darunter liegenden metallenthaltenden Materials 162 sorgt. Anschließend wir die Schicht 163a beispielsweise durch ein geeignet ausgewähltes chemisches Ätzrezept entfernt, ohne dass das darunter liegende Material unnötig beeinflusst wird. In noch anderen anschaulichen Ausführungsformen wird nach dem Entfernen des Materials 106r Metallsilizid gebildet, wie dies nachfolgend detaillierter mit Bezug zu den 1d und 1h erläutert ist, wobei die Materialschicht 163a ebenfalls für eine erhöhte Prozesseffizienz auf Grund des Beibehaltens der Unversehrtheit des Gateelektrodenmaterials 162 sorgt. In einigen anschaulichen Ausführungsformen wird das verbleibende Material 106r selektiv zum Rest der Abstandshalterstruktur 154 entfernt, wobei das Füllmaterial 106 unterschiedliche Ätzeigenschaften im Vergleich zu der Abstandshalterstruktur 154 besitzt. In einer anderen anschaulichen Ausführungsform ist das Abstandshalterelement 154b aus im Wesentlichen den gleichem Material für das Füllmaterial 106 aufgebaut oder besitzt zumindest ähnliche Ätzeigenschaften, wodurch das gleichzeitig Abtragen des Restmaterials 106r und des Abstandshalterelements 154b möglich ist.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine verformungsinduzierende Schicht 130 über dem Transistor 157 und ebenfalls über der reduzierten Gateelektrode 160r gebildet, wobei ein interner Verspannungspegel der Schicht 130 so gewählt ist, dass eine gewünschte Art an Verformung in dem Kanalgebiet 151 hervorgerufen wird. Wie zuvor erläutert ist, ermöglicht während des Abscheidens der Schicht 130 eine deutlich geringere Oberflächentopographie weniger einschränkende Anforderungen bei den Abscheidebedingungen, wodurch das Vorsehen der Schicht 130 mit einer erhöhten Dicke möglich ist und somit auch die Größe der Verformung erhöht wird, die in dem Kanalgebiet 151 erreicht wird. Auf Grund der reduzierten Gatehöhe kann das verspannte Material der Schicht 130, das über der Gateelektrode 160r angeordnet ist, näher an dem Kanalgebiet 151 im Vergleich zu konventionellen Halbleiterbauelementen angeordnet werden, in denen ein wesentlicher Teil des Polysiliziummaterials immer noch vorhanden ist. In der in 1e gezeigten anschaulichen Ausführungsform wurde das Abstandshalterelement 154e entfernt, beispielsweise während eines gemeinsamen Ätzprozesses zum Entfernen des Restmaterials 106r, wie dies zuvor erläutert ist, wodurch auch das stark verspannte Material der Schicht 130 mit einem geringeren lateralen Abstand im Hinblick auf das Kanalgebiet 151 angeordnet wird. Wie gezeigt kann auch die Materialschicht 163a vor dem Abscheiden der Schicht 130 entfernt werden, wenn ein noch weiterer verbesserter Verspannungsübertragungsmechanismus durch die Gatee lektrode 106r erwünscht ist. In anderen Fällen wird die Schicht 163a beibehalten und wird als Ätzstoppmaterial verwendet, um die Integrität während der Prozesssequenz zur Herstelldung der verformungsinduzierenden Schicht 130 zu bewahren.
  • Danach wird die weitere Bearbeitung fortgesetzt, indem ein weiteres dielektrisches Zwischenschichtmaterial abgeschieden und strukturiert wird, um einen Kontakt zu entsprechenden Transistorbereichen herzustellen, wie dies auch nachfolgend mit Bezug zu den 2a bis 2f erläutert ist.
  • 1f zeigt schematisch das Halbleiterbauelement 100 gemäß noch weiterer anschaulicher Ausführungsformen. Wie zuvor erläutert ist, wird ein effizienter verformungsinduzierender Mechanismus erreicht, beispielsweise für n-Kanaltransistoren, indem im Wesentlichen amorphisierte Bereiche in den Drain- und Sourcegebieten 152 bei Anwesenheit einer steifen Materialschicht rekristallisiert werden, was zu einem verformten Zustand des rekristallisierten Materials in den Drain- und Sourcegebieten 152 führt. Zu diesem Zweck wird ein geeignet gestalteter Amorphisierungsimplantationsprozess während einer geeigneten Phase vor dem Vorsehen des Füllmaterials 106 ausgeführt und zusätzliche Wärmbehandlungen werden vermieden, um die Drain- und Sourcegebiete 152 in einem im Wesentlichen amorphisierten Zustand zu halten, wie dies durch 152a angezeigt ist. Somit wird das Füllmaterial 106 mit geeigneten Materialeigenschaften vorgesehen, um damit eine Verringerung des Volumens des Bereichs 152a beim Rekristallisieren zu vermeiden, so dass der gewünschte verformte Zustand erhalten wird. Beispielsweise kann das Füllmaterial 106 in Form eines Siliziumnitridmaterials bereitgestellt werden.
  • Während eines Ausheizprozesses 107 wird ein verformter Zustand der Drain- und Sourcegebiete 152 erreicht, was auch zu einer entsprechenden Verformung in dem Kanalgebiet 151 führt, selbst wenn das Füllmaterial in einer späteren Fertigungsphase entfernt wird, wie dies zuvor beschrieben ist. Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen der Ausheizprozess 107 während einer späteren Fertigungsphase ausgeführt wird, beispielsweise wie dies in 1d gezeigt ist, so dass das Restmaterial 106r als das steife Material dienen kann, um damit den gewünschten verformten Zustand der Drain- und Sourcegebiete 152 zu erhalten. Somit kann die Gesamtverformung in dem Kanalgebiet 151, die durch die Schicht 130 erhalten wird, weiter erhöht werden, indem in geeigneter Weise der Ausheizprozess 107 innerhalb des Gesamtprozessablaufes angeordnet wird, möglicherweise in Verbindung mit einer entsprechenden Amorphisierungsimplantation, so dass das Füllmaterial 106 als ein steifes Material dient, wodurch eine Zunahme der Prozesskomplexität vermieden wird, wenn eine Verspannungsgedächtnistechnik für den Transistor 150 angewendet wird.
  • Mit Bezug zu den 1g und 1h werden weitere anschauliche Ausführungsformen beschrieben, in denen ein Silizidierungsprozess während diverser Fertigungsphasen in Abhängigkeit von der gesamten Prozessstrategie ausgeführt wird.
  • 1g zeigt schematisch das Bauelement 100 vor dem Abscheiden des Füllmaterials 106, wobei zusätzlich Metallsilizidgebiete 157 in den Drain- und Sourcegebieten bei Bedarf gebildet sind, wobei auch ein Metallsilizidgebiet 157 in dem Maskenmaterial 163 der Gateelektrode 160 vorgesehen ist, wenn das Maskenmaterial ein Polysiliziummaterial aufweist. Wenn somit ein Metallsilizid in den Drain- und Sourcegebieten 152 zu bilden ist, wird ein hohes Maß an Kompatibilität mit konventionellen Prozesstechniken erreicht, wobei zusätzlich die Materialschicht 163a für die Integrität des metallenthaltenden Materials 162 sorgt, wenn weitere Prozesse, die während der Herstellung der Metallsilizidgebiete 157 und eine spätere Fertigungsphase ausgeführt werden, zu einer zusätzlichen Metalldiffusion führen können. Obwohl gut etablierte Prozessstrategien angewendet werden, kann folglich dennoch eine erhöhte Integrität des Metalls in der Gateelektrode 160 erreicht werden.
  • 1h zeigt schematisch das Bauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen, beginnend von einer in 1d gezeigten Fertigungsphase, das Restmaterial 106r entfernt wurde, beispielsweise zusammen mit dem äußeren Abstandshalterelement 154b, während die Materialschicht 163a weiterhin auf der Oberseite der reduzierten Gateelektrode 160r vorhanden ist. Somit kann während des nachfolgenden Silizidierungsprozesses die Integrität des Materials 162 beibehalten werden, während die Metallsilizidgebiete 157 in einer selbstjustierten Weise in den Drain- und Sourcegebieten 152 gebildet werden. Danach wird die Schicht 163a bei Bedarf entfernt oder auch nicht, abhängig von der Prozessstrategie.
  • Mit Bezug zu den 2a bis 2g werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen ein Metallgate mit geringerer Höhe für Transistoren mit unterschiedlicher Leitfähigkeitsart vorgesehen wird, wobei auch verformungsinduzierende Schichten mit unterschiedlicher innerer Verspannung gebildet werden, wobei eine anfängliche Gatehöhe geeignet ausgewählt ist, so dass eine unerwünschte Kanaldotierung im Wesentlichen vermieden wird.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201, über welchem eine Halbleiterschicht 202 ausgebildet ist. Des weiteren ist eine Isolationsstruktur 208 in der Schicht 202 vorgesehen, wodurch ein erstes aktives Gebiet 202a und ein zweites aktives Gebiet 202b definiert sind. Ein Gatedielektrikum 261 mit einem dielektrischen Material mit großem ε ist auf den aktiven Gebieten 202a, 202b ausgebildet, woran sich ein oder mehrere metallenthaltende Elektrodenmaterialien anschließen, etwa ein Elektrodenmaterial 262a, das über dem ersten aktiven Gebiet 202a gebildet ist, während ein zweites Elektrodenmaterial 262b auf dem Material 262a und über dem zweiten aktiven Gebiet 202b ausgebildet ist. Im Hinblick auf die bislang beschriebenen Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind. In der gezeigten anschaulichen Ausführungsform sind die aktiven Gebiete 202a, 202b für das Erhalten von Transistorelementen unterschiedlicher Leitfähigkeitsart ausgebildet. Somit ist, wie zuvor erläutert ist, das Elektrodenmaterial 262a so vorgesehen, dass es eine geeignete Austrittsarbeit für das aktive Gebiet 202a aufweist, das beispielsweise einen n-Kanaltransistor repräsentiert, während das Material 262b eine geeignete Austrittsarbeit für das Gebiet 202b aufweist, das einen p-Kanaltransistor repräsentiert. Es sollte jedoch beachtet werden, dass die Anordnung der Elektrodenmaterialien 262a, 262b lediglich anschaulicher Natur ist und eine andere Konfiguration verwendet werden kann, indem beispielsweise die Materialien 262a, 262b selektiv über den jeweiligen aktiven Gebieten vorgesehen werden und/oder indem eine gemeinsame „Einebnungselektrodenmaterialschicht” über den Materialien 262a, 262b vorgesehen wird, um damit eine bessere Oberflächentopographie zu schaffen, und dergleichen. Beispielsweise kann in der gezeigten Ausführungsform das Material 262b gleichzeitig auch als ein Einebnungsmaterial dienen, das in einem nachfolgenden Prozessschritt etwa durch CMP eingeebnet wird, um damit eine im Wesentlichen ebene Oberflächentopographie zu schaffen, wobei die Materialien 262a, 262b über dem Gebiet 202a vorhanden sein können, während das Material 262b nur über dem Gebiet 202b vorgesehen ist.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Stopp- oder Steuermaterialschicht 263a als Teil eines Maskenmaterials 263 vorgesehen ist, das zusätzlich mindestens einen weiteren Bereich 262b mit einer anderen Materialzusammensetzung im Vergleich zu der Materialschicht 263a aufweist. Z. B. kann ein beliebiges geeignetes Material für die Bereiche 263a, 263b verwendet werden, solange entsprechende Steuer- oder Stoppeigenschaften im Hinblick auf einen Prozess zum Entfernen eines Teils des Materials 263 in einer späteren Phase erhalten werden. Das Material 263 kann auf der Grundlage von Prozesstechniken gebildet werden, wie sie zuvor mit Bezug zu dem Material 163 beschrieben sind.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, sind ein erster Transistor 250a beispielsweise ein n-Kanaltransistor, und ein zweiter Transistor 250b, etwa ein p-Kanaltransistor, so vorgesehen, dass sie die Gateelektroden 260 enthalten, die einen ähnlichen Aufbau aufweisen, wie dies zuvor mit Bezug zu der Gateelektrode 160 erläutert ist. Eine Abstandshalterstruktur 254 kann an Seitenwänden der Gateelektroden 260 ausgebildet sein, und Drain- und Sourcegebiete 252 sind in den jeweiligen aktiven Gebieten 202a, 202b gebildet.
  • Das Halbleiterbauelement 200 mit den Transistoren 250a, 250b kann auf der Grundlage ähnlicher Prozesstechniken hergestellt werden, wie sie zuvor beschrieben sind. D. h., die Gateelektroden 260 werden gemäß Prozesstechniken strukturiert, wie sie zuvor erläutert sind, woran sich das Herstellen der Abstandshalterstruktur 254 mit dazwischenliegenden Implantationsprozessen anschließt, um die Drain- und Sourcegebiete 252 zu bilden. In einer anschaulichen Ausführungsform ist, wie gezeigt, der Transistor 250b, der einen p-Kanaltransistor repräsentiert, in einem Zustand, in welchem die Drain- und Sourcegebiete 252 sich in einem im Wesentlichen kristallinen Zustand befinden. Zu diesem Zweck wurden die Drain- und Sourcegebiete 252 des Transistors 250b vor dem Bilden der tiefen Drain- und Sourcegebiete für den Transistor 250a mit einem nachfolgenden Ausheizprozess zum Rekristallisieren von durch Implantation hervorgerufenen Schäden gebildet. Andererseits wurde ggf. eine Amorphisierungsimplantation für den Transistor 250a ausgeführt, wodurch im Wesentlichen amorphisierte Bereiche 252a in den Drain- und Sourcebereichen 252 vor oder nach dem Einbau der eigentlichen Dotierstoffsorte erhalten werden. Somit können während der weiteren Bearbeitung die im Wesentlichen amorphisierten Bereiche 252a in einem verformten Zustand unter Anwendung eines Füllmaterials rekristallisiert werden, das auch zum Verringern einer Höhe der Gateelektroden 260 verwendet werden kann.
  • Zu diesem Zweck wird ein geeignetes Füllmaterial, etwa Siliziumnitrid, abgeschieden, so dass die Transistoren 250a, 250b umschlossen sind, und es wird ein Ausheizprozess ausgeführt, um die Bereiche 252a zu rekristallisieren, ohne dass im Wesentlichen das Dotierstoffprofil in dem Transistor 250b unerwünscht beeinflusst wird. Da die Drain- und Sourcegebiete 252 des Transistors 250b bereits in einem im Wesentlichen kristallinen Zustand sind, kann eine ausgeprägte Erzeugung von Verformung in diesem Falle vermieden werden. Andererseits wird eine deutliche Verformung in dem Transistor 250a erzeugt, wie dies zuvor erläutert ist. Zu geeigneten Ausheizverfahren gehören lasergestützte oder blitzlichtgestützte Ausheizprozesse, in denen die effektive Ausheizzeit moderat kurz ist, wodurch die Dotierstoffdiffusion auf einem geringen Niveau gehalten wird.
  • In anderen anschaulichen Ausführungsformen wird nach dem Abscheiden des Füllmaterials ein Abtragungsprozess ausgeführt, wie dies zuvor erläutert ist, um gleichzeitig einen Teil des Füllmaterials zu entfernen und auch die Gatehöhe zu reduzieren, wobei die Materialschicht 263a als ein effizientes Stoppmaterial verwendet wird.
  • 2d zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Prozesssequenz. Somit ist ein Füllmaterial 206r lateral benachbart zu den Gateelektrodenstrukturen 260 vorgesehen, die nunmehr eine reduzierte Höhe aufweisen, wie dies durch 260r angegeben ist. Ein Ausheizprozess 207 wird ausgeführt, um die im Wesentlichen amorphisierten Bereiche 252a zu rekristallisieren, um damit den gewünschten verformten Zustand der Drain- und Sourcegebiete 252 in dem Transistor 250a zu erhalten.
  • In anderen anschaulichen Ausführungsformen wird der Ausheizprozess 207 während einer beliebigen geeigneten Fertigungsphase vor der Herstellung des Füllmaterials 206r nach dem Entfernen davon ausgeführt, wenn eine zusätzliche Verformung in dem Transistor 250 nicht gewünscht ist. Danach kann die weitere Bearbeitung fortgesetzt werden, wie dies auch mit Bezug zu dem Bauelement 100 beschrieben ist. Beispielsweise wird das Füllmaterial 206r etwa in Kombination mit dem Entfernen eines Teils der Abstandshalterstruktur 254 abgetragen, wie dies zuvor erläutert ist.
  • 2e zeigt schematisch das Bauelement 200 nach der zuvor beschriebenen Prozesssequenz, wobei die Materialschicht 263a oder zumindest ein Teil davon die Integrität der darunter liegenden metallenthaltenden Materialien 262a, 262b bewahrt. Ferner unterliegt das Bauelement 200 einer Prozesssequenz zur Herstellung der Silizidgebiete, falls diese erforderlich sind, und zum Bilden von stark verspannten dielektrischen Materialien für jeweils die Transistoren 250a, 250b, um damit individuell das Leistungsverhalten zu verbessern.
  • 2f zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der Metallsilizidgebiete 257 in den Drain- und Sourcegebieten 252 gebildet sind, während die Schicht 263a im Wesentlichen eine Siliziderzeugung und eine Wechselwirkung von Materialkomponenten mit dem metallenthaltenden Materialien 262a, 262b vermeidet. Des weiteren ist eine verformungsinduzierende Schicht 230 über dem Transistor 250a gebildet, wodurch eine gewünschte Art an Verformung bereitgestellt wird, wobei die geringere Höhe der Gateelektroden 260r für verbesserte Abscheidebedingungen sowie für einen besseren verformungsinduzierenden Mechanismus sorgt. In ähnlicher Weise ist eine verformungsinduzierende Schicht 240 über dem Transistor 250b gebildet, um damit eine andere Art an Verformung zu erzeugen, so dass das Leistungsverhalten des Transistors 250b verbessert wird. Z. B. können gut etablierte Strukturierungsschemata eingesetzt werden, um die Schichten 230, 240 über den jeweiligen Transistoren 250a, 250b anzuordnen, was das Abscheiden einer der Schichten 230, 240 und ein entsprechendes Entfernen eines unerwünschten Bereichs davon mittels Lithographie und Ätztechniken beinhaltet. Somit kann während des Entfernens eines unerwünschten Bereichs der verspannungsinduzierenden Materialien die Schicht 263a für eine verbesserte Unversehrtheit des darunter liegenden Elektrodenmaterials sorgen. Danach wird die obere der Schichten 230, 240 abgeschieden und ein unerwünschter Teil davon wird durch Lithographie- und Ätzprozesstechniken entfernt. Es sollte beachtet werden, dass geeignete Ätzstopp- oder Ätzsteuermaterialien zusätzlich vorgesehen werden können, um damit den Gesamtprozessablauf zur Herstellung der Schichten 230, 240 zu verbessern.
  • 2g zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Fertigungsstadium, in welchem ein dielektrisches Zwischenschichtmaterial 245 über den verformungsinduzierenden Schichten 230, 240, beispielsweise in Form von Siliziumdioxid, und dergleichen vorgesehen ist. Des weiteren sind Kontaktelemente 246 vorgesehen, die sich durch das Material 245 und die Schichten 230, 240 erstrecken, um damit eine Verbindung zu Kontaktbereichen, etwa den Drain- und Sourcegebieten 252, oder den Gateelektroden 260r der Transistoren 250a, 250b herzustellen. Die Kontaktelemente 246 umfas sen ein geeignetes leitendes Material, etwa Wolfram, Kupfer, Aluminium, Nickel und dergleichen, möglicherweise mit geeigneten Barrierenmaterialien.
  • Das in 2g gezeigte Halbleiterbauelement 200 kann auf der Grundlage gut etablierter Prozesstechniken hergestellt werden, wobei zusätzlich die geringere Höhe der Gateelektroden 260 für eine verbesserte Prozessgleichmäßigkeit sorgt, da die diversen Höhenpegel für das Bilden der Kontaktöffnungen nicht so ausgeprägt sind wie in konventionellen Strategien, in denen eine deutlich größere Gatehöhe verwendet wird. Somit können nach dem Abscheiden des Materials 245 gut etablierte Lithographietechniken zum Strukturieren des Materials 245 eingesetzt werden, wobei die Schichten 230, 240 als Ätzstoppmaterialien verwendet werden. Danach können diese Schichten mittels eines geeigneten Prozesses geöffnet werden, wobei auch die Schicht 263 in einer abschließenden Phase des Ätzprozesses geöffnet wird.
  • Es gilt also: Die vorliegende Erfindung stellt Techniken zum Verbessern des Transistor-leistungsverhaltens bereit, indem moderne Metallgatestrukturen in Verbindung mit verformungsinduzierenden dielektrischen Schichten vorgesehen werden, wobei eine Gatehöhe nach dem Bilden der tiefen Drain- und Sourcegebiete effizient verringert wird, um damit einen verformungsinduzierenden Mechanismus zu verbessern, ohne dass zu einer erhöhten Kanaldotierung beigetragen wird. Zu diesem Zweck enthält der anfängliche Gatestapel in einigen anschaulichen Ausführungsformen eine Stoppschicht oder Steuerschicht, um eine verbesserte Prozessgleichmäßigkeit während eines Abtragungsprozesses zu Reduzierung der Gatehöhe in einer späteren Fertigungsphase zu schaffen. In einigen anschaulichen Aspekten wird ein Füllmaterial verwendet, das ebenfalls als eine effiziente Deckschicht während eines Rekristallisierungsprozesses für weitere Verstärkung der Verformung beispielsweise in n-Kanaltransistoren dienen kann.

Claims (21)

  1. Verfahren mit: Bilden einer Gateelektrodenstruktur eines Transistors über einer Halbleiterschicht, wobei die Gateelektrodenstruktur eine dielektrische Schicht mit großem ε, ein metallenthaltendes Material, das auf der dielektrischen Schicht mit großem ε ausgebildet ist, und ein Maskenmaterial, das über dem metallenthaltenden Material gebildet ist, aufweist; Bilden von Drain- und Sourcegebieten in der Halbleiterschicht unter Anwendung der Gateelektrodenstruktur als eine Implantationsmaske; Entfernen zumindest eines Teils des Maskenmaterials der Gateelektrodenstruktur, um eine Höhe der Gateelektrodenstruktur zu verringern; und Bilden einer verformungsinduzierenden dielektrischen Schicht über den Drain- und Sourcegebieten und der Gateelektrodenstruktur mit der geringeren Höhe, wobei die verformungsinduzierende dielektrische Schicht eine Verformung in einem Kanalgebiet des Transistors hervorruft.
  2. Verfahren nach Anspruch 1, wobei Bilden der Gateelektrodenstruktur umfasst: Bilden einer ersten Schicht des Maskenmaterials mit einer ersten Materialzusammensetzung und Bilden einer zweiten Schicht über der ersten Schicht, wobei die zweite Schicht eine zweite Materialzusammensetzung aufweist, die sich von der ersten Materialzusammensetzung unterscheidet.
  3. Verfahren nach Anspruch 2, wobei Entfernen zumindest eines Teils des Maskenmaterials umfasst: Entfernen der zweiten Schicht durch einen Abtragungsprozess und Verwenden der ersten Schicht zum Steuern des Abtragungsprozesses.
  4. Verfahren nach Anspruch 3, wobei der Abtragungsprozess einen chemisch-mechanischen Einebnungsprozess umfasst.
  5. Verfahren nach Anspruch 1, wobei Entfernen des mindestens einen Bereichs des Maskenmaterials umfasst: Bilden eines Füllmaterials, um die Gateelektrodenstruktur in dem Füllmaterial einzubetten, und Entfernen des mindestens einen Bereichs des Maskenmaterials zusammen mit einem Teil des Füllmaterials.
  6. Verfahren nach Anspruch 5, das ferner umfasst: Ausheizen des Transistors in Anwesenheit eines verbleibenden Bereichs des Füllmaterials.
  7. Verfahren nach Anspruch 6, wobei das Füllmaterial Siliziumnitrid aufweist.
  8. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Metallsilizids zumindest in den Drain- und Sourcegebieten.
  9. Verfahren nach Anspruch 8, wobei das Metallsilizid vor dem Entfernen des mindestens einen Bereichs des Maskenmaterials gebildet wird.
  10. Verfahren nach Anspruch 8, wobei das Maskenmaterial eine erste Schicht und eine zweite Schicht aufweist und wobei das Metallsilizid nach dem Entfernen der zweiten Schicht des Maskenmaterials gebildet wird, wobei die erste Schicht des Maskenmaterials beim Bilden des Metallsilizids beibehalten wird.
  11. Verfahren mit: Bilden einer ersten Gateelektrodenstruktur eines ersten Transistors über einer Halbleiterschicht, wobei die erste Gateelektrodenstruktur ein dielektrisches Material mit großem ε und ein erstes metallenthaltendes Material aufweist; Bilden einer zweiten Gateelektrodenstruktur eines zweiten Transistors, wobei die zweite Gatelektrodenstruktur ein dielektrisches Material mit großem ε und ein zweites metallenthaltendes Material aufweist; Bilden von Drain- und Sourcegebieten des ersten und des zweiten Transistors in der Halbleiterschicht durch Implantieren von Dotierstoffsorten und Anwendung der ersten und der zweiten Gateelektrodenstruktur als Implantationsmaske; Reduzieren einer Höhe der ersten und der zweiten Gateelektrodenstrukturen nach dem Bilden der Drain- und Sourcegebiete; und Bilden einer ersten verformungsinduzierenden Schicht über der ersten Gateelektrodenstruktur mit der geringeren Höhe und Bilden einer zweiten verformungsinduzierenden Schicht über der zweiten Gateelektrodenstruktur mit der geringeren Höhe, wobei die erste und die zweite verformungsinduzierende Schicht eine unterschiedliche Art an Verformung erzeugen.
  12. Verfahren nach Anspruch 11, wobei Bilden der ersten und der zweiten Gateelektrodenstruktur umfasst: Bilden einer Abtragungssteuerschicht über dem ersten und dem zweiten metallenthaltenden Material und Bilden eines Maskenmaterials auf der Abtragungssteuerschicht.
  13. Verfahren nach Anspruch 12, wobei Reduzieren einer Höhe der ersten und der zweiten Gateelektrodenstruktur umfasst: Ausführen eines Abtragungsprozesses, um das Maskenmaterial zu entfernen und Verwenden der Abtragungssteuerschicht zum Steuern des Abtragungsprozesses.
  14. Verfahren nach Anspruch 13, wobei der Abtragungsprozess einen chemisch-mechanischen Einebnungsprozess umfasst.
  15. Verfahren nach Anspruch 13, wobei der Abtragungsprozess einen Ätzprozess umfasst.
  16. Verfahren nach Anspruch 13, das ferner umfasst: Bilden eines Füllmaterials zumindest zwischen der ersten und der zweiten Gateelektrodenstruktur und Entfernen eines Teils des Füllmaterials während des Abtragungsprozesses.
  17. Verfahren nach Anspruch 12, wobei Bilden der ersten und der zweiten verformungsinduzierenden Schicht umfasst: Bilden der ersten verformungsinduzierenden Schicht über der ersten und der zweiten Gateelektrodenstruktur und Entfernen der ersten verformungsindu zierenden Schicht von oberhalb der zweiten Gateelektrodenstruktur unter Anwendung der Abtragungssteuerschicht als einen Ätzstopp.
  18. Verfahren nach Anspruch 16, das ferner umfasst: Ausheizen der Drain- und Sourcegebiete des zweiten Transistors vor dem Bilden der Drain- und Sourcegebiete des ersten Transistors und Ausheizen der Drain- und Sourcegebiete des ersten Transistors in Anwesenheit zumindest eines Teils des Füllmaterials.
  19. Halbleiterbauelement mit: einem ersten Transistor mit einer Gateelektrodenstruktur mit einem Gatedielektrikumsmaterial mit großem ε und einem metallenthaltenden Elektrodenmaterial, das auf dem dielektrischen Material mit großem ε gebildet ist und Drain- und Sourcegebieten, die in einer Halbleiterschicht gebildet sind; und einen verformungsinduzierenden dielektrischem Material, das auf dem metallenthaltenden Elektrodenmaterial gebildet ist.
  20. Halbleiterbauelement nach Anspruch 10, wobei eine Höhe der Gateelektrode kleiner als ungefähr 70 nm ist.
  21. Halbleiterbauelement nach Anspruch 20, wobei ein Wert der Höhe der Gateelektrode kleiner als ein Wert einer Tiefe der Drain- und Sourcegebiete ist.
DE102008011813A 2008-02-29 2008-02-29 Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements Expired - Fee Related DE102008011813B4 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE102008011813A DE102008011813B4 (de) 2008-02-29 2008-02-29 Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
US12/204,395 US8293610B2 (en) 2008-02-29 2008-09-04 Semiconductor device comprising a metal gate stack of reduced height and method of forming the same
TW098106103A TWI495016B (zh) 2008-02-29 2009-02-26 包括縮減高度之金屬閘極堆疊的半導體裝置及形成該半導體裝置之方法
CN2009801112730A CN101981674A (zh) 2008-02-29 2009-02-27 包括缩减高度的金属栅极堆栈的半导体器件及形成该半导体器件的方法
PCT/US2009/001283 WO2009108366A2 (en) 2008-02-29 2009-02-27 A semiconductor device comprising a metal gate stack of reduced height and method of forming the same
KR1020107021524A KR101559537B1 (ko) 2008-02-29 2009-02-27 높이가 감소된 금속 게이트 스택을 포함하는 반도체 디바이스를 제조하는 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008011813A DE102008011813B4 (de) 2008-02-29 2008-02-29 Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements

Publications (2)

Publication Number Publication Date
DE102008011813A1 DE102008011813A1 (de) 2009-09-10
DE102008011813B4 true DE102008011813B4 (de) 2010-03-04

Family

ID=40936089

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008011813A Expired - Fee Related DE102008011813B4 (de) 2008-02-29 2008-02-29 Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements

Country Status (6)

Country Link
US (1) US8293610B2 (de)
KR (1) KR101559537B1 (de)
CN (1) CN101981674A (de)
DE (1) DE102008011813B4 (de)
TW (1) TWI495016B (de)
WO (1) WO2009108366A2 (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100941865B1 (ko) * 2008-03-10 2010-02-11 주식회사 하이닉스반도체 반도체 소자의 제조방법
DE102008064671B4 (de) * 2008-11-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit einer Gatestruktur und Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateobseite
CN102075176B (zh) * 2011-01-13 2013-11-27 威盛电子股份有限公司 电位转换电路
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
CN102820328A (zh) * 2011-06-09 2012-12-12 中国科学院微电子研究所 一种半导体结构及其制造方法
US9153451B2 (en) 2012-12-12 2015-10-06 Micron Technology, Inc. Method of forming a planar surface for a semiconductor device structure, and related methods of forming a semiconductor device structure
KR102481477B1 (ko) 2016-04-22 2022-12-26 삼성전자 주식회사 집적회로 소자

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007041207A1 (de) * 2007-08-31 2009-03-05 Advanced Micro Devices, Inc., Sunnyvale CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02162738A (ja) 1988-12-15 1990-06-22 Nec Corp Mos fet の製造方法
JP3373954B2 (ja) * 1994-10-20 2003-02-04 三菱電機株式会社 半導体装置の製造方法
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6709935B1 (en) * 2001-03-26 2004-03-23 Advanced Micro Devices, Inc. Method of locally forming a silicon/geranium channel layer
JP2003229568A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7229893B2 (en) * 2004-06-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US20080050863A1 (en) * 2006-08-28 2008-02-28 International Business Machines Corporation Semiconductor structure including multiple stressed layers
US8304342B2 (en) * 2006-10-31 2012-11-06 Texas Instruments Incorporated Sacrificial CMP etch stop layer
JP4275696B2 (ja) 2006-11-09 2009-06-10 三菱電機株式会社 サンプリング周波数制御方式および保護継電器

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007041207A1 (de) * 2007-08-31 2009-03-05 Advanced Micro Devices, Inc., Sunnyvale CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung

Also Published As

Publication number Publication date
WO2009108366A2 (en) 2009-09-03
WO2009108366A3 (en) 2009-10-29
US20090218639A1 (en) 2009-09-03
DE102008011813A1 (de) 2009-09-10
KR20100138973A (ko) 2010-12-31
CN101981674A (zh) 2011-02-23
TWI495016B (zh) 2015-08-01
TW200943439A (en) 2009-10-16
KR101559537B1 (ko) 2015-10-12
US8293610B2 (en) 2012-10-23

Similar Documents

Publication Publication Date Title
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102008011814B4 (de) CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE102005030583B4 (de) Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
DE102008059501B4 (de) Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102010063781B4 (de) Unterschiedliche Schwellwertspannungseinstellung in PMOS-Transistoren durch unterschiedliche Herstellung eines Kanalhalbleitermaterials
DE102009006802B3 (de) Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102008011932B4 (de) Verfahren zur Erhöhung der Eindringtiefe von Drain- und Sourceimplantationssorten für eine gegebene Gatehöhe
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102011003439B4 (de) Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102006030264B4 (de) Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
DE102008016426B4 (de) Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee