DE102007041207A1 - CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung - Google Patents
CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung Download PDFInfo
- Publication number
- DE102007041207A1 DE102007041207A1 DE102007041207A DE102007041207A DE102007041207A1 DE 102007041207 A1 DE102007041207 A1 DE 102007041207A1 DE 102007041207 A DE102007041207 A DE 102007041207A DE 102007041207 A DE102007041207 A DE 102007041207A DE 102007041207 A1 DE102007041207 A1 DE 102007041207A1
- Authority
- DE
- Germany
- Prior art keywords
- gate electrode
- electrode structure
- transistor
- gate
- dielectric material
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 204
- 238000004519 manufacturing process Methods 0.000 title claims description 43
- 238000009413 insulation Methods 0.000 title claims description 13
- 230000008569 process Effects 0.000 claims abstract description 141
- 229910052751 metal Inorganic materials 0.000 claims abstract description 99
- 239000002184 metal Substances 0.000 claims abstract description 99
- 238000005530 etching Methods 0.000 claims abstract description 62
- 230000001939 inductive effect Effects 0.000 claims abstract description 16
- 239000000463 material Substances 0.000 claims description 141
- 239000003989 dielectric material Substances 0.000 claims description 111
- 239000004065 semiconductor Substances 0.000 claims description 67
- 239000007772 electrode material Substances 0.000 claims description 47
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 12
- 239000004020 conductor Substances 0.000 claims description 11
- 238000013461 design Methods 0.000 claims description 5
- NTWSIWWJPQHFTO-AATRIKPKSA-N (2E)-3-methylhex-2-enoic acid Chemical compound CCC\C(C)=C\C(O)=O NTWSIWWJPQHFTO-AATRIKPKSA-N 0.000 claims description 4
- 230000009471 action Effects 0.000 claims description 2
- 239000011810 insulating material Substances 0.000 claims description 2
- 238000001312 dry etching Methods 0.000 claims 1
- 239000012774 insulation material Substances 0.000 claims 1
- 238000001039 wet etching Methods 0.000 claims 1
- 230000010354 integration Effects 0.000 abstract description 12
- 230000007246 mechanism Effects 0.000 abstract description 8
- 230000000873 masking effect Effects 0.000 abstract description 7
- 238000001465 metallisation Methods 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 95
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 52
- 239000000377 silicon dioxide Substances 0.000 description 26
- 235000012239 silicon dioxide Nutrition 0.000 description 23
- 230000006870 function Effects 0.000 description 21
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 19
- 239000010703 silicon Substances 0.000 description 19
- 229910021332 silicide Inorganic materials 0.000 description 17
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical group [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 17
- 229910052710 silicon Inorganic materials 0.000 description 16
- 125000006850 spacer group Chemical group 0.000 description 15
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 12
- 239000002019 doping agent Substances 0.000 description 12
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 12
- 229920005591 polysilicon Polymers 0.000 description 12
- 238000012545 processing Methods 0.000 description 10
- 229910045601 alloy Inorganic materials 0.000 description 9
- 239000000956 alloy Substances 0.000 description 9
- 238000002513 implantation Methods 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 230000035882 stress Effects 0.000 description 7
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 230000005669 field effect Effects 0.000 description 6
- 230000001965 increasing effect Effects 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 239000000758 substrate Substances 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 230000006399 behavior Effects 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 125000001475 halogen functional group Chemical group 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000002210 silicon-based material Substances 0.000 description 5
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 4
- 239000002800 charge carrier Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000011282 treatment Methods 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- 238000012876 topography Methods 0.000 description 3
- 238000003631 wet chemical etching Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 238000002679 ablation Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000004070 electrodeposition Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-N Sulfurous acid Chemical compound OS(O)=O LSNNMFCWUKXFEE-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 238000005280 amorphization Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 150000001722 carbon compounds Chemical class 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000012876 carrier material Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000006735 deficit Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000010292 electrical insulation Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000006355 external stress Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 239000003973 paint Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- PWYYWQHXAPXYMF-UHFFFAOYSA-N strontium(2+) Chemical compound [Sr+2] PWYYWQHXAPXYMF-UHFFFAOYSA-N 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823462—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7843—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/926—Dummy metallization
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
- Gebiet der vorliegenden Offenbarung
- Im Allgemeinen betrifft die vorliegende Offenbarung die Herstellung modernster integrierter Schaltungen mit größenreduzierten Transistorelementen mit hoch kapazitiven Gatestrukturen, die ein Gatedielektrikum mit großem ε mit erhöhter Permittivität im Vergleich zu Gatedielektrika, etwa Siliziumdioxid und Siliziumnitrid, aufweisen.
- Beschreibung des Stands der Technik
- Die Herstellung moderner integrierter Schaltungen, etwa CPU's, Speicherbauelement, ASIC's (anwendungsspezifische integrierte Schaltungen) und dergleichen erfordert die Herstellung einer großen Anzahl von Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die im Wesentlichen das Leistungsverhalten der integrierten Schaltungen bestimmen. Im Allgemeinen werden eine Vielzahl von Prozesstechnologien aktuell eingesetzt, wobei für viele Arten von komplexen Schaltungen mit Feldeffekttransistoren die MOS-Technologie aktuell eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Verwendung von beispielsweise der MOS-Technologie werden Millionen Transistoren, beispielsweise n-Kanaltransistoren und/oder p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte PN-Übergänge, die durch eine Grenzfläche stark dotierter Gebiete, die als Drain- und Source-Gebiete bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet, etwa einem Kanalgebiet, gebildet sind, das zwischen den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. das Durchlassstromvermögen des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Ausdehnung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit ist in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets ein wesentlicher Faktor für das Leistungsverhalten von MOS-Transistoren. Da die Geschwindigkeit des Erzeugens des Kanals, was von der Leitfähigkeit der Gateelektrode abhängt, und der Kanalwiderstand im Wesentlichen die Transistoreigenschaften bestimmen, ist die Reduzierung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands und die Erhöhung des Gate-Widerstands – ein wesentliches Gestaltungskriterium, um eine Zunahme der Arbeitsgeschwindigkeit in integrierten Schaltungen zu erreichen.
- Gegenwärtig wird der größte Teil der integrierten Schaltungen auf der Grundlage von Silizium hergestellt auf Grund der im Wesentlichen unbegrenzten Verfügbarkeit, der gut verstandenen Eigenschaften des Siliziums und der dazugehörigen Materialien und Prozesse und der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Wichtigkeit von Silizium bei der Herstellung von Halbleiterbauelementen sind die guten Eigenschaften der Grenzfläche von Silizium und Siliziumdioxid, wodurch eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete möglich ist. Die Silizium/Siliziumdioxid-Grenzfläche ist stabil bis zu sehr hohen Temperaturen und erlaubt damit das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für Ausheizzyklen erforderlich sind, um Dotierstoffe zu aktivieren und Kristallschäden auszuheilen, ohne dass die elektrischen Eigenschaften der Grenzfläche beeinträchtigt werden.
- Aus den zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als eine Gateisolationsschicht in Feldeffekttransistoren eingesetzt, die die Gateelektroden, die häufig aus Polysilizium oder metallenthaltenden Materialien hergestellt ist, von dem Siliziumkanalgebiet trennt. Beim ständigen Verbessern des Leitungsverhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets stets reduziert, um damit die Schaltgeschwindigkeit und das Durchlassstromverhalten zu verbessern. Da das Transistorverhalten durch die an die Gateelektrode angelegte Spannung zur Invertierung der Oberfläche des Kanalgebiets gesteu ert wird, um eine ausreichend hohe Ladungsdichte für das Erzeugen des gewünschten Durchlassstromes für eine vorgegebene Versorgungsspannung zu erhalten, ist ein gewisses Maß an kapazitiver Kopplung, die durch den von der Gateelektrode, dem Kanalgebiet und dem Siliziumdioxid, das dazwischen angeordnet ist, gebildeten Kondensator erreicht wird, beibehalten werden. Es zeigt sich, dass das Reduzieren der Kanallänge eine erhöhte kapazitive Ankopplung erfordert, um ein sogenanntes Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Stark größenreduzierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit mit einer reduzierten Schwellwertspannung können einen exponentiellen Anstieg des Leckstromes aufweisen, während auch eine erhöhte kapazitive Ankopplung der Gateelektrode an das Kanalgebiet erforderlich ist. Somit muss die Dicke der Siliziumdioxidschicht entsprechend reduziert werden, um damit die erforderliche Kapazität zwischen dem Gate und dem Kanalgebiet zu schaffen. Beispielsweise kann eine Gatelänge von ungefähr 0,08 μm ein aus Siliziumdioxid hergestelltes Gatedielektrikum mit einer Dicke von ungefähr 1,2 nm erforderlich machen. Obwohl im Allgemeinen Hochgeschwindigkeitstransistorelement mit einem extremen kurzen Kanal vorzugsweise in Hochgeschwindigkeitsanwendungen eingesetzt werden, wohingegen Transistorelemente mit einem längeren Kanal für weniger kritische Anwendungen eingesetzt werden, etwa Speichertransistorelemente, kann der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch ein sehr dünnes Siliziumdioxid der Gateisolationsschicht hervorgerufen wird, Werte erreichen für eine Oxiddicke im Bereich von 1 bis 2 nm, was nicht mehr verträglich ist mit den Erfordernissen für Schaltungen mit hohem Leistungsvermögen.
- Daher wurde das Ersetzen von Siliziumdioxid als Material für Gateisolationsschichten erwogen, insbesondere bei sehr dünnen Siliziumdioxidgateschichten. Zu möglichen alternativen Materialien gehören solche, die eine deutlich höhere Permittivität aufweisen, so dass eine physikalisch größere Dicke einer entsprechend hergestellten Gateisolationsschicht eine kapazitive Ankopplung bietet, die entsprechend von einer sehr dünnen Siliziumdioxidschicht erzeugt würde. Üblicherweise wird eine Dicke, die für das Erreichen einer spezifizierten kapazitiven Kopplung mittels Siliziumdioxid erforderlich ist, als eine Kapazitätsäquivalentdicke (CET) bezeichnet. Somit scheint zunächst das einfache Ersetzen des Siliziumdioxids durch Materialien mit großem ε als eine naheliegende Entwicklung, um damit eine Kapazitätsäquivalentsdicke im Bereich von 1 nm oder darunter zu erreichen.
- Es wurde vorgeschlagen, Siliziumdioxid durch Materialien mit hoher Permittivität zu ersetzen, etwa Tantaloxid (Ta2O5) mit einem ε von ungefähr 25, Strontiumtitanoxid (SrTiO3) mit einem ε von ungefähr 150, Hafniumoxid (HfO2), HfSiO, Zirkoniumoxid (ZrO2), und dergleichen.
- Des weiteren kann das Transistorleistungsverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, um somit das üblicherweise verwendete Polysilizium zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zu dem Gatedielektrikum aufweist, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gateelektrode verringert wird. Es wurde daher ein Gateschichtstapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für die verbesserte Kapazität auf der Grundlage der gleichen Dicke wie eine Siliziumdioxidschicht sorgt, während zusätzlich die Leckströme auf einem akzeptablen Niveau gehalten werden. Andererseits kann das nicht-Polysiliziummaterial, etwa Titannitrid, und dergleichen so hergestellt werden, dass es mit dem dielektrischen Material in Verbindung steht, so dass das Vorhandensein einer Verarmungszone im Wesentlichen vermieden wird. Da typischerweise eine geringe Schwellwertspannung des Transistors, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, erwünscht ist, um damit hohe Durchlassströme zu erreichen, erfordert typischerweise die Steuerbarkeit des entsprechenden Kanals ein ausgeprägtes laterales Dotierstoffprofil und Dotierstoffgradienten, zumindest in der Nähe der PN-Übergänge. Daher werden sogenannte Halo-Gebiete typischerweise durch Ionenimplantation hergestellt, um eine Dotierstoffsorte einzuführen, deren Leitfähigkeitsart der Leitfähigkeitsart des verbleibenden Kanals und des Halbleitergebiets entspricht, um damit den Dotierstoffgradienten an dem resultierenden PN-Übergang nach der Herstelldung entsprechender Erweiterungsgebiete und tiefer Drain- und Source-Gebiete zu „verstärken". Auf diese Weise bestimmt die Schwellwertspannung des Transistors wesentlich die Steuerbarkeit des Kanals, wobei eine deutliche Schwankung der Schwellwertspannung für geringere Gatelängen beobachtet werden kann. Durch Vorsehen eines geeigneten Halo-Implantationsgebietes kann somit die Steuerbarkeit des Kanalgebiets verbessert werden, wodurch auch die Schwankung der Schwellwertspannung verringert wird, was auch als Schwellwertvariabilität bezeichnet wird, und wodurch auch deutliche Schwankungen des Transistorverhaltens bei einer Änderung der Gatelänge verringert werden. Da die Schwellwertspannung der Transistoren deutlich durch die Austrittsarbeitsfunktion des Metalls, das in dem Gatematerial enthalten ist, bestimmt ist, muss eine geeignete Einstellung der effektiven Austrittsarbeit in Bezug auf die Leitfähigkeitsart des betrachteten Transistors sichergestellt sein.
- Nach der Herstellung moderner Gatestrukturen mit einem Dielektrikum mit großem ε und einem Gatematerial auf Metallbasis sind jedoch unter Umständen Hochtemperaturbehandlungen erforderlich, die zu einer Verringerung der Permittivität des Gatedielektrikums führen können, was durch einen Anstieg des Sauerstoffanteils in dem Material mit großem ε hervorgerufen werden kann, wodurch auch ein Anstieg der Schichtdicke bewirkt wird. Ferner kann eine Verschiebung der Austrittsarbeit beobachtet werden, wobei man annimmt, dass dies durch die stärkere Sauerstoffaffinität vieler dielektrischer Materialien mit großem ε verknüpft ist, wodurch sich eine Umverteilung des Sauerstoffs von der Grabenisolationsstruktur über das dielektrische Material mit großem ε der gemeinsamen Gateleitungsstrukturen insbesondere bei hohen Temperaturen ergibt, die für das Fertigstellen der Transistoren nach der Herstellung der Metallgatestruktur mit großem ε erforderlich sind. Auf Grund dieser Verschiebung des Fermi-Niveaus in dem metallenthaltenden Gatematerialien wird die resultierende Schwellwertspannung zu hoch, um damit die Verwendung einer Halo-Implantationstechnik zum Einstellen der Transistoreigenschaften im Hinblick auf das Steuern der Schwellwertspannungsvariabilität zu ermöglichen, um damit hohe Durchlassstromwerte bei moderat geringer Schwellwertspannung zu erlauben.
- Die moderaten und teilweise hohen Temperaturen während des Transistorfertigungsprozesses können unter Anwendung eines Integrationsschemas vermieden werden, in welchem die Gateelektrodenstruktur gemäß konventioneller Verfahren hergestellt wird und schließlich durch eine fortschrittliche Metallgatestruktur mit hohem ε ersetzt wird, wobei die entsprechende Metalle geeignet ausgewählt sind, um geeignete Austrittsarbeitsfunktionen für n-Kanaltransistoren und p-Kanaltransistoren zu erhalten. Somit wird in diesem Integrationsschema die konventionelle Polysilizium/Oxidgatestrukturen entfernt und durch einen Metallstapel mit großem ε nach dem letzten Hochtemperaturausheizprozess und der Silizidierung der Drain- und Sourcegebiete ersetzt. Daher erfährt die Metallgateelektrodenstruktur mit großem ε lediglich geringe Temperaturen, die in der abschließenden Bearbeitung verwendet werden, d. h. Temperaturen von ungefähr 400 Grad C, wodurch die zuvor beschriebenen Probleme im Hinblick auf das Ändern der Eigenschaften des Materials mit großem ε und auf das Verschieben der Austrittsarbeitsfunktion der Metalle in den Gateelektroden im Wesentlichen vermieden werden.
- Wie zuvor erläutert wurde, benötigen n-Kanaltransistoren und p-Kanaltransistoren sehr unterschiedliche metallenthaltende Materialien, um in geeigneter Weise die Austrittsarbeit und damit die Schwellwertspannung der unterschiedlichen Transistorarten anzupassen. Daher können entsprechende Integrationsschemata äußerst komplex sein und können auch nur unter Schwierigkeiten mit gut etablierten Prozessen für eine doppelte verspannte Oberschicht kombiniert werden, die typischerweise zur Bereitstellung eines stark verspannten dielektrischen Materials mit unterschiedlicher innerer Verspannung über den n-Kanaltransistoren bzw. den p-Kanaltransistoren vorgesehen werden. Ferner werden in vielen Fällen Transistoren in unterschiedlichen Bauteilgebieten, etwa CPU-Kernen, peripheren Gebieten für Eingabe/Ausgabe-Bauelemente, Speichergebiete, und dergleichen mit unterschiedlichen Versorgungsspannungen betrieben, wodurch eine geeignet eingestellte Schichtdicke der Gateisolationsschicht erforderlich ist, was in konventionellen Integrationsstrategien erreicht wird, indem eine größere Oxiddicke aufgewachsen wird, wie sie für die höchste Betriebsspannung erforderlich ist, und die Oxiddicke selektiv auf ein gewünscht tieferes Niveau im Bauteilgebieten mit hohem Leistungsverhalten, die bei geringen Versorgungsspannungen betrieben werden, reduziert wird. Die Integration der Gatedielektrika, die für verschiedene Betriebsspannungen angepasst sind, kann unter Umständen nur mit Schwierigkeiten mit einem Ansatz kombiniert werden, um die Metallgates mit großem ε nach dem Fertigstellen der Transistorstrukturen zu kombinieren, da eine Vielzahl komplexer Maskierungsschritte erforderlich sein kann.
- Angesichts dieser Situation betrifft die vorliegende Offenbarung Verfahren und Vorrichtungen mit modernsten Gatestrukturen mit Dielektrika mit großem ε, wobei ein oder mehrere der zuvor erkannten Probleme vermieden oder deren Auswirkungen zumindest reduziert werden.
- Überblick über die vorliegende Offenbarung
- Im Allgemeinen betrifft der hierin offenbarte Gegensand moderne Halbleiterbauelement und Verfahren zur Herstellung dieser Bauelemente, wobei die Gateelektrodenstruktur auf der Grundlage eines Dielektrikums mit großem ε in Verbindung mit geeigneten metallenthalten den leitenden Materialien hergestellt werden, die geeignete Austrittsarbeitsfunktionen für p-Kanaltransistoren und n-Kanaltransistoren aufweisen, wobei die Gateelektrodenstrukturen nach Hochtemperaturbehandlungen hergestellt werden können, die für die Ausbildung der vollständigen Transistorstrukturen erforderlich sind, wodurch eine Verschiebung der Austrittsarbeit und nachteilige Auswirkungen der dielektrischen Materialien mit großem ε im Wesentlichen vermieden werden können, wie dies zuvor beschrieben ist. Zu diesem Zweck werden in einem anschaulichen hierin offenbarten Aspekt die Transistoren auf der Grundlage gut etablierter CMOS-Techniken hergestellt, worauf das Ersetzen einer Art konventionell hergestellter Gateelektrodenstrukturen auf der Grundlage eines sehr selektiven Ätzprozesses bewerkstelligt wird, wodurch die Notwendigkeit vermieden wird, um entsprechende Maskierungsschritte einzuführen, die anspruchsvolle Lithographieprozesse erfordern. Folglich kann die weitere Bearbeitung nach der Fertigstellung der Transistorstrukturen mit einem hohen Maß an Kompatibilität mit konventionellen Strategien durchgeführt werden, ohne dass in unnötiger Weise zur Prozesskomplexität beigetragen wird. In noch anderen anschaulichen Aspekten, die hierin offenbart sind, wird ein verbessertes Integrationsschema beschrieben, in welchem die Transistorstrukturen auf der Grundlage gut etablierter Techniken hergestellt werden, wobei Gateelektrodenstrukturen auf Grundlage konventioneller dielektrischer Materialien, etwa Siliziumdioxid, hergestellt werden können, die gemäß den Erfordernissen für eine spezielle Art von Transistoren gestaltet sind, beispielsweise für Transistoren, die bei höheren Spannungen zu betreiben sind, wodurch eine größere Gateoxiddicke erforderlich ist. Danach können die Bauteilgebiete, die darin ausgebildet die Ersatzgateelektrodenstrukturen aufweisen, und die Bauteilgebiete, die die spezifizierten Gateelektrodenstrukturen mit der geeigneten Gatedielektrikumsdicke aufweisen, vorbereitet werden, um die Austauschgateelektrodenstrukturen in den zuvor genannten Bauteilbereichen zu empfangen, während ein wesentlicher Bereich der Gateelektrodenstrukturen in den zuletzt genannten Bauteilbereichen beibehalten wird, d. h., zumindest das Gatedielektrikumsmaterial und ein Teil des konventionellen Gateelektrodenmaterials wird während der Prozesssequenz zum Ersetzen der konventionellen Gateelektrodenstrukturen durch eine Gateelektrode mit einem dielektrischen Material mit großem ε in Verbindung mit einem metallenthaltendem Material mit der geeigneten Austrittsarbeit beibehalten. Danach kann ein zusätzliches gut leitendes Material in beiden Arten von Gateelektrodenstrukturen vorgesehen werden, wodurch ein gut leitender Pfad in den konventionellen Gateelektrodenstrukturen vorgesehen wird, der als ein Ersatz für die Metallsilizidgebiete dienen kann, die vor dem Vorsehen der modernen Gateelektrodenstrukturen entfernt worden sind. Folglich kann auch in diesem Falle ein hohes Maß an Kompatibilität mit konventionellen Strategien erreicht werden, wobei ein geeignetes Maskierungsschema es ermöglicht, eine konventionelle Gateelektrodenstruktur, die für eine spezielle Art eines Transistors erweitert ist, während des Prozesses zum Ersetzen der Platzhaltergateelektrodenstrukturen mittels der modernen Metallgatematerialien mit großem ε beibehalten werden können.
- In einigen anschaulichen hierin offenbarten Aspekten können ferner die oben beschriebenen Strategien so kombiniert werden, dass moderne Transistorelemente, die dünne Gatedielektrika erfordern, auf der Grundlage einer größeren Permittivität in Verbindung mit speziell gestalteten metallenthaltenden Gatematerialien mit geeigneten Austrittsarbeiten für p-Kanaltransistoren und n-Kanaltransistoren erzeugt werden, während im Wesentlichen konventionelle Gateelektrodenstrukturen beibehalten werden, die auf Grundlage einer größeren Gatedielektrikumsdicke arbeiten, so dass die Transistorelemente mit einem hohen Maß an Kompatibilität mit konventionellen CMOS-Verfahren hergestellt werden können, wodurch die Anwendung beliebiger gewünschter leistungssteigender Strategien möglich ist, etwa Halbleiterlegierungen oder Materialien, die eine gewünschte Art an Verformung in den jeweiligen Kanalgebieten während der Herstellung der grundlegenden Transistorstrukturen erzeugen, wobei zusätzlich ein hohes Maß an Kompatibilität mit weiteren Strategien erreicht wird, um das Transistorleistungsverhalten auf der Grundlage von verspannten Schichten zu verbessern, die nach der Fertigstellung der modernen Austauschgateelektrodenstruktur zu bilden sind.
- Ein hierin offenbartes anschauliches Verfahren umfasst das Bilden eines ersten Transistors mit einer ersten Gateelektrodenstruktur über einem ersten Bauteilgebiet. Das Verfahren umfasst ferner das Bilden eines zweiten Transistors mit einer zweiten Gateelektrodenstruktur über einem zweiten Bauteilgebiet. Des weiteren wird die erste Gateelektrodenstruktur mittels einer ersten Austauschgatestruktur mit einem dielektrischen Material mit großem ε und einem ersten metallenthaltenden Gateelektrodenmaterial ersetzt, während der zweite Transistor durch eine Maske abgedeckt ist. Das Verfahren umfasst ferner das Entfernen der zweiten Gateelektrodenstruktur auf der Grundlage eines selektiven Ätzprozesses, während die erste Austauschgateelektrodenstruktur im Wesentlichen nicht abgedeckt ist. Schließlich umfasst das Verfahren das Bilden einer zweiten Austauschgateelektrodenstruktur mit einem Material mit großem ε und einem zweiten metallenthaltendem Gateelektrodenmaterial.
- Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Gateelektrodenstruktur in einem ersten Bauteilgebiet und einer zweiten Gateelektrodenstruktur in einem zweiten Bauteilgebiet in einer gemeinsamen Prozesssequenz, wobei die erste und die zweite Gateelektrodenstruktur ein Gateisolationsdielektrikum und ein Gateelektrodenmaterial aufweisen und wobei das Gateisolationsdielektrikum eine erste Dicke entsprechend einer Entwurfsdicke der zweiten Gateelektrodenstruktur aufweist. Das Verfahren umfasst ferner das Bilden eines ersten Transistors auf der Grundlage der ersten Gateelektrodenstruktur und eines zweiten Transistors auf der Grundlage der zweiten Gateelektrodenstruktur. Schließlich umfasst das Verfahren nach dem Bilden der ersten und des zweiten Transistors das Ersetzen der ersten Gateelektrodenstruktur durch eine erste Austauschgateelektrodenstruktur mit einem ersten dielektrischen Material mit großem ε und einem ersten leitenden metallenthaltendem Material, während das Gateisolationsdielektrikum und ein Teil des Gateelektrodenmaterials der zweiten Gateelektrodenstruktur beibehalten wird.
- Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst einen ersten Transistor mit einer ersten Gateelektrodenstruktur mit einem ersten dielektrischen Material mit großem ε und einem ersten metallenthaltendem Gateelektrodenmaterial. Das Bauelement umfasst ferner einen zweiten Transistor mit einen zweiten Gateelektrodenstruktur mit einem auf Oxid beruhendem Gatedielektrikumaterial, das mit einem Gateelektrodenmaterial auf Halbleiterbasis verbunden ist. Schließlich umfasst das Halbleiterbauelement einen dritten Transistor mit einer dritten Gateelektrodenstruktur mit einem zweiten dielektrischen Material mit großem ε und einem zweiten metallenthaltendem Gateelektrodenmaterial, wobei die erste, die zweite und die dritte Gateelektrodenstruktur ferner ein drittes metallenthaltendes Material aufweisen.
- Kurze Beschreibung der Zeichnungen
- Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
-
1a bis1h schematisch Querschnittsansichten eines Transistorelements mit p-Kanaltransistoren und n-Kanaltransistoren zeigen, die eine moderne Austauschgateelektrodenstruktur auf der Grundlage eines dielektrischen Materials mit großem ε und einem geeignet ausgewählten Metall erhalten, nachdem die Transistorstrukturen fertiggestellt sind, wobei eine anspruchsvolle selektive Ätztechnik eingesetzt wird, um mindestens eine Art einer Gateelektrodenstruktur gemäß anschaulichen Ausführungsformen zu ersetzen; -
2a bis2d schematisch Querschnittsansichten eines Halbleiterbauelements für die Herstellung einer sehr modernen Austauschgateelektrodenstruktur zeigen, während das Gatedielektrikumsmaterial und zumindest ein Teil einer konventionellen Gateelektrodenstruktur, die für Bauteilgebiete gestaltet ist, die ein Gatedielektrikumsmaterial mit größerer Dicke umfassen, auf der Grundlage eines geeigneten Maskierungsschemas gemäß noch weiterer anschaulicher Ausführungsformen beibehalten wird; und -
3a bis3q schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung moderner Austauschgateelektrodenstrukturen mit einem hohen Maß an Kompatibilität zu konventionellen Techniken zeigen, wobei im Wesentlichen eine konventionelle Gateelektrodenstruktur in gewissen Bauteilbereichen beibehalten wird, während zusätzlich verformungsinduzierende Mechanismen in das Integrationsschema gemäß noch weiterer anschaulicher Ausführungsformen integriert werden. - Detaillierte Beschreibung
- Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
- Im Allgemeinen stellt der hierin offenbarte Gegenstand verbesserte Techniken und Bauelemente, die gemäß diesen Verfahren hergestellt sind, bereit, wobei moderne Metallga testapel mit Dielektrikum mit großem ε nach der Fertigstellung der Transistorstrukturen mit einer geringeren Anzahl an Lithographieschritten und Hartmasken hergestellt werden, um damit ein hohes Maß an Kompatibilität mit gut etablierten CMOS-Integrationsschemata beizubehalten, wodurch auch die Integration gut etablierter verformungsinduzierender Mechanismen ermöglicht wird, etwa das Vorsehen verformter Halbleiterlegierungen in Drain- und Sourcegebieten der Transistoren, stark verspannte dielektrische Materialien zum Einbetten der Transistorstrukturen, und dergleichen. Zu diesem Zweck wird die Prozesssequenz zum Ersetzen mindestens einer Art an Gateelektrodenstruktur auf der Grundlage eines sehr selektiven Ätzprozesses ausgeführt, ohne dass die Abdeckung von Bauteilgebieten erforderlich ist, in denen ein Metallgate bereits vorgesehen ist und/oder indem im Wesentlichen eine konventionelle Gateelektrodenstruktur in Bauteilbereichen beibehalten wird, in denen diese Art der Gateelektrodenstruktur geeignet ist für das Leistungsverhalten entsprechender Transistorelemente, etwa von Transistoren, die bei moderat hohen Versorgungsspannungen arbeiten, und dergleichen.
- Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
-
1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements100 in einer Fertigungsphase, in der die grundlegenden Transistorstrukturen bereits fertiggestellt sind, d. h. jegliche Hochtemperaturprozesse sind bereits ausgeführt, um die Herstellung moderner Gateelektrodensturkturen auf der Grundlage eines dielektrischen Materials mit großem ε und geeignet ausgewählter metallenthaltender Materialien zu ermöglichen, wie dies zuvor beschrieben ist. Somit kann das Halbleiterbauelement100 ein Substrat101 aufweisen, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darüber eine Halbleiterschicht102 , etwa eine siliziumbasierte Schicht oder ein anderes geeignetes Halbleitermaterial vorzusehen, um darin und darauf Transistorelemente150n ,150p zu bilden, die in der gezeigten Ausführungsform einen n-Kanaltransistor bzw. einen p-Kanaltransistor repräsentieren. Es sollte beachtet werden, dass die Halbleiterschicht102 , selbst wenn diese als eine siliziumbasierte Schicht vorgesehen ist, andere Materialien aufweisen kann, etwa Germanium, Kohlenstoff, und dergleichen, zusätzlich zu geeigneten Dotierstoffsorten, um das erforderliche laterale und vertikale Dotierstoffprofil in den Transistoren150n ,150p zu erzeugen. Beispielsweise kann in der gezeigten Ausführungsform der Transistor150p eine Halbleiterlegierung118 aufweisen, die in Form einer geeigneten Halbleiterverbindung vor gesehen ist, um damit eine gewünschte Art an Verformung in einem Kanalgebiet117 hervorzurufen, das aus Silizium aufgebaut ist, da Silizium eine merkliche Änderung seiner Ladungsträgerbeweglichkeit zeigt, wenn es in einem verformten Zustand bereitgestellt wird. Beispielsweise wird die Halbleiterlegierung118 , die eine Silizium/Germanium-Legierung für einen p-Kanaltransistor sein kann, zumindest in einem Teil der entsprechenden Drain- und Sourcegebiete115 vorgesehen, wobei die Halbleiterlegierung118 eine geringere Gitterkonstante auf Grund ihres verformten Zustands im Vergleich zu ihrer natürlichen Gitterkonstante aufweisen kann, die größer ist als die Gitterkonstante von Silizium, so dass damit eine gewisse Größe einer kompressiven Verformung in dem Kanalgebiet117 hervorgerufen wird, wodurch die Beweglichkeit von Löchern verbessert wird. Es sollte beachtet werden, dass andere verformungsinduzierende Mechanismen in den Transistoren150n ,150p in Abhängigkeit der gesamten Prozessstrategie vorgesehen werden können. D. h., für siliziumbasierte Transistorbauelemente kann eine Silizium/Kohlenstoffverbindung in den Drain- und Sourcegebieten des Transistors150n gebildet werden, wenn dieser einen n-Kanaltransistor repräsentiert, und dergleichen. Auch können „Verspannungsgedächtnisverfahren" während der Herstellung der Transistoren eingesetzt werden, wodurch zumindest einer Art an Transistor eine gewisse Basisverformung verliehen wird. - Ferner können die Transistoren
150n ,150p als Vollsubstrattransistoren vorgesehen werden, d. h. die Halbleiterschicht102 ist auf einem im Wesentlichen kristallinen Substratmaterial ausgebildet, während in anderen Fällen spezielle Bauteilgebiete des Bauelements100 oder das gesamte Bauelement auf der Grundlage einer SOI-(Halbleiter-auf-Isolator-)Architektur hergestellt ist, in der eine vergrabene isolierende Schicht (nicht gezeigt) unter der Halbleiterschicht102 vorgesehen ist. - Ferner kann jedes der Transistorelemente
150n ,150p eine Gateelektrodenstruktur110 aufweisen, die beispielsweise ein konventionelles Gatedielektrikummaterial113 aufweist, etwa ein Gatedielektrikum auf Siliziumdioxidbasis, über welchem ein konventionelles Gateelektrodenmaterial112 ausgebildet ist, etwa ein Polysiliziummaterial und dergleichen, woran sich ein Metallsilizidgebiet111 anschließt. In ähnlicher Weise können Metallsilizidgebiete116 in den Drain- und Sourcegebieten der Transistoren150n ,150p ausgebildet sein. Des weiteren kann abhängig von der Prozessstrategie eine Seitenwandabstandshalterstruktur114 an Seitenwänden der Gateelektrodenstrukturen110 vorgesehen sein. - Das in
1a gezeigte Halbleiterbauelement100 kann auf der Grundlage gut etablierter Prozessverfahren hergestellt werden. Beispielsweise können die Gateelektrodenstrukturen110 auf Grundlage anspruchsvoller Abscheide- und/oder Oxidationsverfahren zur Herstellung der Gatedielektrikumsmaterialien113 gebildet werden, wobei eine geeignete Dicke ausgewählt wird, wie dies mit Bezug zu den2a bis2c und3a bis3q nachfolgend beschrieben ist. Danach können anspruchsvolle Lithographie- und Ätzverfahren eingesetzt werden, um das Gateelektrodenmaterial112 beispielsweise in Form eines Polysiliziums und dergleichen herzustellen. Als nächstes wird die Seitenwandabstandshalterstruktur114 gebildet, zumindest teilweise, um als eine geeignete Implantationsmaske zu dienen, um damit das laterale Dotierstoffprofil für die Drain- und Sourcegebiete115 zu schaffen. Es sollte beachtet werden, dass eine Vielzahl von Implantationsprozessen erforderlich sein kann, etwa eine Voramorphisierungsimplantation, eine Halo-Implantation, eine Implantation für Erweiterungsgebiete und für tiefe Drain- und Sourcegebiete, um das erforderliche komplexe Dotierstoffprofil zu erhalten. Wie zuvor erläutert ist, hängt die Effizienz der entsprechenden Halo-Implantation von einer geeigneten Austrittsarbeitsfunktion eines Gateelektrodenmetalls ab, das noch zu bilden ist, wenn die Gateelektrodenstruktur110 durch eine fortschrittliche Metallgatestruktur mit Dielektrikum mit großem ε ersetzt wird. Ferner wird während der Herstellung der Transistorstrukturen150 ,150p , wie sie gezeigt sind, ein oder mehrere Hochtemperaturbehandlungen erforderlich, um beispielsweise Dotierstoffe zu aktivieren und durch die Implantation hervorgerufene Schäden zu rekristallisieren, und dergleichen. Schließlich werden die Metallsilizidgebiete116 und111 in einem gemeinsamen Prozess mit Prozessparametern hergestellt, die so gewählt sind, dass die gewünschte Konfiguration für das Metallsilizid in den Gebieten116 erreicht wird, ohne dass eine Anpassung der Prozessparameter für die Metallsilizidgebiete111 erforderlich ist, da diese Gebiete in einer späteren Fertigungsphase entfernt werden. Wie zuvor erläutert ist, können die entsprechenden Prozessverfahren auch Prozesssequenzen zur Herstellung gewünschter verformungsinduzierender Mechanismen beinhalten, etwa das Bereitstellen der Halbleiterlegierung118 in einem Teil der Drain- und Sourcegebiete115 . Dies kann beispielsweise bewerkstelligt werden, indem eine entsprechende Vertiefung in einer frühen Fertigungsphase gebildet und diese Vertiefung mit der gewünschten Halbleiterlegierung auf Grundlage einer selektiven epitaktischen Aufwachstechnik gemäß gut etablierter Integrationsschemata gefüllt wird. -
1b zeigt schematisch das Halbleiterbauelement100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Opfermaterial119 so ausgebildet ist, dass es die Transistoren150n ,150p einschließt, wobei eine Ätzstoppschicht120 vorgesehen sein kann, um ein zuverlässiges Entfernen des Opfermaterials119 in einer späteren Fertigungsphase zu ermöglichen. Das Opfermaterial119 kann in Form eines beliebigen geeigneten Materials vorgesehen werden, etwa in Form von Siliziumdioxid, das auf Grundlage gut etablierter Techniken abgeschieden wird, etwa subatmosphärischer CVD (chemische Dampfabscheidung), plasmaunterstützter CVD vor Plasmadichte auf der Grundlage von TEOS, wie es auch für die Herstellung eines Zwischenschichtdielektrikumsmaterials in gut etablierten CMOS-Techniken eingesetzt wird. In ähnlicher Weise kann die Ätzstoppschicht120 in Form eines Siliziumnitridmaterials vorgesehen werden, das in einigen Fällen mit einer gewünschten Art innerer Verspannung bereitgestellt wird, etwa einer kompressiven Verspannung, was bewerkstelligt werden kann, indem Abscheideparameter während des plasmaunterstützten CVD-Prozesses in geeigneter Weise eingestellt werden, wie dies im Stand der Technik bekannt ist. Als nächstes wird das Halbleiterbauelement100 einem Prozess zu Entfernen von Material auf beispielsweise der Grundlage einer CMP-Prozesssequenz unterzogen. -
1c zeigt schematisch das Halbleiterbauelement100 nach einer entsprechenden CMP-Prozesssequenz, die einen ersten CMP-Schritt aufweist, in welchem überschüssiges Material der Opferschicht119 auf der Grundlage eines selektiven CMP-Rezepts entfernt wird, wobei die Ätzstoppschicht120 auch als eine CMP-Stoppschicht dienen kann, um damit ein hohes Maß an Steuerbarkeit des Materialabtragens zu ermöglichen. Danach wird ein weiterer CMP-Schritt mit einer geringeren oder im Wesentlichen keiner Selektivität in Bezug auf das Material der Ätzstoppschicht120 , der Opferschicht119 und der Metallsilizidgebiete111 durchgeführt. Folglich kann das Gateelektrodenmaterial112 durch diesen zusätzlichen CMP-Schritt freigelegt werden. Es sollte beachtet werden, dass die resultierende Höhe der Gateelektrodenstrukturen wenig kritisch ist, da diese durch ein sehr leitendes Material mit einer besseren Leitfähigkeit im Vergleich zu dem konventionellen Gateelektodenmaterial112 ersetzt wird, wodurch eine bessere Leitfähigkeit bereitgestellt wird, selbst wenn die Gesamthöhe der Gateelektrodenstruktur im Vergleich zur Anfangshöhe der Gateelektrodenstrukturen110 reduziert ist. -
1d zeigt schematisch das Halbleiterbauelement100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem eine Ätzmaske121 beispielsweise in Form einer Lackmaske vorgesehen wird, wobei eine Art an Transistor abgedeckt ist, während der andere Transistor der Einwirkung einer selektiven Ätzumgebung122 ausgesetzt ist, um damit in selektiver Weise zumindest das Gateelektrodenmaterial112 zu entfernen. In der gezeigten Ausführungsform unterliegt der Transistor150n der Ätzumgebung122 , die auf Grundlage gut etablierter plasmagestützter Rezepte eingerichtet werden kann, um selektiv beispielsweise Polysiliziummaterial in Bezug auf das Material der Opferschicht119 und der Abstandshalterstruktur114 zu ätzen. Z. B. sind entsprechende Rezepte zum selektiven Ätzen von Siliziummaterial in Anwesenheit von Siliziumdioxid und Siliziumnitrid zu diesem Zweck verwendbar. Des weiteren kann die Ätzumgebung122 mit einem gewissen Grad an Isotropie erzeugt werden, um damit ein zuverlässiges Entfernen des Gateelektrodenmaterials112 selbst an Seitenwänden der Abstandshalterstruktur114 zu ermöglichen. Ferner kann ein gewisser Grad an Isotropie des Prozesses122 auch für ein gewisses Maß an Unterätzung in spezifischen Bauteilbereichen, etwa den Isolationsbereichen, sorgen, in denen die Gateelektrodenstrukturen als gemeinsame „Polyleitungen" vorhanden sind, wie später mit Bezug zu den3a bis3q erläutert ist. - In anderen anschaulichen Ausführungsformen wird die Ätzumgebung
122 auf der Grundlage geeigneter nasschemischer Rezepte eingerichtet, die für das gewünschte Maß an Ätzselektivität in Bezug auf die Materialien der Abstandshalterstruktur114 und der Opferschicht119 sorgen. Beispielsweise wird in einer anschaulichen Ausführungsform eine Lösung mit TMAH (Tetramethylammoniumhydroxid) verwendet, wobei TMAH die Basis eines Photolithographieentwicklermaterials ist, das auch Silizium ätzt, wenn es in höheren Konzentrationen und bei höheren Temperaturen eingesetzt wird. Jedoch sind Siliziumdioxid und Siliziumnitrid im Hinblick auf diese Lösung sehr resistent. Beispielsweise führen ungefähr 20 Gewichtsprozent TMAH in Wasser bei ungefähr 80 Grad C zur einer Siliziumätzrate von ungefähr 23 μm pro Stunde, wobei die Selektivität zu Oxid ungefähr 9200:1 ist, während die Selektivität zu Nitrid ungefähr 30000:1 ist. Da ferner TMAH ein Entwicklermaterial ist, greift es Lackmaterial im Wesentlichen nicht an, so dass die Ätzmaske121 , selbst wenn diese als eine Photolackmaske vorgesehen ist, im Wesentlichen nicht angegriffen wird. - Es sollte ferner beachtet werden, dass in anderen Fällen die Ätzmaske
121 so gebildet werden kann, dass der Transistor150p frei liegt, während der Transistor150n abgedeckt ist, um damit das Gateelektrodenmaterial112 des Transistor150p zu entfernen. Eine entsprechende Auswahl, welcher Transistor während des Prozesses122 freiliegen soll, kann in Abhängigkeit von der Ätzwiderstandsfähigkeit eines Metalls getroffen werden, das zum Ersetzen des Gateelektrodenmaterials112 dient. D. h., wie zuvor erläutert wurde, müssen typischerweise unterschiedliche metallenthaltende Materialien für die Transistoren150n ,150p auf Grund der Anpassung der jeweiligen Austrittsarbeit vorgesehen werden, so dass ein nachfolgender Ätzprozess zum Entfernen des Gateelektrodenmaterials112 der verbleibenden Gateelektrodenstruktur110 auf der Basis eines metallenthaltenden Materials mit einer hohen Ätzresistenz ausgeführt werden kann. Beispielsweise kann man in der gezeigten Ausführungsform annehmen, dass das metallenthaltende Material, das für den Transistor150n zu verwenden ist, eine höhere Ätzresistenz in einem nachfolgenden Ätzprozess im Vergleich zu dem Metall, das für den Transistor150p verwendet wird, aufweist. Anschließend kann ein Reinigungsprozess bei Bedarf ausgeführt werden, um Nebenprodukte des Prozesses122 oder Reste der entsprechenden Ätzumgebung zu entfernen, was beispielsweise auf der Grundlage von schwefliger Säure in Verbindung mit Wasserstoffperoxid bewerkstelligt werden kann, wobei auch die Lackmaske121 entfernt wird. Als nächstes kann das Gatedielektrikumsmaterial113 auf der Grundlage eines geeigneten selektiven Ätzprozesses entfernt werden, was beispielsweise unter Verwendung eines nasschemischen Ätzrezeptes mit Flusssäure bewerkstelligt werden kann, wenn das dielektrische Material113 aus Siliziumdioxid aufgebaut ist. Da das Gatedielektrikumsmaterial113 mit einer moderat geringen Dicke vorgesehen ist, etwa 20 bis 30 Angstrom, ist ein entsprechender Materialverlust der Opferschicht119 und der Seitenwandabstandshalterstruktur114 vernachlässigbar. -
1e zeigt schematisch das Halbleiterbauelement100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein dielektrisches Material mit großem ε und ein metallenthaltendes Material vorgesehen werden, um die konventionelle Gateelektrodenstruktur110 zu ersetzen. Wie gezeigt wird eine Schicht123 aus dielektrischem Material mit großem ε, das eines der zuvor genannten Materialien repräsentieren kann, mit einer geeigneten Dicke gebildet, die im Bereich von ungefähr 15 bis 25 Angstrom in anspruchsvollen Anwendungen liegen kann, und dieses Material wird in der Vertiefung gebildet, die durch das Entfernen der konventionellen Gateelektrodenstruktur110 erhalten wird. Ferner kann eine geeignete metallenthaltende leitende Materialschicht124 so gebildet werden, dass die zuvor hergestellte Vertiefung ausgefüllt wird, wobei, wie zuvor erläutert ist, das metallenhaltende Material124 eine geeignete Austrittsarbeit aufweist, wie sie für das Erzeugen einer gewünschten geringen Schwellwertspannung für den Transistor150n erforderlich ist. Z. B. kann ein Material auf der Grundlage von Tantalnitrid für die Schicht124 verwendet werden, wenn der Transistor150n einen n-Kanaltransistor repräsentiert. Ferner wird in einer anschaulichen Ausführungsform ein dünnes dielektrisches Material113a zwischen dem dielektrischen Material123 mit großem ε und dem Kanalgebiet117 gebildet, um damit einen direkten Kontakt des dielektrischen Materials123 mit großem ε mit dem Halbleitermaterial des Kanalgebiets117 zu vermeiden, da viele dielektrische Materialien mit großem ε zu einer Beeinträchtigung der Beweglichkeit führen, wenn diese direkt mit einem siliziumbasierten Material in Kontakt sind. Das dielektrische Material113a kann beispielsweise in Form eines Oxids vorgesehen werden, das jedoch mit einer deutlich geringeren Dicke im Vergleich zu dem konventionellen dielektrischen Material113 vorgesehen wird. Beispielsweise kann die Dicke des dielektrischen Materials im Bereich von ungefähr 4 bis 6 Angstrom liegen. - Das Halbleiterbauelement
100 , wie es in1d gezeigt ist, kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Entfernen des konventionellen Gatedielektrikumsmaterials113 wird das dielektrische Material113a gebildet, falls dieses erforderlich ist, was auf der Grundlage einer geeigneten Technik erfolgen kann, etwa in einem nasschemischen Oxidationsprozess, der auf der Grundlage gut etablierter Chemien ausgeführt wird, etwa auf der Grundlage von Reinigungschemikalien, die für einen im Wesentlichen selbstbegrenzenden Oxidationsprozess in Bezug auf ein Siliziumaterial sorgen. In anderen anschaulichen Ausführungsformen wird die vorhergehende Entfernung des konventionellen dielektrischen Materials113 auf der Grundlage eines gut steuerbaren Ätzprozesses aufgeführt, um das Material113 nicht vollständig zu entfernen, um damit die Schicht113a bereitzustellen. Danach wird das dielektrische Material123 mit großem ε über dem dielektrischem Material113a beispielsweise auf der Grundlage moderner ALD-Techniken (Atomlagenabscheidung) gebildet, wobei beispielsweise ein selbstbegrenzender Prozess ausgeführt wird, um Schicht auf Schicht zu bilden, wobei jede Teilschicht eine gut definierte Dicke aufweist, so dass schließlich die gewünschte Gesamtdicke der Schicht123 erreicht wird. Als nächstes kann das metallenthaltende Material beispielsweise durch physikalische Dampfabscheidung, CVD, elektrochemische Abscheideverfahren und dergleichen aufgebracht werden in Abhängigkeit von der Art des verwendeten Metalls. Zum Beispiel können vier Materialien auf Grundlage von Tantalnitrid gut etablierte PVD-(physikalische Dampfabscheide-)Rezepte eingesetzt werden. - Danach wird das überschüssige Material der Schichten
124 und123 entfernt, beispielsweise auf der Grundlage eines CMP-Prozesses, in welchem das Material der Opferschicht119 als eine Stoppschicht dienen kann. Um für eine verbesserte Oberflächenebenheit und ein zuverlässiges Entfernen von Restmaterialien der Schichten124 zu sorgen, kann ein weiterer CMP-Schritt mit reduzierter oder ohne Selektivität in Bezug auf die Opferschicht119 durchgeführt werden, wodurch auch Metallreste mit hoher Zuverlässigkeit abgetragen werden. -
1f zeigt schematisch das Halbleiterbauelement100 nach dem Ende der oben beschriebenen Prozesssequenz, wobei das Bauelement100 einem selektiven Ätzprozess125 unterzogen wird, um damit das Gateelektrodenmaterial112 des Transistors150p selektiv in Bezug auf eine Austauschgateelektrodenstruktur110n des Transistors150n zu entfernen. Somit repräsentiert der Ätzprozess125 einen selbstjustierten Ätzprozess, ohne die Abdeckung des Transistors150n zu erfordern, wodurch die Prozesskomplexität verringert wird, da der Prozess125 ohne eine Lithographiemaske ausgeführt werden kann, oder wie nachfolgend mit Bezug zu den3a bis3q erläutert ist, bestehende Maskierungsschemata eingesetzt werden können, wenn die Einwirkung des Ätzprozesses125 auch auf andere Bauteilbereiche vermieden werden soll, in denen die konventionellen Gateelektrodenstrukturen beizubehalten sind. - In einer anschaulichen Ausführungsform wird der selektive Ätzprozess
125 als ein nasschemischer Ätzprozess unter Anwendung einer Lösung aus TMHA ausgeführt, wie sie zuvor erläutert ist, die im Wesentlichen das dielektrische Material mit großem ε und das Metall der Austauschgatestruktur110n nicht entfernt. Somit kann das Gatedielektrikumsmaterial112 entfernt werden und anschließend kann das Gatedielektrikumsmaterial113 entfernt oder in der Dicke verringert werden, wie zuvor mit Bezug zu dem Transistor150n beschrieben ist. Vor oder nach dem entsprechenden Prozess zum Entfernen oder Verringern des Gatedielektrikumsmaterials113 kann eine Lackmaske, die in anderen Bauteilbereichen vorgesehen ist, auf der Grundlage gut etablierter Plasmaätzprozesse unter Anwendung eines Sauerstoffplasmas entfernt werden. - Danach kann ein dielektrisches Material auf Oxidbasis erneut aufgewachsen werden, falls dies erforderlich ist, beispielsweise auf der Grundlage eines ozonenthaltenden Wassers, das im Wesentlichen das metallenthaltende Material der Austauschgatestruktur
110a nicht angreift. Als nächstes kann eine Prozesssequenz ausgeführt werden, um das dielektrische Material mit großem ε abzuscheiden, woran sich das Abscheiden eines geeigneten metallenthaltenden Materials mit der geeigneten Austrittsarbeit für den Transistor150p anschließt. Danach wird überschüssiges Material beispielsweise auf Grundlage von CMP-Prozessen entfernt, wie dies zuvor mit Bezug zu dem Transistor150n beschrieben ist. -
1g zeigt schematisch das Halbleiterbauelement100 nach der Beendigung der zuvor beschriebenen Prozesssequenz. Wie gezeigt, umfasst der Transistor150n die Austauschgateelektrodenstruktur110n mit dem dielektrischen Material mit großem ε123 und dem metallenthaltendem Material124 mit der geeigneten Austrittsarbeit, wobei bei Bedarf das Gatedielektrikumsmaterial113a vorgesehen sein kann. In ähnlicher Weise umfasst der Transistor150p eine Austauschgatestruktur110p mit einem dielektrischen Material123 mit großem ε, das das gleiche Material sein kann oder das ein unterschiedliches Material im Vergleich zu der Struktur110n sein kann, und weist ferner ein metallenthaltendes Material a126 auf, beispielsweise ein Material auf Basis von Titankarbid, das eine geeignete Austrittsarbeit für den Transistor150p besitzt. Auch in diesem Falle kann das Gatedielektrikum113a bei Bedarf vorgesehen sein. Danach wird, falls erforderlich, das Opfermaterial119 entfernt und die weitere Bearbeitung des Bauelements100 kann auf der Grundlage gut etablierter Techniken fortgesetzt werden, etwa dem Aufbringen von stark verspannten dielektrischen Materialien über den Transistoren150n ,150p . -
1h zeigt schematisch das Halbleiterbauelement100 gemäß weiterer anschaulicher Ausführungsformen, in denen die Austauschgatestrukturen110n ,110p auf der Grundlage eines selektiven Ätzprozesses127 vertieft bzw. ausgespart sind, um damit die Möglichkeit zu schaffen, ein weiteres gut leitendes Material in den beiden Transistoren150n ,150p abzuscheiden, um damit beispielsweise die weitere Bearbeitung des Bauelements130 z. B. im Hinblick auf das selektive Entfernen der Opferschicht119 zu verbessern, da dann ein höheres Maß an Flexibilität bei der Auswahl einer geeigneten Ätzchemie für das selektive Entfernen der Opferschicht119 in Bezug auf die Austauschgatestrukturen110n ,110p erreicht werden kann. D. h., nach dem Erzeugen der Vertiefung in den Austauschgatestrukturen110n ,110p , wobei auch das dielektrische Material mit großem ε geätzt werden kann, was vorteilhaft in anderen Bauteilbereichen sein kann, etwa gemeinsam genutzten Gateelektrodenstrukturen, wie dies nachfolgend mit Bezug zu den3a bis3q detaillierter beschrieben ist, wird ein weiteres metallenthaltendes Material oder ein anderes gut leitendes Material gebildet, wobei im Wesentlichen für gleiche Ätz- und Prozessbedingungen für die Austauschgateelektrodenstrukturen110n ,110p gesorgt wird. Z. B. kann ein geeignetes metallenthaltendes Material abgeschieden und anschließend überschüssiges Material davon zuverlässig durch z. B. CMP entfernt werden. Danach kann die weitere Bearbeitung in der zuvor beschriebenen Weise fortgesetzt werden. - Mit Bezug zu den
2a bis2d werden nunmehr weitere Ausführungsformen beschrieben, in denen eine Gateelektrodenstruktur, die gemäß gut etablierter Prozesstechniken hergestellt ist, im Wesentlichen beibehalten wird, d. h. das Gatedielektrikumsmaterial und ein Teil des Gateelektrodenmaterials werden in gewissen Teilbereichen beibehalten, während in anderen Bauteilbereichen die Gateelektrodenstruktur eines oder mehrerer Transistorarten durch einen anspruchsvollen dielektrischen Metallgatestapel mit großem ε ersetzt wird. -
2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements200 mit einem Substrat201 , das darauf ausgebildet eine Halbleiterschicht202 aufweist. Ferner ist ein Transistor250c in einem ersten Bauteilgebiet gebildet, in welchem ein hohes Leistungsvermögen bei geringen Schwellwertspannungen entsprechender Transistorelemente erforderlich ist, so dass eine Gateelektrodenstruktur210 in einer späteren Fertigungsphase durch eine Metallgatestruktur mit Dielektrikum mit großem ε ersetzt wird. In ähnlicher Weise repräsentiert ein Transistor250d eine oder mehrere Transistorarten, die in einem Bauteilbereich angeordnet sind, in welchem die entsprechende Gateelektrodenstruktur210 im Wesentlichen so gestaltet ist, dass sie den Leistungserfordernissen des Transistors250d entspricht. Folglich kann die Gateelektrodenstruktur210 des Transistors250d in dieser Fertigungsphase ein Metallsilizidgebiet211 , ein Gateelektrodenmaterial212 , das beispielsweise in Form von Polysilizium bereitgestellt ist, und ein Gatedielektrikumsmaterial213 aufweisen, dessen Dicke213t den Betriebserfordernissen des Transistors250d genügt. D. h., die Dicke213t ist so ausgewählt, dass ein Betrieb bei geeignetem Versorgungsspannungen möglich ist, die höher sind im Vergleich zu jenen in Bauteilbereichen des Transistors250c , und/oder die Dicke213t ist so gewählt, dass ein gewünschter geringerer Pegel an Leckströmen erreicht wird, wie dies in gewissen Speicherbereichen und dergleichen erforderlich sein kann. Es sollte beachtet werden, dass der Transistor250d einen p-Kanaltransistor o der einen n-Kanaltransistor repräsentieren kann, wovon beide auf der Grundlage der Gateelektrodenstruktur210 betrieben werden, die das konventionelle Gatedielektrikumsmaterial213 mit der geeigneten Dicke213t aufweisen. Ferner umfassen die Transistoren250c ,250d Drain- und Sourcegebiete215 und entsprechende Metallsilizidgebiete216 . Ferner kann eine entsprechende Seitenwandabstandshalterstruktur214 an Seitenwänden der jeweiligen Gateelektrodenstrukturen210 vorgesehen sein. Des weiteren ist eine Opferschicht219 in Verbindung mit einer Ätzstoppschicht220 vorgesehen. - Im Hinblick auf die bislang beschriebenen Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement
100 angegeben sind, mit Ausnahme der Konfiguration des Transistors250d , der das Dielektrikum213 mit geeigneten Eigenschaften für den Betrieb des Transistors250d aufweist. Somit können im Wesentlichen die gleichen Prozessstrategien eingesetzt werden, wie sie zuvor erläutert sind, wobei jedoch Prozesssequenzen zur Herstellung der Gateisolationsschicht für den Transistor250c auf der Grundlage eines eher kritischen Oxides weggelassen werden können. Ferner kann in dieser Fertigungsphase die Oberflächentopographie des Bauelements200 eingeebnet werden, indem überschüssiges Material der Opferschicht219 durch einen CMP-Prozess entfernt wird, wie dies zuvor erläutert ist, wobei der CMP-Prozess zuverlässig auf der Grundlage der Ätzstoppschicht220 gestoppt werden kann, wie dies zuvor erläutert ist. -
2b zeigt schematisch das Halbleiterbauelement200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem die dielektrischen Materialien212 der Gateelektrodenstrukturen210 freigelegt sind und wobei eine Ätzmaske221 , beispielsweise in Form einer Lackmaske, den Transistor250d bedeckt, um damit einen verbleibenden Bereich212a des Gateelektrodenmaterials und die Gateisolationsschicht213 während eines Ätzprozesses222 zum Entfernen des Gateelektrodenmaterials212 in dem Transistor250c zu schützen. Es sollte beachtet werden, dass die Ätzmaske221 auch andere Bauteilbereiche abdecken kann, in denen jeweilige Gateelektrodenmaterialien zumindest zwischenzeitlich zu bewahren sind, wie dies nachfolgend detaillierter beschrieben ist. Das Entfernen der Metallsilizidgebiete211 in Verbindung mit einem Teil des Opfermaterials219 und der Ätzstoppschicht220 kann auf der Grundlage eines nicht-selektiven CMP-Prozesses bewerkstelligt werden, wie dies zuvor erläutert ist. Danach wird eine geeignete Lithographiemaske eingesetzt, um gewünschte Bauteilbereiche, etwa den Transistor250d , abzudecken. Der Ätzprozess222 kann auf der Grundlage einer beliebigen selektiven Ätzchemie zum Entfer nen des Gateelektrodenmaterials212 selektiv zu dem Opfermaterial219 und der Abstandshalterstruktur214 ausgeführt werden. Es sollte beachtet werden, dass in anderen Fällen die Seitenwandabstandshalterstruktur214 in dieser Fertigungsphase nicht vorgesehen ist oder in Form eines moderat dünnen Ätzstoppmaterials, etwa Siliziumnitrid, vorgesehen ist, was vorteilhaft sein kann für die weitere Bearbeitung im Hinblick auf das Vorsehen eines verspannten dielektrischen Materials, um das Leistungsverhalten des Transistors250c zu verbessern. Beispielsweise kann der Ätzprozess222 auf der Grundlage gut etablierter plasmaunterstützter Ätzchemien zum Ätzen von Silizium in Anwesenheit von Stickstoff und Sauerstoff ausgeführt werden, wenn das Gateelektrodenmaterial212 im Wesentlichen aus Polysilizium aufgebaut ist. Für andere Materialien können andere geeignete Ätzchemien eingesetzt werden. Ferner können auch nasschemische Ätzprozesse, beispielsweise auf der Grundlage von TMAH, wie dies zuvor erläutert ist, eingesetzt werden, um in geeigneter Weise das Gateelektrodenmaterial212 zu entfernen. Nach dem Entfernen des Gateelektrodenmaterials212 kann die Ätzmaske221 entfernt werden, beispielsweise auf der Grundlage von Schwefelsäure und Wasserstoffperoxid oder auf der Grundlage eines anderen geeigneten Abtragungsprozesses, etwa eines plasmagestützten Prozesses und dergleichen. Als nächstes wird das Gatedielektrikumsmaterial213 mit der Dicke213t entfernt oder zumindest in seiner Dicke durch einen geeigneten Ätzprozess verringert, beispielsweise auf der Grundlage von Flusssäure, wie dies zuvor mit Bezug zu dem Bauelement100 beschrieben ist. Danach kann die weitere Bearbeitung fortgesetzt werden, wie dies zuvor erläutert ist, d. h. es wird ein dielektrisches Material mit großem ε abgeschieden, beispielsweise nach dem Aufwachsen eines geeigneten konventionellen dielektrischen Materials, etwa Siliziumdioxid, wenn ein direkter Kontakt des dielektrischen Materials mit großem ε mit dem Kanalgebiet217 nicht gewünscht ist. Danach wird ein geeignetes metallenthaltendes Material abgeschieden, das eine geeignete Austrittsarbeit für den Transistor250c aufweist. -
2c zeigt schematisch das Bauelement200 nach der zuvor beschriebenen Prozesssequenz und nach einem Abtragungsprozess, der zum Entfernen von überschüssigem Material erforderlich ist, um damit auch eine eingeebnete Oberflächentopographie bereitzustellen. Somit umfasst der Transistor250c eine Austauschgateelektrodenstruktur210c mit einem dielektrischen Material223 mit großem ε möglicherweise in Verbindung mit einem wieder aufgewachsenen oder reduziertem konventionellen Gatedielektrikumsmaterial213a mit einer Dicke von ungefähr 4 bis 8 Angstrom, und ein metallenthaltendes Material224 , das angepasst ist, um die erforderliche geringe Schwellwertspannung für den Transistor250c bereitzustellen. Andererseits umfasst der Transistor250d die Gateelektrodenstruktur210 , jedoch mit dem Metallsilizidgebiet211 einschließlich des Bereichs212a und der ursprünglichen Gatedielektrikumsschicht213 . -
2d zeigt schematisch das Halbleiterbauelement200 während eines selektiven Ätzprozesses227 zum Erzeugen einer Vertiefung in der Gateelektrodenstruktur210 und der Austauschgateelektrodenstruktur210c , um damit ein gut leitendes Material auf der Oberseite der Gateelektrodenstruktur210 zu schaffen, wodurch ein Ersatz für das anfängliche Metallsilizidgebiet211 in der Gateelektrodenstruktur210 geschaffen wird. Die Art des Metalls und dessen Höhe wird so gewählt, dass eine gewünschte hohe Leitfähigkeit der Gateelektrodenstruktur210 erreicht wird, ohne dass eine Einschränkung im Hinblick auf Prozessgrenzen erforderlich ist, die durch den Metallsilizidprozess zur Herstellung der Metallsilizidgebiete216 in den Drain- und Sourcegebieten215 vorgegeben sind. Der Ätzprozess227 wird in einer anschaulichen Ausführungsform ohne Lithographiemasken ausgeführt und kann das Metall der Metallgatestruktur210c möglicherweise in Verbindung mit dem dielektrischen Material223 mit großem ε abtragen, was vorteilhaft sein kann im Hinblick auf andere Bauteilbereiche, etwa gemeinsame Gateelektrodenleitungen, wie dies nachfolgend mit Bezug zu den3a bis3q beschrieben ist. Z. B. können Chemien auf Chlorbasis eingesetzt werden, ähnlich wie bei konventionellen Polysiliziumätzprozessen, in denen Polysilizium selektiv in Bezug auf Siliziumdioxid und Siliziumnitrid abgetragen wird. Folglich kann nach dem Herstellen einer entsprechenden Vertiefung mit einer gewünschten Tiefe ein geeignetes leitendes Material, etwa Tantal, Wolfram, Kupfer in Verbindung mit Barrierenmaterialien und dergleichen in die Vertiefungen eingefüllt werden, um damit eine Gateelektrodenstruktur210 mit hoher Leitfähigkeit zu erhalten, die noch einen Teil212b des ursprünglichen Gateelektrodenmaterials212 enthält und ferner noch die ursprünglich ausgebildete Gatedielektrikumsschicht213 aufweist. Danach kann die weitere Bearbeitung fortgesetzt werden, indem überschüssiges Metall, beispielsweise durch CMP entfernt wird und ein dielektrisches Material abgeschieden wird, bevor nachfolgende Metallisierungsschichten gebildet werden. In anderen Fällen wird das Opfermaterial219 abgetragen, um damit das Abscheiden eines stark verspannten Materials zu ermöglichen, um beispielsweise das Leistungsverhalten des Transistors250c zu verbessern. - Somit kann auch für die in den
2a bis2d gezeigten Ausführungsformen eine effiziente Prozesssequenz mit einem hohen Maß an Kompatibilität mit konventionellen Strategien eingerichtet werden, wobei ein Metallgate mit großem ε nach der Beendigung von Hochtemperaturprozessen gebildet wird, während in einigen Bauteilbereichen ein Teil der ursprünglich hergestellten Gatestruktur über die gesamte Prozesssequenz hinweg beibehalten wird. - Mit Bezug zu den
3a bis3q werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, in denen Prozessschritte der in den1a bis1d und2a bis2c beschriebenen Ausführungsformen verwendet und kombiniert werden, um damit geeignete Austauschgatestrukturen für Transistoren mit hoher Leistungsfähigkeit zu erhalten, die bei geringen Schwellwertspannungen betrieben werden, während gleichzeitig zumindest ein Teil von anfänglich ausgebildeten Gateelektrodenstrukturen in gewissen Bauteilbereichen beibehalten werden. -
3a zeigt schematisch ein Halbleiterbauelement300 in einer Fertigungsphase, in der entsprechende Transistorelemente350n ,350p und350d im Wesentlichen fertiggestellt sind, d. h. diese Transistorelemente haben bereits die Hochtemperaturbehandlungen und dergleichen durchlaufen. Somit weist in der gezeigten Fertigungsphase das Bauelement300 ein Substrat301 und eine Halbleiterschicht302 auf, wobei in einigen Ausführungsformen eine vergrabene isolierende Schicht303 vorgesehen ist, um damit eine SOI-Konfiguration zu repräsentieren. Wie zuvor erläutert ist, kann die vergrabenen isolierende Schicht303 weggelassen werden oder ist lediglich in gewissen Bauteilbereichen vorgesehen, abhängig von den Bauteilerfordernissen. Des weiteren ist eine Isolationsstruktur304 , beispielsweise eine Grabenisolationsstruktur vorgesehen, die im Wesentlichen aus einem geeigneten isolierenden Material, etwa Siliziumdioxid, Siliziumnitrid, und dergleichen aufgebaut ist, so vorgesehen, um ein aktives Gebiet305n mit einer geeigneten Basisdotierkonzentration zur Herstellung des Transistors350n von einem aktiven Gebiet350p mit einer geeigneten Dotierstoffkonzentration zur Herstellung der Transistoren350p zu trennen. Beispielsweise repräsentieren die Transistoren350n n-Kanaltransistoren, während die Transistoren350p p-Kanaltransistoren repräsentieren. Ferner umfassen in der gezeigten Ausführungsform die Transistoren350n ,350p entsprechende Komponenten oder Transistoren mit einer gemeinsam genutzten Gateelektrodenstruktur310s , die sich von über dem aktiven Gebiet305n zu dem aktiven Gebiet305p erstreckt. Beispielsweise kann die gemeinsam benutzte Gateelektrodenstruktur310s eine gemeinsame Gateelektrodenstruktur für einen n-Kanaltransistor und einen p-Kanaltransistor repräsentieren, wobei die Gateelektrodenstruktur310s so gezeigt ist, dass sie sich entlang der jeweiligen Transistorbreitenrichtung erstreckt. Ferner kann das Bauelement300 einen Transistor350d aufweisen, der eine beliebige Transistorart repräsentieren kann, die unterschiedliche Eigenschaften des Gatedielektrikums im Vergleich zu den Transistoren350n ,350p erfordert, beispielsweise beider Transistor350p bei einer höheren Betriebsspannung betrieben wird, wodurch eine größere Dicke des entsprechenden Gatedielektrikumsmaterials und dergleichen erforderlich ist. Beispielsweise können für den Transistor350d die gleichen Kriterien gelten, wie sie zuvor mit Bezug zu dem Transistor350d erläutert sind. - Folglich kann in dieser Fertigungsphase jeder der Transistoren
350n ,350p ,350d ein Metallsilizidgebiet311 , ein konventionelles Gateelektrodenmaterial312 und ein Gatedielektrikumsmaterial313 , etwa ein siliziumdioxidbasiertes Material aufweisen, wobei in einer anschaulichen Ausführungsform eine Dicke313t geeignet ausgewählt ist für den Betrieb des Transistors350d , wie dies zuvor mit Bezug zu dem Transistor250d erläutert ist. Ferner ist in einigen Fällen eine Abstandshalterstruktur314 an Seitenwänden der Gateelektrodenstrukturen310 und310s vorgesehen, während in anderen Fällen die Abstandshalterstruktur314 entfernt wurde, nachdem die Metallsilizidgebiete316 , die in den jeweiligen Drain- und Sourcegebieten (nicht gezeigt) gebildet sind, entfernt wurden. Wie ferner zuvor mit Bezug zu dem Bauelement100 beschrieben ist, können ein oder mehrere der Transistoren350n ,350p darin eingebaut zusätzliche verformungsinduzierende Mechanismen aufweisen, etwa in Form einer Halbleiterlegierung318 zur Verstärkung der Verformung in einem entsprechenden Kanalgebiet des Transistors350p . Jedoch kann ein entsprechender Mechanismus für den Transistor350n auf Grundlage geeigneter Halbleitermaterialien vorgesehen sein. - Es sollte beachtet werden, dass in Bezug auf die bislang beschriebenen Komponenten die gleichen Kriterien gelten, wie sie zuvor mit Bezug zu den Bauelementen
100 und200 erläutert sind. Somit kann das Bauelement300 auf der Grundlage von Prozesstechniken hergestellt werden, wie sie zuvor mit Bezug zu den Bauelementen100 und200 beschrieben sind, wobei in einer anschaulichen Ausführungsform die Dicke313t des Gatedielektrikumsmaterials313 so ausgewählt ist, dass es einer Entwurfsdicke entspricht, d. h. einer Solldicke, die für den Transistor350d geeignet ist, da das dielektrische Material313 dieses Transistors und ein Teil des entsprechenden Gateelektrodenmaterials312 beibehalten wird, während die Gateelektrodenstrukturen310 ,310s der Transistoren350n ,350p ersetzt werden. -
3b zeigt schematisch das Halbleiterbauelement300 in einer fortgeschrittenen Herstellungsphase, in der eine Opferschicht319 in Verbindung mit einer Ätzstoppschicht320 ausgebildet ist. Im Hinblick auf die Opferschicht319 gelten die gleichen Kriterien, wie sie zuvor dargelegt sind. In ähnlicher Weise kann die Ätzstoppschicht320 in Form von beispielsweise Siliziumnitridmaterial vorgesehen werden, das eine hohe kompressive Verspannung mit einer Dicke von ungefähr 5 bis 15 nm aufweist. -
3c zeigt schematisch das Halbleiterbauelement300 nach dem Entfernen von überschüssigem Material der Opferschicht319 , beispielsweise auf der Grundlage eines selektiven CMP-Prozesses, wie dies zuvor erläutert ist, wobei die Ätzstoppschicht320 auch als eine CMP-Stoppschicht dient. Danach kann ein weiterer im Wesentlichen nicht-selektiver CMP-Prozess ausgeführt werden, um die Gateelektrodenmaterialien312 in den Gateelektrodenstrukturen310 ,310s freizulegen. -
3d zeigt schematisch das Halbleiterbauelement300 nach dem im Wesentlichen nicht-selektiven CMP-Prozess. Da der nicht-selektive CMP-Prozess die jeweiligen Material abschleift, d. h. die Ätzstoppschicht320 , die Opferschicht319 , die Seitenwandabstandshalterstruktur314 , falls diese vorgesehen ist, und die Metallsilizidgebiete311 , wird eine im Wesentliche ebene Oberflächentopographie erreicht. -
3e zeigt schematisch das Halbleiterbauelement300 mit einer Ätzmaske321 , die über den Bauteilbereichen gebildet ist, den Transistoren350p und350d entsprechen, um damit diese Transistoren während eines selektiven Ätzprozesses322 zu schützen, der gestaltet ist, um das Gateelektrodenmaterial312 der freigelegten Transistorbauelemente zu entfernen, etwa der Transistoren350n . In Bezug auf die Eigenschaften des Ätzprozesses322 gelten im Wesentlichen die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Ätzprozess122 erläutert sind. D. h., der Prozess322 beruht auf einer selektiven Ätzchemie in einer plasmagestützten Ätzumgebung, wobei ein gewisses Maß an Isotropie eingesetzt werden kann, um in zuverlässiger Weise das Gateelektrodenmaterial312 in der freigelegten Gateelektrodenstruktur310 und in dem freiliegenden Bereich der gemeinsam benutzten Gateelektrodenstruktur310s zu entfernen, wobei auch für eine gewisse Prozesssicherheit für das Unterätzen der Ätzmaske321 in der gemeinsamen Gateelektrodenstruktur310s gesorgt ist. In anderen Fällen werden sehr selektive nasschemische Ätzchemien eingesetzt, beispielsweise auf der Grundlage von TMHA, wie dies zuvor erläutert ist. -
3f zeigt schematisch das Halbleiterbauelement300 nach dem Entfernen der Ätzmaske321 , z. B. auf der Grundlage eines nasschemischen Rezepts unter Anwendung von Schwefelsäure und Wasserstoffperoxid, oder auf der Grundlage eines plasmagestützten Veraschungsprozesses. Ferner wird in einigen anschaulichen Ausführungsformen das dielektrische Gatematerial313 in freiliegenden Bereichen durch einen geeigneten Ätzprozess entfernt, beispielsweise unter Anwendung von Flusssäure, wenn siliziumdioxidbasierte Materialien in den Gatdielektrikumsschichten313 verwendet sind. Danach wird in einigen anschaulichen Ausführungsformen ein entsprechendes geeignetes dielektrisches Material gebildet, beispielsweise durch Oxidation, Abscheidung, und dergleichen, wenn ein direkter Kontakt eines dielektrischen Materials mit großem ε mit dem darunter liegenden Halbleitermaterialien der aktiven Schicht305n nicht gewünscht ist. Beispielsweise kann ein Oxid auf der Grundlage eins nasschemischen. Oxidationsprozesses unter Anwendung von Chemikalien, etwa APM, HPM, hergestellt werden, die zu einem gut steuerbaren natürlichen Oxid führen, wenn siliziumbasiertes Material für die aktiven Schichten305n vorgesehen ist. In anderen Fällen kann eine geeignete Abscheidung oder eine Oberflächenbehandlung eingesetzt werden, um eine dielektrische Schicht mit einer Dicke von ungefähr 4 bis 6 Angstrom zu erhalten. -
3g zeigt schematisch das Halbleiterbauelement300 mit einem dielektrischen Material323 mit großem ε und einem geeigneten Gatematerial324 mit einer geeigneten Austrittsarbeit zum geeigneten Einstellen der Schwellwertspannung der Transistoren350n in Bezug auf eine geringe Schwellwertspannung. Die Schichten323 und324 werden auf der Grundlage geeigneter Prozesstechniken hergestellt, wie sie zuvor mit Bezug zu den Bauelementen100 und200 beschrieben sind. Beispielsweise repräsentieren die Transistoren350n n-Kanaltransistoren, wobei das Material der Schicht324 in Form eines Materials auf Basis von Tantalnitrid vorgesehen ist. Es sollte ferner beachtet werden, dass wenn die Transistoren350n p-Kanaltransistoren repräsentieren, eine entsprechende Metallschicht324 vorgesehen werden kann, beispielsweise in Form von Tantalkarbidmaterial und dergleichen. -
3h zeigt schematisch das Halbleiterbauelement300 nach dem Entfernen von überschüssigen Materialien der Schichten324 und323 , was auf der Grundlage eines Abtragungsprozesses mit einem CMP-Prozess bewerkstelligt werden kann, der als ein selektiver Prozess unter Anwendung des Opfermaterials319 ausgeführt werden kann, das in Form eins Oxidmaterials und dergleichen vorgesehen ist. Danach kann ein weiterer CMP-Schritt hinzugefügt werden, in welchem im Wesentlichen ein nicht-selektives Verhalten erreicht wird, um in zuverlässiger Weise Restmaterialien über den Transistoren350n ,350p und350d zu entfernen. Somit weisen die Transistoren350n entsprechende Austauschgateelektrodenstrukturen310a entsprechend zu den Gateelektrodenstrukturen310 auf, und eine Austauschgateelektrodenstruktur310b , die der gemeinsamen Gateelektrodenstruktur310s entspricht, ist vorgesehen. D. h., in der Gateelektrodenstruktur310b umfasst ein über dem aktiven Gebiet205n gebildeter Bereich das metallenthaltende Material324 und das dielektrische Material323 mit großem ε, während der verbliebene Bereich, der über dem aktiven Gebiet305p ausgebildet ist, weiterhin das konventionelle Dielektrikum313 und das konventionelle Gateelektrodenmaterial312 aufweist. -
3i zeigt schematisch das Halbleiterbauelement300 mit einer darauf ausgebildeten weiteren Ätzmaske328 , beispielsweise in Form einer Lackmaske, die in einer Ausführungsform den Transistor350d abdeckt, während die Transistoren350n ,350p frei liegen. In anderen anschaulichen Ausführungsformen kann die Ätzmaske328 auf dem Transistor350n abdecken, wenn eine gewünschte hohe Ätzselektivität des metallenthaltenden Materials324 und des dielektrischen Materials323 mit großem ε im Hinblick auf eine Ätzumgebung325 nicht ausreichend ist. In diesem Falle, d. h. wenn auch der Transistor350n abgedeckt wird, kann eine ähnliche Ätztechnik, wie sie mit Bezug zu dem Prozess322 beschrieben ist, auch hier eingesetzt werden, um in selektiver Weise das Gateelektrodenmaterial312 von freiliegenden Bereichen der Gateelektrodenstrukturen310b und310 zu entfernen. In der in3i gezeigten Ausführungsform ist der Ätzprozess325 als ein sehr selektiver isotroper Ätzprozess auf Grundlage einer nasschemischen Lösung gestaltet, die ein hohes Maß an Selektivität im Hinblick auf das Material der Maske328 , der Opferschicht319 und der Seitenwandabstandshalterstrukturen314 , falls diese vorgesehen sind, und des metallenthaltenden Materials324 und des dielektrischen Materials323 mit großem ε zu erhalten. Beispielsweise kann eine Lösung mit TMHA, wie dies zuvor mit Bezug zu dem Ätzprozess125 erläutert ist, eingesetzt werden, um die gewünschte Selektivität während des Prozesses325 zu erhalten. Danach wird die Ätzmaske328 entfernt, beispielsweise auf der Grundlage eines plasmaunterstützten Veraschungsprozesses, und die freiliegenden Gatedielektrikumsschichten313 können entfernt oder zumindest in ihrer Dicke deutlich verringert werden mittels eines geeigneten Prozesses, etwa eines nasschemischen Ätzprozesses auf der Grundlage von Flusssäure, wenn siliziumbasierte Materialien betrachtet werden. -
3j zeigt schematisch das Halbleiterbauelement300 nach der zuvor beschriebenen Prozesssequenz. Ein Materialabtrag der Austauschgatestrukturen310a und310b während des Entfernens des Gatedielektrikumsmaterials313 ist weniger kritisch, da ein Teil dieser Materialien in einer späteren Fertigungsphase entfernt wird und durch ein weiteres metallenthaltendes Material ersetzt werden kann, wie dies nachfolgend beschrieben ist. Es sollte beachtet werden, dass abhängig von der Ätzchemie zum Entfernen des dielektrischen Materials313 das dielektrische Material323 mit großem ε in einem mehr oder weniger zusammenhängenden Zustand in der Austauschgateelektrodenstruktur verbleibt. Danach kann ein geeignetes dielektrisches Material abgeschieden oder anderweitig hergestellt werden, beispielsweise durch Oxidation, wenn ein direkter Kontakt eines weiteren dielektrischen Materials mit großem ε mit dem aktiven Gebiet305p als ungeeignet im Hinblick von beispielsweise einer Beeinträchtigung der Ladungsträgerbeweglichkeit erachtet wird. Dazu wird ein Oxid aufgewachsen, wie dies beispielsweise mit Bezug zu dem Bauelement200 beschrieben ist. -
3k zeigt schematisch das Halbleiterbauelement300 in einer weiter fortgeschrittenen Herstellungsphase, in der ein weiteres dielektrisches Material329 mit großem ε abgeschieden wird, möglicherweise in Verbindung mit einem zuvor hergestellten dielektrischen Material313a , wie dies zuvor erläutert ist, wobei in einigen anschaulichen Ausführungsformen das dielektrische Material329 mit großem ε im Wesentlichen das gleiche Material wie das Material323 sein kann, während in anderen anschaulichen Ausführungsformen eine andere Art eines Materials eingesetzt werden kann. Des weiteren kann ein weiteres metallenthaltendes Material326 über dem Bauelement300 ausgebildet sein, wobei das Material326 eine geeignet Austrittsarbeit aufweist, die so ausgelegt ist, dass die Schwellwertspannung der Transistoren350p in geeigneter Weise eingestellt wird. Wenn beispielsweise die Transistoren350p p-Kanaltransistoren repräsentieren, kann ein tantalkarbidbasiertes Material verwendet werden. Mit Bezug zu Prozessverfahren zur Herstellung der dielektrischen Schicht329 mit großem ε und der Metallschicht326 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement100 beschrieben sind. -
3l zeigt schematisch das Halbleiterbauelement200 nach dem Entfernen des überschüssigen Materials, was auf der Grundlage von CMP-Techniken bewerkstelligt werden kann, wie dies zuvor erläutert ist. Somit ist eine Austauschgatestruktur310p in dem Transistor350p hergestellt, wobei die gemeinsame Gateelektrodenstruktur310b den Bereich324 und einen Teil des Materials326 aufweist, wobei jedoch die Schichten323 und/oder329 , falls unterschiedliche Materialien verwendet werden, zu einer elektrischen Isolierung der Bereiche324 und326 führen können. -
3m zeigt schematisch das Halbleiterbauelement300 während eines weiteren selektiven Ätzprozesses327 , in welchem die Austauschgateelektrodenstrukturen310n ,310p und310b mit einer Vertiefung versehen werden, wodurch auch die Isolation entfernt wird, die durch die Materialschichten323 oder329 in der gemeinsamen Gateelektrodenstruktur310b hervorgerufen wird. In einer anschaulichen Ausführungsform entfernt der Prozess327 auch Material der Gateelektrodenstruktur310 des Transistors350d , wodurch die Möglichkeit geschaffen wird, die Gateelektrodenstruktur310 durch ein gut leitendes metallenthaltendes Material wieder aufzufüllen. Zu diesem Zweck wird der Ätzprozess327 auf der Grundlage einer chlorbasierten Chemie ausgeführt, wie dies zuvor mit Bezug zu dem Ätzprozess227 erläutert ist, wobei die Fertigungssequenz für das Bauelement200 beschrieben wurde. -
3n zeigt schematisch das Halbleiterbauelement300 nach dem Abscheiden eines weiteren metallenthaltenden Materials330 , das in Form eines geeigneten gut leitenden Materials vorgesehen ist, um damit eine hohe Leitfähigkeit und ein hohes Maß an Kompatibilität mit der weiteren Bearbeitung des Bauelements300 zu erreichen. Beispielsweise kann die Titannitrid oder Titannitridbarrierenschicht in Verbindung mit einem Kupfermaterial verwendet werden, oder es können andere geeignete Materialien eingesetzt werden, um eine leitende Verbindung in der gemeinsamen Gateelektrodenstruktur310b zu erreichen und ferner für einen sehr leitfähigen metallenthaltenden Streifen in der Gateelektrodenstruktur310 des Transistors350d zu sorgen. Des weiteren kann das Material330 eine gewünschte hohe Ätzresistenz in Bezug auf einen Ätzprozess zum Entfernen des Opfermaterials319 aufweisen, wenn eine Ersetzung dieses Materials durch ein sehr stark verspanntes dielektrisches Material gewünscht ist. Zum Herstellen des Materials330 kann eine beliebige geeignete Abscheidetechnik eingesetzt werden, etwa physikalische Dampfabscheidung, CVD, elektrochemische Abscheidung oder eine Kombination dieser Verfahren. Als nächstes wird ü berschüssiges Material der Schicht330 auf der Grundlage von CMP-Verfahren entfernt, wie dies zuvor beschrieben ist. D. h., es kann ein selektiver CMP-Schritt eingesetzt werden, der auf der Grundlage der Opferschicht319 gesteuert wird, woran sich ein nicht-selektiver CMP-Schritt zum zuverlässigen Entfernen von Metallresten anschließt. -
3o zeigt schematisch das Bauelement300 nach dem Ende der zuvor beschriebenen Prozesssequenz. Somit umfasst das Bauelement300 die entsprechenden Austauschgateelektrodenstrukturen310n ,310b und310p , wobei die gemeinsame Gateelektrodenstrukturen310b nunmehr eine gut leitende Verbindung von oberhalb des aktiven Gebiets305n zu oberhalb des aktiven Gebiets305p auf Grund des Metalls330 aufweist, während der Transistor350d eine Gateelektrodenstruktur310 besitzt, die weiterhin einen Teil312b des ursprünglichen Gateelektrodenmaterials312 und das anfängliche Gatedielektrikumsmaterial313 aufweist, während das Material330 für eine gut leitende Gateelektrode sorgt, wodurch das anfängliche Metallsilizidgebiet311 ersetzt wurde, das während der vorhergehenden Prozesssequenz entfernt wurde. -
3p zeigt schematisch das Halbleiterbauelement300 gemäß weiterer anschaulicher Ausführungsformen während eines selektiven Ätzprozesses331 , um die Opferschicht319 zu entfernen, wobei das Metall330 für die gewünschte Ätzselektivität während des Prozesses311 sorgt. Beispielsweise umfasst in einer anschaulichen Ausführungsform der Prozess einen nasschemischen Ätzprozess auf der Grundlage von Flusssäure, wenn das Opfermaterial319 in Form von siliziumdioxidbasiertem Material vorgesehen ist, wodurch ein hohes Maß an Ätzsteuerung erreicht wird, da der Prozess331 zuverlässig auf der Ätzstoppschicht320 anhält. Abhängig von den weiteren Bauteilerfordernissen kann die Ätzstoppschicht320 entfernt werden, zumindest von gewissen Bauteilbereichen, wenn eine entsprechende innere Verspannung für die weitere Bearbeitung dieses Bauelements als ungeeignet erachtet wird. Beispielsweise wird in einigen anschaulichen Ausführungsformen (nicht gezeigt) die Ätzstoppschicht320 entfernt, während auch ein Teil der Seitenwandabstandshalterstruktur314 , falls diese in dieser Fertigungsphase vorgesehen ist, abgetragen wird, wodurch das Abscheiden eines gut verspannten Materials mit geringerem Abstand zu dem jeweiligen Kanalgebiet der Transistoren350n ,350p ermöglicht wird. In anderen Fällen wird die Seitenwandabstandshalterstruktur314 , wenn diese in dieser Fertigungsphase noch vorhanden ist, beibehalten und die weitere Prozesssequenz wird so ausgeführt, dass selektiv ein kompressiv verspanntes dielektrisches Material über den Transistoren350p geschaffen wird, falls diese p-Kanaltransistoren repräsentieren, während ein zugverspanntes dielektrisches Material über den Transistoren350n gebildet wird, wenn diese n-Kanaltransistoren repräsentieren. In ähnlicher Weise kann ein entsprechend verspanntes dielektrisches Material über dem Transistor350d gebildet werden, wenn dies geeignet ist, abhängig von der Art des Transistors, oder in anderen Fällen wird eine im Wesentlichen entspanntes Material über dem Transistor350d vorgesehen, wenn ein externer verspannungsinduzierender Mechanismus für diesen speziellen Bauteilbereich als ungeeignet erachtet wird. -
3q zeigt schematisch das Halbleiterbauelement300 nach der Beendigung der zuvor beschriebenen Prozesssequenz. D. h., die Transistoren350n haben darüber ausgebildet eine geeignet verspannte dielektrische Schicht332n , die eine hohe innere kompressive Vespannung oder Zugverspannung aufweisen, abhängig von der Art des Transistors. In ähnlicher Weise sind über den Transistoren350p jeweilige hoch verspannte Materialien332p mit einer geeigneten inneren Verspannung ausgebildet, um damit das Leistungsverhalten dieser Transistoren zu verbessern, während der Transistor350d darauf ausgebildet ein dielektrisches Material332d aufweist, das eine geeignete Größe und Art innerer Verspannung aufweist, oder das selbst im Wesentlichen einen neutralen Verspannungspegel aufweisen kann, abhängig von den Eigenschaften des Transistors350d . Es sollte beachtet werden, dass für das Bauelement300 , wie es in3q gezeigt ist, eine beliebige geeignete Prozesssequenz zur Herstellung geeignet verspannter dielektrischer Materialien über den jeweiligen Transistorelementen eingesetzt werden kann, wobei in einigen Fällen die anfänglich abgeschiedene Ätzstoppschicht320 über gewissen Bauteilbereichen verbleiben kann, wenn die entsprechende innere Verspannung als geeignet erachtet wird. Es sollte ferner beachtet werden, dass die Transistoren350n ,350p Transistoren mit hohem Leistungsverhalten repräsentieren können, die auf der Grundlage hoher Durchlassströme bei geringen Schwellwertspannungen in Verbindung mit Metallgateelektroden mit großem ε arbeiten, während der Transistor350d auf der Grundlage der anfänglich gebildeten Gateelektrodenstruktur310 arbeiten kann. In diesem Falle können eine Vielzahl unterschiedlicher anfänglicher Gatestrukturen hergestellt werden, beispielsweise können zwei unterschiedliche Dicken für die Gatedielektrikumsschichten313 vorgesehen werden, so dass die zuvor beschriebene Prozesssequenz effizient auf diverse Arten von Transistoren350d ausgedehnt werden kann, die unterschiedliche anfängliche Oxiddicken erfordern, indem in geeigneter Weise die entsprechende Ätzmaske328 angepasst wird (siehe3i ). - Es gilt also: Der hierin offenbarte Gegenstand stellt eine Technik und entsprechende Halbleiterbauelemente bereit, die die Funktion einer Metallgatestruktur mit großem ε ermöglichen, während andere Gatedielektrika, die in entsprechenden Bauteilbereichen eingesetzt werden, beibehalten werden, beispielsweise zum Betreiben von Transistoren bei höheren Spannungen und dergleichen. Des weiteren ist die hierin beschriebene Prozesssequenz in hohem Maße kompatibel mit konventionellen Prozessstrategien zur Herstellung von Transistorstrukturen, wodurch die Integration beliebiger gewünschter verformungsinduzierender Mechanismen, etwa eingebetteter Halbleiterlegierungen, „Gedächtnisverspannungsverfahren", d. h. von Techniken, in denen aktive Gebiete im Wesentlichen amorphisiert und bei Vorhandensein einer steifen darüber liegenden Schicht rekristallisiert werden, um einen verformten Zustand des rekristallisierten Halbleitermaterials zu erzeugen, selbst nachdem die steife darüber liegende Schicht entfernt wird, und dergleichen, möglich ist. Ferner können die hierin offenbarten Prozessverfahren auch vorteilhaft mit gut etablierten Prozesstechniken kombiniert werden, um ein geeignet verspanntes dielektrisches Material über jeweiligen Transistorelementen anzuordnen, etwa Vorgehensweisen mit dualen verspannten Beschichtungen und dergleichen, ohn dass im Wesentlichen eine Modifizierung dieser Sequenzen erforderlich ist. In einigen anschaulichen Ausführungsformen kann ferner das Ersetzen konventioneller Gateelektrodenstrukturen durch Metallgatestrukturen mit großem ε auf der Grundlage von einer nur geringen Anzahl an zusätzlichen Maskierungsschritten bewerkstelligt werden, was erreicht werden kann, indem ein sehr selektiver Ätzprozess zum Abtragen einer Gateelektrodenstruktur bei Vorhandensein einer nicht maskierten Metallgatestruktur ausgeführt wird, wobei der Grad an Prozesskomplexität deutlich verringert wird. Ferner kann in einigen anschaulichen Ausführungsformen eine insgesamt geringere Gatehöhe verwirklich werden, wobei dennoch eine verbesserte Gateleitfähigkeit vorgesehen wird, wobei die geringere Gatehöhe zu einer geringeren Kapazität zwischen dem Gate und Kontaktstrukturen führt, wodurch das Leistungsverhalten der Transistoren noch weiter verbessert wird.
- Weiter Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin bereitgestellten Lehren zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der vorliegenden Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.
Claims (22)
- Verfahren mit: Bilden eines ersten Transistors mit einer ersten Gateelektrodenstruktur über einem ersten Bauteilgebiet; Bilden eines zweiten Transistors mit einer zweiten Gateelektrodenstruktur über einem zweiten Bauteilgebiet; Ersetzen der ersten Gateelektrodenstruktur durch eine erste Austauschgatestruktur mit einem dielektrischen Material mit großem ε und einem ersten metallenthaltenden Gateelektrodenmaterial, während der zweite Transistor durch eine Maske abgedeckt ist; Entfernen der zweiten Gateelektrodenstruktur auf der Grundlage eines selektiven Ätzprozesses, während die erste Austauschgateelektrodenstruktur ebenfalls der Einwirkung des selektiven Ätzprozesses ausgesetzt ist; und Bilden einer zweiten Austauschgateelektrodenstruktur mit einem Material mit großem ε und einem zweiten metallenthaltenden Gateelektrodenmaterial.
- Verfahren nach Anspruch 1, wobei der selektive Ätzprozess auf der Grundlage einer Ätzchemie mit Tetramethylammoniumhydroxid (TMAH) ausgeführt wird.
- Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Ätzstoppschicht und einer Opferschicht über dem ersten und dem zweiten Transistor und Entfernen eines Teils der ersten und der zweiten Gateelektrodenstruktur unter Anwendung der Opferschicht vor dem Ersetzen der ersten Gateelektrodenstruktur.
- Verfahren nach Anspruch 3, wobei Ersetzen der ersten Gateelektrodenstruktur umfasst: selektives Ätzen von Material der ersten Gateelektrodenstruktur nach dem Entfernen des Bereichs davon, um ein dielektrisches Gateisolationsmaterial freizulegen und Entfernen des dielektrischen Gateisolationsmaterials und Bilden eines dielektrischen Austauschgateisolationsmaterials, das das Material mit großem ε enthält.
- Verfahren nach Anspruch 4, wobei Bilden des dielektrischen Austauschgateisolationsmaterials umfasst: Bilden eines ersten dielektrische Materials und Bilden des dielektrischen Materials mit großem ε auf dem ersten dielektrischen Material.
- Verfahren nach Anspruch 4, wobei Material der ersten Gateelektrodenstruktur durch einen selektiven Trockenätzprozess entfernt wird.
- Verfahren nach Anspruch 4, wobei Material der ersten Gateelektrodenstruktur durch einen selektiven Nassätzprozess entfernt wird.
- Verfahren nach Anspruch 1, das ferner umfasst: selektives Entfernen von Material der ersten und der zweiten Austauschgateelektrodenstruktur, um darin Vertiefungen zu bilden und Wiederbefüllen der Vertiefungen mit einem dritten metallenthaltendem Material.
- Verfahren nach Anspruch 1, wobei das erste metallenthaltende Material eine erste Austrittsarbeit besitzt und wobei das zweite metallenthaltende Material eine zweite Austrittsarbeit besitzt, die sich von der ersten Austrittsarbeit unterscheidet.
- Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines dritten Transistors mit einer dritten Gateelektrodenstruktur über einem dritten Bauteilgebiet und Bewahren zumindest eines Teils des Gateelektrodenmaterials der dritten Gateelektrodenstruktur, wenn die erste Gateelektrodenstruktur ersetzt wird und die zweite Gateelektrodenstruktur entfernt wird.
- Verfahren nach Anspruch 10, wobei Bilden des ersten, des zweiten und des dritten Transistors umfasst: Bilden einer Gateisolationsschicht für den ersten, den zweiten und den dritten Transistor, die Eigenschaften aufweist, wie sie für die Herstellung des dritten Transistors erforderlich sind.
- Verfahren mit: Bilden einer ersten Gateelektrodenstruktur in einem ersten Bauteilgebiet und einer zweiten Gateelektrodenstruktur in einem zweiten Bauteilgebiet, wobei die erste und die zweite Ga teelektrodenstruktur ein Gateisolationsdielektrikum und ein Gateelektrodenmaterials aufweisen, wobei das Gateisolationsdielektrikum eine erste Dicke entsprechend einer Entwurfsdicke der zweiten Gateelektrodenstruktur aufweist; und nach dem Bilden der ersten und der zweiten Gateelektrodenstruktur, Ersetzen der ersten Gateelektrodenstruktur durch eine erste Austauschgateelektrodenstruktur mit einem ersten dielektrischen Material mit großem ε und einem ersten leitenden metallenthaltendem Material, während das Gateisolationsdielektrikum und ein Teil des Gateelektrodenmaterials der zweiten Gateelektrodenstruktur bewahrt wird.
- Verfahren nach Anspruch 12, wobei Ersetzen der ersten Gateelektrodenstruktur umfasst: Entfernen eines oberen Bereichs der ersten und der zweiten Gateelektrodenstruktur, um das Gateelektrodenmaterial freizulegen, Bilden einer Maske zum Abdecken der zweiten Gateelektrodenstruktur und selektives Entfernen des Gateelektrodenmaterials und der Isolationsschicht der ersten Gateelektrodenstruktur.
- Verfahren nach Anspruch 13, das ferner umfasst: Bilden eines leitenden Materials auf der ersten Austauschgateelektrodenstruktur und der zweiten Gateelektrodenstruktur in einer gemeinsamen Prozesssequenz.
- Verfahren nach Anspruch 13, wobei Ersetzen der ersten Gateelektrodenstruktur ferner umfasst: Bilden eines dielektrischen Materials nach dem Entfernen der Gateisolationsschicht und Abscheiden des ersten dielektrischen Materials mit großem ε auf dem dielektrischen Material.
- Verfahren nach Anspruch 12, das ferner umfasst: Bilden einer dritten Gateelektrodenstruktur eines dritten Transistors über dem ersten Bauteilgebiet und Ersetzen der dritten Gateelektrodenstruktur durch eine zweite Gateaustauschelektrodenstruktur, die ein zweites Material mit großem ε und ein zweites leitendes metallenthaltendes Gateelektrodenmaterial aufweist.
- Verfahren nach Anspruch 16, wobei Ersetzen der dritten Gateelektrodenstruktur umfasst: Entfernen der dritten Gateelektrodenstruktur auf der Grundlage eines selektiven Ätz- Prozesses ohne Abdecken der ersten Austauschgateelektrodenstruktur und der zweiten Gateelektrodenstruktur.
- Verfahren nach Anspruch 17, wobei der selektive Ätzprozess auf der Grundlage von TMHA ausgeführt wird.
- Verfahren nach Anspruch 16, das ferner umfasst: Bilden einer ersten verspannungsinduzierenden Schicht über dem ersten Transistor und einer zweiten verspannungsinduzierenden Schicht über dem dritten Transistor, wobei die erste und die zweite verspannungsinduzierende Schicht eine unterschiedliche Art innerer Verspannung aufweisen.
- Halbleiterbauelement mit: einem ersten Transistor mit einer ersten Gateelektrodenstruktur, die ein erstes dielektrisches Material mit großem ε und ein erstes metallenthaltendes Gateelektrodenmaterial aufweist; einem zweiten Transistor mit einer zweiten Gateelektrodenstruktur mit einem Gatedielektrikumsmaterial auf Oxidbasis, das mitaus einem Halbleitermaterial hergestellten Gateelektrodenmaterial verbunden ist; und einem dritten Transistor mit einer dritten Gateelektrodenstruktur, das ein zweites dielektrisches Material mit großem ε und ein zweites metallenthaltendes Gateelektrodenmaterial aufweist, wobei die erste, die zweite und die dritte Gateelektrodenstruktur ferner ein drittes metallenthaltendes Material aufweisen.
- Halbleiterbauelement nach Anspruch 20, das ferner ein erstes verspannungsinduzierendes dielektrisches Material über dem ersten Transistor und ein zweites verspannungsinduzierendes dielektrisches Material über dem dritten Transistor aufweist, wobei das erste verspannungsinduzierende dielektrische Material eine erste Art an Verformung in einem Kanalgebiet des ersten Transistors hervorruft, das zweite verspannungsinduzierende dielektrische Material eine zweite Art an Verformung in einem Kanalgebiet des dritten Transis tors hervorruft, und wobei die zweite Art an Verformung unterschiedlich ist von der ersten Art an Verformung.
- Halbleiterbauelement nach Anspruch 20, wobei der erste und/oder der dritte Transistor ein verformungsinduzierendes Halbleitermaterial Drain- und Sourcegebiete aufweist.
Priority Applications (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE102007041207.1A DE102007041207B4 (de) | 2007-08-31 | 2007-08-31 | CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung |
US12/049,548 US8021942B2 (en) | 2007-08-31 | 2008-03-17 | Method of forming CMOS device having gate insulation layers of different type and thickness |
TW097132346A TWI438867B (zh) | 2007-08-31 | 2008-08-25 | 具不同型式與厚度的閘極絕緣層之cmos裝置及其形成方法 |
PCT/US2008/010299 WO2009032230A2 (en) | 2007-08-31 | 2008-08-30 | A cmos device having gate insulation layers of different type and thickness and method of forming the same |
CN200880104632.5A CN101803005B (zh) | 2007-08-31 | 2008-08-30 | 具不同型式与厚度的栅极绝缘层的cmos器件及其形成方法 |
JP2010522975A JP2010538460A (ja) | 2007-08-31 | 2008-08-30 | 種類および膜厚の異なるゲート絶縁層を有するcmosデバイス、ならびにその形成方法 |
KR1020107007090A KR101485975B1 (ko) | 2007-08-31 | 2008-08-30 | 타입 및 두께가 서로 다른 게이트 절연층들을 갖는 cmos 디바이스 및 그 형성 방법 |
GB1004524A GB2465133A (en) | 2007-08-31 | 2008-08-30 | A cmos device having gate insulation layers of different type and thickness and method of forming the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE102007041207.1A DE102007041207B4 (de) | 2007-08-31 | 2007-08-31 | CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung |
Publications (2)
Publication Number | Publication Date |
---|---|
DE102007041207A1 true DE102007041207A1 (de) | 2009-03-05 |
DE102007041207B4 DE102007041207B4 (de) | 2015-05-21 |
Family
ID=40298979
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE102007041207.1A Expired - Fee Related DE102007041207B4 (de) | 2007-08-31 | 2007-08-31 | CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung |
Country Status (8)
Country | Link |
---|---|
US (1) | US8021942B2 (de) |
JP (1) | JP2010538460A (de) |
KR (1) | KR101485975B1 (de) |
CN (1) | CN101803005B (de) |
DE (1) | DE102007041207B4 (de) |
GB (1) | GB2465133A (de) |
TW (1) | TWI438867B (de) |
WO (1) | WO2009032230A2 (de) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102008011813A1 (de) * | 2008-02-29 | 2009-09-10 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements |
US8198147B2 (en) | 2009-08-31 | 2012-06-12 | GlobalFoundries, Inc. | Superior fill conditions in a replacement gate approach by using a tensile stressed overlayer |
DE102011004322A1 (de) * | 2011-02-17 | 2012-08-23 | Globalfoundries Dresden Module One Llc & Co. Kg | Halbleiterbauelement mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur |
DE102007046849B4 (de) * | 2007-09-29 | 2014-11-06 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung |
DE102009046245B4 (de) * | 2009-10-30 | 2016-08-04 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart |
DE102012103024B4 (de) * | 2011-04-08 | 2016-09-29 | Infineon Technologies Ag | Verfahren zur Herstellung von Schottky-Dioden mit Metallgateelektroden |
DE102015213530B4 (de) | 2014-08-28 | 2021-07-29 | Globalfoundries U.S. Inc. | Verfahren zur Herstellung eines eingebetteten Kondensators |
Families Citing this family (88)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7838366B2 (en) * | 2008-04-11 | 2010-11-23 | United Microelectronics Corp. | Method for fabricating a metal gate structure |
DE102008054075B4 (de) * | 2008-10-31 | 2010-09-23 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren |
US7915105B2 (en) * | 2008-11-06 | 2011-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for patterning a metal gate |
US8629506B2 (en) | 2009-03-19 | 2014-01-14 | International Business Machines Corporation | Replacement gate CMOS |
JP5668277B2 (ja) | 2009-06-12 | 2015-02-12 | ソニー株式会社 | 半導体装置 |
JP5452211B2 (ja) * | 2009-12-21 | 2014-03-26 | ルネサスエレクトロニクス株式会社 | 半導体装置、および、半導体装置の製造方法 |
US8436404B2 (en) | 2009-12-30 | 2013-05-07 | Intel Corporation | Self-aligned contacts |
CN102194693B (zh) * | 2010-03-16 | 2013-05-22 | 中国科学院微电子研究所 | 一种半导体器件及其制造方法 |
JP5569173B2 (ja) * | 2010-06-18 | 2014-08-13 | ソニー株式会社 | 半導体装置の製造方法及び半導体装置 |
US8389371B2 (en) * | 2010-06-30 | 2013-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating integrated circuit device, including removing at least a portion of a spacer |
US8980753B2 (en) * | 2010-09-21 | 2015-03-17 | United Mircroelectronics Corp. | Metal gate transistor and method for fabricating the same |
US9202913B2 (en) * | 2010-09-30 | 2015-12-01 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing semiconductor structure |
US8497210B2 (en) | 2010-10-04 | 2013-07-30 | International Business Machines Corporation | Shallow trench isolation chemical mechanical planarization |
US8426300B2 (en) | 2010-12-02 | 2013-04-23 | International Business Machines Corporation | Self-aligned contact for replacement gate devices |
CN102543698B (zh) * | 2010-12-22 | 2014-03-12 | 中芯国际集成电路制造(上海)有限公司 | 一种金属栅极的制作方法 |
JP5667893B2 (ja) * | 2011-01-20 | 2015-02-12 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US8211775B1 (en) | 2011-03-09 | 2012-07-03 | United Microelectronics Corp. | Method of making transistor having metal gate |
US8519487B2 (en) | 2011-03-21 | 2013-08-27 | United Microelectronics Corp. | Semiconductor device |
CN102738083B (zh) * | 2011-04-06 | 2016-05-25 | 联华电子股份有限公司 | 具有金属栅极的半导体元件的制作方法 |
US9269634B2 (en) * | 2011-05-16 | 2016-02-23 | Globalfoundries Inc. | Self-aligned metal gate CMOS with metal base layer and dummy gate structure |
US8597860B2 (en) | 2011-05-20 | 2013-12-03 | United Microelectronics Corp. | Dummy patterns and method for generating dummy patterns |
CN102800580B (zh) * | 2011-05-25 | 2015-07-08 | 中芯国际集成电路制造(上海)有限公司 | 抛光方法以及栅极的形成方法 |
US8704294B2 (en) | 2011-06-13 | 2014-04-22 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US20120319198A1 (en) | 2011-06-16 | 2012-12-20 | Chin-Cheng Chien | Semiconductor device and fabrication method thereof |
US8674452B2 (en) | 2011-06-24 | 2014-03-18 | United Microelectronics Corp. | Semiconductor device with lower metal layer thickness in PMOS region |
US8486790B2 (en) | 2011-07-18 | 2013-07-16 | United Microelectronics Corp. | Manufacturing method for metal gate |
US8569135B2 (en) * | 2011-07-20 | 2013-10-29 | International Business Machines Corporation | Replacement gate electrode with planar work function material layers |
US8580625B2 (en) | 2011-07-22 | 2013-11-12 | Tsuo-Wen Lu | Metal oxide semiconductor transistor and method of manufacturing the same |
US8872286B2 (en) | 2011-08-22 | 2014-10-28 | United Microelectronics Corp. | Metal gate structure and fabrication method thereof |
US8477006B2 (en) * | 2011-08-30 | 2013-07-02 | United Microelectronics Corp. | Resistor and manufacturing method thereof |
US8765588B2 (en) | 2011-09-28 | 2014-07-01 | United Microelectronics Corp. | Semiconductor process |
US9580776B2 (en) | 2011-09-30 | 2017-02-28 | Intel Corporation | Tungsten gates for non-planar transistors |
CN103918083A (zh) | 2011-10-01 | 2014-07-09 | 英特尔公司 | 非平面晶体管的源极/漏极触点 |
CN103094211B (zh) * | 2011-10-31 | 2015-04-01 | 中芯国际集成电路制造(上海)有限公司 | 制造半导体器件的方法 |
US8658487B2 (en) | 2011-11-17 | 2014-02-25 | United Microelectronics Corp. | Semiconductor device and fabrication method thereof |
US8709930B2 (en) | 2011-11-25 | 2014-04-29 | United Microelectronics Corp. | Semiconductor process |
CN103165458B (zh) * | 2011-12-15 | 2016-08-03 | 中国科学院微电子研究所 | Mosfet制造方法 |
US8546212B2 (en) | 2011-12-21 | 2013-10-01 | United Microelectronics Corp. | Semiconductor device and fabricating method thereof |
US9147678B2 (en) | 2012-01-04 | 2015-09-29 | United Microelectronics Corp. | Resistor and fabrication method thereof |
JP2013153074A (ja) * | 2012-01-25 | 2013-08-08 | Fujifilm Corp | キャパシタ形成方法 |
US8860135B2 (en) | 2012-02-21 | 2014-10-14 | United Microelectronics Corp. | Semiconductor structure having aluminum layer with high reflectivity |
US8860181B2 (en) | 2012-03-07 | 2014-10-14 | United Microelectronics Corp. | Thin film resistor structure |
DE102012205977B4 (de) | 2012-04-12 | 2017-08-17 | Globalfoundries Inc. | Halbleiterbauelement mit ferroelektrischen Elementen und schnellen Transistoren mit Metallgates mit großem ε sowie Herstellungsverfahren |
US8951855B2 (en) | 2012-04-24 | 2015-02-10 | United Microelectronics Corp. | Manufacturing method for semiconductor device having metal gate |
US8877623B2 (en) * | 2012-05-14 | 2014-11-04 | United Microelectronics Corp. | Method of forming semiconductor device |
US9991375B2 (en) | 2012-05-30 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate electrode of a semiconductor device |
US8836049B2 (en) | 2012-06-13 | 2014-09-16 | United Microelectronics Corp. | Semiconductor structure and process thereof |
CN103515207B (zh) * | 2012-06-19 | 2016-08-10 | 中芯国际集成电路制造(上海)有限公司 | 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管 |
US8940626B2 (en) * | 2012-07-05 | 2015-01-27 | Globalfoundries Inc. | Integrated circuit and method for fabricating the same having a replacement gate structure |
KR20140034347A (ko) * | 2012-08-31 | 2014-03-20 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9041076B2 (en) | 2013-02-03 | 2015-05-26 | International Business Machines Corporation | Partial sacrificial dummy gate with CMOS device with high-k metal gate |
US8835292B2 (en) * | 2012-10-31 | 2014-09-16 | International Business Machines Corporation | Method of manufacturing semiconductor devices including replacement metal gate process incorporating a conductive dummy gate layer |
US9054172B2 (en) | 2012-12-05 | 2015-06-09 | United Microelectrnics Corp. | Semiconductor structure having contact plug and method of making the same |
CN103915322B (zh) * | 2012-12-31 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的制备方法 |
CN103928402B (zh) * | 2013-01-11 | 2016-09-07 | 中芯国际集成电路制造(上海)有限公司 | 共用栅极的半导体结构及对应的形成方法 |
US8735269B1 (en) | 2013-01-15 | 2014-05-27 | United Microelectronics Corp. | Method for forming semiconductor structure having TiN layer |
JP6026914B2 (ja) * | 2013-02-12 | 2016-11-16 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US9129985B2 (en) | 2013-03-05 | 2015-09-08 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9041125B2 (en) | 2013-03-11 | 2015-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin shape for fin field-effect transistors and method of forming |
US8753940B1 (en) * | 2013-03-15 | 2014-06-17 | Globalfoundries Inc. | Methods of forming isolation structures and fins on a FinFET semiconductor device |
US9023708B2 (en) | 2013-04-19 | 2015-05-05 | United Microelectronics Corp. | Method of forming semiconductor device |
US9184254B2 (en) | 2013-05-02 | 2015-11-10 | United Microelectronics Corporation | Field-effect transistor and fabricating method thereof |
US9159798B2 (en) | 2013-05-03 | 2015-10-13 | United Microelectronics Corp. | Replacement gate process and device manufactured using the same |
US9196542B2 (en) | 2013-05-22 | 2015-11-24 | United Microelectronics Corp. | Method for manufacturing semiconductor devices |
US8921947B1 (en) | 2013-06-10 | 2014-12-30 | United Microelectronics Corp. | Multi-metal gate semiconductor device having triple diameter metal opening |
US9064814B2 (en) | 2013-06-19 | 2015-06-23 | United Microelectronics Corp. | Semiconductor structure having metal gate and manufacturing method thereof |
US9384984B2 (en) | 2013-09-03 | 2016-07-05 | United Microelectronics Corp. | Semiconductor structure and method of forming the same |
US9245972B2 (en) | 2013-09-03 | 2016-01-26 | United Microelectronics Corp. | Method for manufacturing semiconductor device |
US20150069534A1 (en) | 2013-09-11 | 2015-03-12 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
US9196546B2 (en) | 2013-09-13 | 2015-11-24 | United Microelectronics Corp. | Metal gate transistor |
US9281201B2 (en) | 2013-09-18 | 2016-03-08 | United Microelectronics Corp. | Method of manufacturing semiconductor device having metal gate |
US20150118836A1 (en) * | 2013-10-28 | 2015-04-30 | United Microelectronics Corp. | Method of fabricating semiconductor device |
US9318490B2 (en) | 2014-01-13 | 2016-04-19 | United Microelectronics Corp. | Semiconductor structure and manufacturing method thereof |
US9231071B2 (en) | 2014-02-24 | 2016-01-05 | United Microelectronics Corp. | Semiconductor structure and manufacturing method of the same |
CN105097461B (zh) * | 2014-04-21 | 2018-03-30 | 中芯国际集成电路制造(北京)有限公司 | 一种半导体器件的制造方法 |
US9190488B1 (en) * | 2014-08-13 | 2015-11-17 | Globalfoundries Inc. | Methods of forming gate structure of semiconductor devices and the resulting devices |
CN105990114B (zh) * | 2015-01-30 | 2019-04-26 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的形成方法 |
US9496183B1 (en) | 2015-05-07 | 2016-11-15 | International Business Machines Corporation | Selective thickening of pFET dielectric |
US9653356B2 (en) * | 2015-08-10 | 2017-05-16 | Globalfoundries Inc. | Methods of forming self-aligned device level contact structures |
US9576980B1 (en) | 2015-08-20 | 2017-02-21 | International Business Machines Corporation | FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure |
CN106653691A (zh) * | 2015-11-04 | 2017-05-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的制造方法 |
CN106684041B (zh) * | 2015-11-10 | 2020-12-08 | 联华电子股份有限公司 | 半导体元件及其制作方法 |
US10083862B2 (en) | 2016-09-12 | 2018-09-25 | International Business Machines Corporation | Protective liner between a gate dielectric and a gate contact |
US10840350B2 (en) * | 2016-10-31 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanolaminate structure, semiconductor device and method of forming nanolaminate structure |
JP6787798B2 (ja) * | 2017-01-19 | 2020-11-18 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN108807378A (zh) * | 2017-05-05 | 2018-11-13 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管及其形成方法 |
US10475895B2 (en) * | 2017-05-25 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
CN108493246A (zh) * | 2018-02-09 | 2018-09-04 | 中国科学院微电子研究所 | 半导体器件与其制作方法 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6514827B2 (en) * | 2000-12-29 | 2003-02-04 | Hynix Semiconductor Inc. | Method for fabricating a dual metal gate for a semiconductor device |
US6849511B2 (en) * | 2000-03-24 | 2005-02-01 | Fujitsu Limited | Semiconductor device and method for fabricating the same including interconnection of two electrodes |
US6864163B1 (en) * | 2002-10-30 | 2005-03-08 | Advanced Micro Devices, Inc. | Fabrication of dual work-function metal gate structure for complementary field effect transistors |
US6872627B2 (en) * | 2001-07-16 | 2005-03-29 | Taiwan Semiconductor Manufacturing Company | Selective formation of metal gate for dual gate oxide application |
US20060286729A1 (en) * | 2005-06-21 | 2006-12-21 | Jack Kavalieros | Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate |
US20060289920A1 (en) * | 2005-06-22 | 2006-12-28 | I-Lu Wu | Composite gate structure in an integrated circuit |
US7176090B2 (en) * | 2004-09-07 | 2007-02-13 | Intel Corporation | Method for making a semiconductor device that includes a metal gate electrode |
DE102005052054A1 (de) * | 2005-10-31 | 2007-05-10 | Advanced Micro Devices, Inc., Sunnyvale | Technik zur Bereitstellung mehrerer Quellen für mechanische Spannungen in NMOS- und PMOS-Transistoren |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5335256A (en) * | 1991-03-18 | 1994-08-02 | Canon Kabushiki Kaisha | Semiconductor substrate including a single or multi-layer film having different densities in the thickness direction |
US6207516B1 (en) * | 1998-12-17 | 2001-03-27 | United Microelectronics Corp. | Method of fabricating gate oxide layer with different thickness |
TW449919B (en) * | 1998-12-18 | 2001-08-11 | Koninkl Philips Electronics Nv | A method of manufacturing a semiconductor device |
JP3264264B2 (ja) * | 1999-03-01 | 2002-03-11 | 日本電気株式会社 | 相補型集積回路とその製造方法 |
US6159782A (en) * | 1999-08-05 | 2000-12-12 | Advanced Micro Devices, Inc. | Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant |
JP2001284467A (ja) * | 2000-03-30 | 2001-10-12 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
KR100333057B1 (ko) * | 2000-07-11 | 2002-04-22 | 윤종용 | 서로 다른 두께를 갖는 2가지 이상의 터널 절연막을 갖는비휘발성 메모리 소자의 제조방법 |
KR20020029531A (ko) * | 2000-10-13 | 2002-04-19 | 박종섭 | 다마신 금속게이트를 이용한 반도체소자의 제조방법 |
JP2002198441A (ja) * | 2000-11-16 | 2002-07-12 | Hynix Semiconductor Inc | 半導体素子のデュアル金属ゲート形成方法 |
US7022561B2 (en) * | 2002-12-02 | 2006-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device |
US6908850B2 (en) * | 2003-09-10 | 2005-06-21 | International Business Machines Corporation | Structure and method for silicided metal gate transistors |
US6970373B2 (en) * | 2003-10-02 | 2005-11-29 | Intel Corporation | Method and apparatus for improving stability of a 6T CMOS SRAM cell |
JP4427399B2 (ja) * | 2004-07-01 | 2010-03-03 | Okiセミコンダクタ株式会社 | 半導体装置とその製造方法 |
US7138323B2 (en) * | 2004-07-28 | 2006-11-21 | Intel Corporation | Planarizing a semiconductor structure to form replacement metal gates |
US20070264827A1 (en) * | 2006-05-09 | 2007-11-15 | Promos Technologies Pte. Ltd. | Method for achieving uniform chemical mechanical polishing in integrated circuit manufacturing |
-
2007
- 2007-08-31 DE DE102007041207.1A patent/DE102007041207B4/de not_active Expired - Fee Related
-
2008
- 2008-03-17 US US12/049,548 patent/US8021942B2/en active Active
- 2008-08-25 TW TW097132346A patent/TWI438867B/zh not_active IP Right Cessation
- 2008-08-30 JP JP2010522975A patent/JP2010538460A/ja active Pending
- 2008-08-30 GB GB1004524A patent/GB2465133A/en not_active Withdrawn
- 2008-08-30 WO PCT/US2008/010299 patent/WO2009032230A2/en active Application Filing
- 2008-08-30 KR KR1020107007090A patent/KR101485975B1/ko not_active IP Right Cessation
- 2008-08-30 CN CN200880104632.5A patent/CN101803005B/zh active Active
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6849511B2 (en) * | 2000-03-24 | 2005-02-01 | Fujitsu Limited | Semiconductor device and method for fabricating the same including interconnection of two electrodes |
US6514827B2 (en) * | 2000-12-29 | 2003-02-04 | Hynix Semiconductor Inc. | Method for fabricating a dual metal gate for a semiconductor device |
US6872627B2 (en) * | 2001-07-16 | 2005-03-29 | Taiwan Semiconductor Manufacturing Company | Selective formation of metal gate for dual gate oxide application |
US6864163B1 (en) * | 2002-10-30 | 2005-03-08 | Advanced Micro Devices, Inc. | Fabrication of dual work-function metal gate structure for complementary field effect transistors |
US7176090B2 (en) * | 2004-09-07 | 2007-02-13 | Intel Corporation | Method for making a semiconductor device that includes a metal gate electrode |
US20060286729A1 (en) * | 2005-06-21 | 2006-12-21 | Jack Kavalieros | Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate |
US20060289920A1 (en) * | 2005-06-22 | 2006-12-28 | I-Lu Wu | Composite gate structure in an integrated circuit |
DE102005052054A1 (de) * | 2005-10-31 | 2007-05-10 | Advanced Micro Devices, Inc., Sunnyvale | Technik zur Bereitstellung mehrerer Quellen für mechanische Spannungen in NMOS- und PMOS-Transistoren |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102007046849B4 (de) * | 2007-09-29 | 2014-11-06 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung |
DE102008011813A1 (de) * | 2008-02-29 | 2009-09-10 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements |
DE102008011813B4 (de) * | 2008-02-29 | 2010-03-04 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements |
US8293610B2 (en) | 2008-02-29 | 2012-10-23 | Globalfoundries Inc. | Semiconductor device comprising a metal gate stack of reduced height and method of forming the same |
US8198147B2 (en) | 2009-08-31 | 2012-06-12 | GlobalFoundries, Inc. | Superior fill conditions in a replacement gate approach by using a tensile stressed overlayer |
DE102009039521B4 (de) * | 2009-08-31 | 2018-02-15 | Globalfoundries Dresden Module One Llc & Co. Kg | Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht |
DE102009046245B4 (de) * | 2009-10-30 | 2016-08-04 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart |
DE102011004322A1 (de) * | 2011-02-17 | 2012-08-23 | Globalfoundries Dresden Module One Llc & Co. Kg | Halbleiterbauelement mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur |
DE102011004322B4 (de) * | 2011-02-17 | 2012-12-06 | Globalfoundries Dresden Module One Llc & Co. Kg | Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur |
US9324854B2 (en) | 2011-02-17 | 2016-04-26 | Globalfoundries Inc. | Semiconductor device comprising self-aligned contact elements and a replacement gate electrode structure |
DE102012103024B4 (de) * | 2011-04-08 | 2016-09-29 | Infineon Technologies Ag | Verfahren zur Herstellung von Schottky-Dioden mit Metallgateelektroden |
DE102015213530B4 (de) | 2014-08-28 | 2021-07-29 | Globalfoundries U.S. Inc. | Verfahren zur Herstellung eines eingebetteten Kondensators |
Also Published As
Publication number | Publication date |
---|---|
KR20100081982A (ko) | 2010-07-15 |
GB201004524D0 (en) | 2010-05-05 |
TW200919642A (en) | 2009-05-01 |
KR101485975B1 (ko) | 2015-01-23 |
CN101803005A (zh) | 2010-08-11 |
JP2010538460A (ja) | 2010-12-09 |
US20090057769A1 (en) | 2009-03-05 |
TWI438867B (zh) | 2014-05-21 |
DE102007041207B4 (de) | 2015-05-21 |
WO2009032230A2 (en) | 2009-03-12 |
CN101803005B (zh) | 2013-09-25 |
US8021942B2 (en) | 2011-09-20 |
GB2465133A (en) | 2010-05-12 |
WO2009032230A3 (en) | 2009-05-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE102007041207B4 (de) | CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung | |
DE102007046849B4 (de) | Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung | |
DE102005052054B4 (de) | Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung | |
DE102011004506B4 (de) | Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist | |
DE102009021485B4 (de) | Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung | |
DE102009015747B4 (de) | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht | |
DE102009055392B4 (de) | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements | |
DE102007009914B4 (de) | Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben | |
DE102005052055B3 (de) | Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben | |
DE102010029527B4 (de) | Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat | |
DE102009010883B4 (de) | Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses | |
DE102011077661B4 (de) | Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie | |
DE102009010847B4 (de) | Integration von Halbleiterlegierungen in PMOS- und NMOS-Transistoren unter Anwendung eines gemeinsamen Ätzprozesses für Aussparungen | |
DE102009055435B4 (de) | Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung | |
DE102009039521B4 (de) | Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht | |
DE102010002450B4 (de) | Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien | |
DE102008059648B4 (de) | Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird | |
DE102011004320B4 (de) | Verfahren zur Herstellung komplementärer Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen | |
DE102009055393B4 (de) | Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε | |
DE102010063907B4 (de) | Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials | |
DE102008063432B4 (de) | Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial | |
DE102008011813B4 (de) | Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements | |
DE102010003451A1 (de) | Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials | |
DE102006030264A1 (de) | Transistor mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird | |
DE102011080440B4 (de) | Verfahren zur Herstellung von Metallgateelektrodenstrukturen mit großem ε mittels einer frühen Deckschichtanpassung |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
OP8 | Request for examination as to paragraph 44 patent law | ||
8127 | New person/name/address of the applicant |
Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE |
|
R081 | Change of applicant/patentee |
Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY Effective date: 20110426 Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY Effective date: 20110426 Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date: 20110426 Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date: 20110426 |
|
R016 | Response to examination communication | ||
R082 | Change of representative |
Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER, |
|
R081 | Change of applicant/patentee |
Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY Effective date: 20120125 Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY Effective date: 20120125 Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date: 20120125 Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY Effective date: 20120125 |
|
R082 | Change of representative |
Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE Effective date: 20120125 Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE Effective date: 20120125 |
|
R016 | Response to examination communication | ||
R018 | Grant decision by examination section/examining division | ||
R020 | Patent grant now final | ||
R119 | Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee |