JP2022513479A - 3d nand構造上の原子層堆積 - Google Patents

3d nand構造上の原子層堆積 Download PDF

Info

Publication number
JP2022513479A
JP2022513479A JP2021533642A JP2021533642A JP2022513479A JP 2022513479 A JP2022513479 A JP 2022513479A JP 2021533642 A JP2021533642 A JP 2021533642A JP 2021533642 A JP2021533642 A JP 2021533642A JP 2022513479 A JP2022513479 A JP 2022513479A
Authority
JP
Japan
Prior art keywords
tungsten
administration
layer
precursor
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021533642A
Other languages
English (en)
Inventor
デン・ルオペン
バ・シャオロン
ユ・ティアンファ
パン・ユ
ガオ・ジュウェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022513479A publication Critical patent/JP2022513479A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

粗さが小さいタングステン堆積を提供する方法および装置について記述する。いくつかの実施形態では、方法は、還元剤として水素を使用する、タングステンを堆積させる原子層堆積処理中に、水素と一緒に窒素を流すステップを伴う。いくつかの実施形態では、方法は、3D NAND構造の側壁表面上に酸化タングステン層または非晶質タングステン層などのキャップ層を堆積させるステップを伴う。開示する実施形態には、タングステンを堆積させて3D NAND構造にすることを含む広汎な用途がある。【選択図】図3

Description

[関連出願の相互参照]
PCT願書様式は,本出願の一部として本明細書と同時に提出される。同時に提出されるPCT願書様式で識別されるように、本発明が利益または優先権を主張する各出願は、参照により全体が事実上本明細書に組み入れられる。
タングステン含有材料を堆積させることは、多くの半導体製作処理の不可欠の部分である。これらの材料は、水平相互接続、隣接する金属層間のビア、および金属層と素子の間の接点のために使用されてよい。しかしながら、素子が縮小し、業界でより複雑なパターン形成方式を利用するとき、タングステン薄膜を堆積させることは難題になる。3D NAND構造などの複雑な高アスペクト比構造での堆積は、特に困難である。
本明細書で提供する背景の記述は、一般に本開示の関係を提示するためのものである。この背景技術の節で記述する範囲で、ここで名前を挙げる発明者の著作物だけではなく、提出時点で他の点では従来技術とみなされなくてよい記述の様態も、明示的にも黙示的にも本開示に対する従来技術と認められない。
本開示の一様態は、タングステン含有材料が充填される構造を提供するステップと、構造を多数の堆積サイクルに曝露するステップとを含む方法に関し、各堆積サイクルは、構造を収容するチャンバに窒素(N2)と一緒に流れる水素(H2)の投与およびタングステン前駆体の投与を順次配送するステップを含む。
いくつかの実施形態では、構造は、側壁と、側壁内の複数の開口部とを含む部分的に製作された3次元(3-D)NAND構造であり、複数の開口部は、開口部を通して流体で到達可能な複数の内部領域を有する複数のフィーチャにつながる。いくつかの実施形態では、タングステン前駆体の投与を少なくとも300トールの圧力で配送する。いくつかの実施形態では、タングステン前駆体の投与を窒素なしで配送する。いくつかの実施形態では、N2は、N2+H2の流れ全体のうち10%~30%(体積)の間である。いくつかの実施形態では、基板温度は、N2と一緒に流れるH2の投与中に350℃以下である。いくつかの実施形態では、N2は、N2+H2の流れ全体の30%(体積)よりも多く、基板温度は、N2と一緒に流れるH2の投与中に少なくとも375℃である。いくつかの実施形態では、タングステン前駆体は六フッ化タングステンである。いくつかの実施形態では、タングステン前駆体は塩素含有タングステン前駆体である。いくつかの実施形態では、タングステン前駆体の投与は、N2と一緒に流れるH2の投与よりも高圧で配送される。
本開示の別の様態は、タングステン含有材料が充填されるギャップを有する構造を提供するステップと、多数の堆積サイクルに構造を曝露するステップとを含む方法に関し、各堆積サイクルは、水素(H2)の投与およびタングステン前駆体の投与を順次配送して、ギャップ内にバルクタングステン膜を堆積させるステップと、バルク層上にキャップ層を形成するステップとを含む。
いくつかの実施形態では、構造は、側壁と、タングステンが充填されるギャップにつながる、側壁内の複数の開口部とを含む部分的に製作された3次元(3-D)NAND構造である。いくつかの実施形態では、キャップ層は酸化タングステン層である。いくつかの実施形態では、キャップ層は非晶質タングステン層である。いくつかの実施形態では、多重ステーション堆積チャンバに構造を提供し、多重ステーション堆積チャンバでは、多重ステーション堆積チャンバの1つまたは複数の第1のステーションで多数の堆積サイクルを遂行し、多重ステーション堆積チャンバの1つまたは複数の第2のステーションでキャップ層を形成する。いくつかの実施形態では、側壁上にキャップ層を堆積させる。
本開示の他の様態は、(a)タングステン前駆体およびホウ素含有還元剤のパルスを交互に繰り返すことにより基板上のフィーチャの中にタングステン核形成層を堆積させるステップと、(b)250℃~350℃の間の基板温度でタングステン前駆体および水素(H2)のパルスを交互に繰り返すことにより、タングステン核形成層上にタングステンテンプレート層を堆積させるステップと、(c)(b)の後、基板温度を少なくとも50℃だけ上げるステップと、(d)(c)の後、少なくとも350℃の基板温度でタングステン前駆体および水素(H2)のパルスを交互に繰り返すことによりタングステンバルク層を堆積させるステップとを含む方法に関する。いくつかの実施形態では、タングステン核形成層を30オングストローム以下の厚さまで堆積させる。
本開示のさらに別の様態は、基板を保持するようにそれぞれ構成された1つまたは複数のステーションを有する処理チャンバと、水素(H2)ガス供給源、窒素(N2)ガス供給源、およびタングステン前駆体ガス供給源に結合させるための1つまたは複数の処理ガス注入口と、窒素(N2)と一緒に流れる水素(H2)の投与およびタングステン前駆体の投与を処理チャンバに順次配送するための機械可読命令を含む、装置内の動作を制御するためのコントローラとを含む装置に関する。
これらおよび他の様態について、図面を参照して以下でさらに記述する。
本明細書で記述する実施形態による、タングステンを充填してよい3-D NAND構造の横断面側面図を提示する。
図1Aの-D NANDの横断面上面図を提示する。
開示するある種の実施形態による方法のための動作を描く処理の流れ図である。 開示するある種の実施形態による方法のための動作を描く処理の流れ図である。
開示するある種の実施形態による、膜を堆積させるための方法でのサイクルの例を示すタイミングシーケンス図である。
3-D NAND構造の充填されたワード線フィーチャの横断面側面図を提示する。
開示するある種の実施形態による、膜を堆積させるための方法でのサイクルの例を示すタイミングシーケンス図である。
開示するある種の実施形態による方法のための動作を描く処理の流れ図である。 開示するある種の実施形態による方法のための動作を描く処理の流れ図である。 開示するある種の実施形態による方法のための動作を描く処理の流れ図である。 開示するある種の実施形態による方法のための動作を描く処理の流れ図である。
開示するある種の実施形態を遂行するための処理ツールの例の概略図である。
開示するある種の実施形態を遂行するためのステーションの例の概略図である。
ある種の実施形態による、使用してよい多岐管システムの基本的特徴を示す概略図である。
以下の記述では、提示する実施形態を十分に理解することができるようにするために、数多くの特有の詳細について示す。開示する実施形態は、これらの特有の詳細の一部またはすべてなしに実施されてよい。他の実例では、開示する実施形態を不必要に不明瞭にしないために、周知の処理動作について詳細に記述しなかった。開示する実施形態について特有の実施形態と関連づけて記述するが、その一方で、開示する実施形態に限定することを意図するものではないことを理解されよう。
半導体素子製作では多くの場合、フィーチャのタングステン(W)充填を使用して、電気接点を形成する。タングステン膜を堆積させる従来の方法では、核形成タングステン層を最初に堆積してビアまたは接点にする。一般に、核形成層は、その上にその後バルク材料を形成するのを容易にするのに役立つ等角の薄層である。タングステン核形成層を堆積させて、フィーチャの側壁および最下部を等角にコートしてよい。下にあるフィーチャの最下部および側壁に適合させることは、高品質な堆積を支援するためにきわめて重要である可能性がある。多くの場合、原子層堆積(atomic layer deposition、ALD)法またはパルス化核形成層(pulsed nucleation layer、PNL)法を使用して核形成層を堆積させる。
ALDまたはPNLの技法では、反応物のパルスは、順次注入され、典型的には反応物の間でパージガスのパルスにより反応チャンバからパージされる。第1の反応物は、基板の上に吸着することができ、次の反応物と反応するように利用できる。所望の厚さを達成するまでこの処理を周期的手法で反復する。開示する実施形態に関連して、化学蒸着法(chemical vapor deposition、CVD)は、気相または表面反応の間に反応器に反応物を一緒に導入する処理を具体化する。PNLおよびALDの処理は、CVD処理と別個のものであり、逆もまた同様である。
タングステン核形成層を堆積後、水素(H2)などの還元剤を使用して六フッ化タングステン(WF6)を還元することにより、CVD処理によりバルクタングステンを堆積させてよい。バルクタングステンは、タングステン核形成層と異なる。バルクタングステンは、本明細書で使用するとき、フィーチャの少なくとも約50%など、フィーチャの大部分またはすべてを充填するために使用するタングステンを指す。上にその後バルク材料を形成するのを促進するのに役立つ等角な薄膜である核形成層と異なり、電流を運ぶためにバルクタングステンを使用する。バルクタングステンは、核形成膜と比較してより大きな結晶粒度およびより低い抵抗率により特徴づけられてよい。さまざまな実施形態では、バルクタングステンは、少なくとも50Åの厚さまで堆積したタングステンである。
素子がより小さな技術ノードにスケール変更され、かつより複雑なパターン形成構造を使用するとき、タングステン充填にはさまざまな難題が存在する。1つの難題は、構造の至る所で材料の均一分布が存在するように、これらの構造内で等角に堆積させることである。フィーチャまたは構造内部の材料の分布は、材料分布の段差被覆性(step coverage)により特徴づけられることがある。本明細書のためには、「段差被覆性」は、2つの厚さの比として規定される。たとえば、段差被覆性は、フィーチャの内側にある材料の厚さを開口部近くにある材料の厚さで除算したものとすることができる。本文書のためには、「フィーチャの内側」という用語は、フィーチャの軸に沿ってフィーチャの中点を中心として配置されたフィーチャの中央部分を表し、たとえば、距離の約25%~75%の間の領域、もしくはある種の実施形態ではフィーチャの開口部から測定したフィーチャの深さに沿った距離の約40%~60%の間の領域を、または開口部から測定したときのフィーチャの軸に沿った距離の約75%~95%の間に位置するフィーチャの末端部分を表す。「フィーチャの開口部の近く」(「near the opening of the feature」または「near the feature’s opening」)という用語は、開口部の縁部または開口部の縁部を表す他の要素の25%以内に、またはより具体的には10%以内に配置されたフィーチャの最上部部分を表す。たとえばフィーチャ開口部よりもフィーチャの中央で、または最下部近くで、より広いフィーチャを充填することにより、100%を超える段差被覆性を達成することができる。
別の難題は、堆積したタングステン膜内にあるフッ素の濃度または含有量を低減することである。より大きなフィーチャと比較したとき、タングステン膜内の、より大きなフィーチャと同じフッ素濃度を有するより小さなフィーチャは、実質的により大きな影響を素子の性能に及ぼす。たとえば、フィーチャが小さいほど、それだけ薄く膜を堆積させる。その結果、堆積したタングステン膜内のフッ素は、より薄い膜を通って拡散する可能性がより高く、それにより潜在的に素子故障の原因となる。3D NAND構造などの構造については、側壁粗さもまた難題である。
本明細書で提供する方法および装置は、以下の有利な点の1つまたは複数を有する。いくつかの実装形態では、方法および装置は、粗さが小さくなったタングステン堆積を提供する。方法および装置は、より滑らかな成長を提供することによりエッチング動作を介在させることなくそうしてよい。低フッ素のタングステンを堆積させてよい。さらに、方法は良好な段差被覆性を提供してよい。開示する実施形態には、タングステンを堆積させて3D NAND構造にすることを含む広汎な用途がある。
チャンバ内に収容されてよい基板に対して本明細書で記述する方法を遂行する。基板は、基板の上に堆積した誘電体材料、伝導性材料、または半導体材料などの材料からなる1つまたは複数の層を有するウエハを含むケイ素ウエハまたは他の半導体ウエハ、たとえば200mmのウエハ、300mmのウエハ、または450mmのウエハであってよい。方法は、半導体基板に限定されず、タングステンなどの金属で任意のフィーチャを充填するために遂行されてよい。
図1Aは、VNANDスタック(左側の125および右側の126)と、中心垂直構造130と、中心垂直構造130の対向する側壁140上に開口部122を伴う、複数の積み重ねた水平方向のフィーチャ120とを有する(半導体基板103上に形成された)3-D NAND(垂直NANDまたはVNANDとも呼ばれる)構造110の横断面側面図を提示する。図1Fは、トレンチに似た中心垂直構造130を一緒に形成する、示した3-D NAND構造110の2つのスタック125および126を表示するが、しかしながら、ある種の実施形態では、空間的に互いに平行に走る、順に配列された3つ以上のスタックが存在してよく、図1Aに明示的に例示するように、隣接する各スタック対間のギャップが中心垂直構造130を形成することに留意されたい。図1Aの例では、水平方向のフィーチャ120は、開口部122を通して中心垂直構造130から流体で到達可能な3-Dメモリワード線フィーチャである。図では明示的に示さないが、図1Aに示す3-D NANDスタック125と126(すなわち、左側の3-D NANDスタック125および右側の3-D NANDスタック126)の両方の中に存在する水平方向のフィーチャ120はまた、追加の3-D NANDスタック(左端および右端に至るが、図示せず)により形成された類似の垂直構造を通して(それぞれ左端および右端にある)スタックのその他の側から到達可能である。換言すれば、各3-D NANDスタック125、126は、中心垂直構造130を通して3-D NANDスタックの両側から流体で到達可能なワード線フィーチャのスタックを包含する。
3-D NANDスタック内のワード線フィーチャは、典型的には、酸化ケイ素層および窒化ケイ素層を交互に繰り返すスタックを堆積させ、次いで窒化物層を選択的に除去し、酸化ケイ素層および窒化ケイ素層の間にギャップを有する酸化物層142のスタックを残すことにより形成される。これらのギャップはワード線フィーチャである。任意の数のワード線フィーチャを形成するための技法が利用可能であるだけではなく、垂直方向のフィーチャの(実質的に)ボイドがない充填をうまく達成するための技法も利用可能である限り、そのような3-D NAND構造内に任意の数のワード線を垂直に積み重ねてよい。したがって、たとえばVNANDスタックは、2~256の間の水平方向のワード線フィーチャ、または8~128の間の水平方向のワード線フィーチャ、または16~64の間の水平方向のワード線フィーチャなどを含んでよい(列挙した範囲は、記載した端点を含むことが理解される)。
図1Bは、図1Aで水平方向の点線により示すような水平断面160を通して横断面を得た、図1Aの側面図で示す同じ3-D NAND構造110の横断面上面図を提示する。図1Gの横断面は、図1Aで半導体基板103の基部から3-D NANDスタック110の最上部まで垂直方向に伸びるように示す柱155からなる行をいくつか例示する。いくつかの実施形態では、これらの柱155は、ポリシリコン材料から形成され、3-D NAND構造110にとって構造的および機能的に重要である。いくつかの実施形態では、そのようなポリシリコンの柱は、柱内部に形成された積層記憶セル用ゲート電極の役割を果たしてよい。図1Bの上面図は、柱155が開口部122内でワード線フィーチャ120に至る狭窄部を形成することを、すなわち、(図1Gの矢印により示すように)開口部122を介して中心垂直構造130からワード線フィーチャ120への流体到達性は、柱155により禁止されることを例示する。いくつかの実施形態では、隣接するポリシリコンの柱の間にある水平方向のギャップのサイズは、約1nm~20nmの間である。流体到達可能性がこのように低減されることにより、ワード線フィーチャ120をタングステン材料で一様に充填する困難さは増大する。
図2A~図2Cは、3D NAND構造を低フッ素および低抵抗率のタングステンで充填するために遂行されてよい方法について記述する。最初に図2Aに目を向けると、図2Aの動作202~210を遂行して、ALDによりタングステン核形成層を堆積させる。本明細書で記述するさまざまな実施形態では、動作202~210を、動作280でのその後のバルク堆積よりも低い圧力で遂行する。たとえば、動作202~210を、約10トール未満の低圧で遂行してよい。いくつかの例では、動作202~210を、約10トールの圧力で、または約3トールの圧力で遂行する。
いくつかの実装形態では、低圧で動作202~210を遂行するステップは、膜を堆積させるときにチャンバ内のフッ素含有前駆体の分圧がより低いために、堆積したタングステン膜内のフッ素濃度を低減し、その結果、より少ないフッ素が膜の中に組み入れられる。
動作202で、WF6などのタングステン含有前駆体に基板を曝露する。本明細書の記述のためには、タングステン含有前駆体の例としてWF6を使用するが、開示する実施形態を遂行するために他のタングステン含有前駆体が適していることがあることを理解されたい。たとえば、有機金属タングステン含有前駆体を使用してよい。有機金属前駆体、ならびにMDNOW(methylcyclopentadienyl-dicarbonylnitrosyl-tungsten)およびEDNOW(ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)などのフッ素のない前駆体もまた使用してよい。塩化タングステン(WClx)およびタングステンヘキサカルボニルW(CO)6。タングステン含有前駆体は、これらの化合物の組合せを含んでよい。いくつかの実施形態では、動作202中に窒素(N2)、アルゴン(Ar)、ヘリウム(He)、または他の不活性ガスなどのキャリアガスを流してよい。
任意の適切な継続期間、任意の適切な温度で動作202を遂行してよい。いくつかの例では、動作202を約0.25秒から約30秒の間の継続期間、約0.25秒から約5秒まで、または約0.5秒から約3秒まで遂行してよい。いくつかの実施形態では、この動作を、基板表面上の活性サイトを飽和させるのに十分な継続期間の間、遂行してよい。
動作204で、任意選択でチャンバをパージして、基板表面に吸着しなかった過剰なWF6を除去する。固定した圧力で不活性ガスを流すことによりパージを行ってよく、それにより、チャンバの圧力を低減し、別のガス曝露を開始する前にチャンバを再度加圧する。
動作206で、還元剤に基板を曝露して、タングステン核形成層を堆積させる。還元剤は、ボラン、シラン、またはゲルマンであってよい。ボランの例は、ボラン(BH3)、ジボラン(B26)、トリボラン、アルキルボラン、アミノボラン、カルボボラン、およびハロボランを含む。シランの例は、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、アルキルシラン、アミノシラン、カルボシラン、およびハロシランを含む。ゲルマンは、Genn+4、Genn+6、Genn+8、およびGenmを含み、ここでnは1~10の整数であり、mと異なる整数である。他のゲルマンもまた使用してよい、たとえば、アルキルゲルマン、アミノゲルマン、カルボゲルマン、およびハロゲルマンを使用してよい。一般に、ハロゲルマンは、著しい還元電位を有しなくてよいが、ハロゲルマンを使用して膜を形成するのに適した処理条件およびタングステン含有前駆体が存在することがある。
任意の適切な継続期間の間、動作206を遂行してよい。いくつかの例では、継続期間の例は、約0.25秒~約30秒の間、約0.25秒から約5秒まで、または約0.5秒から約3秒までを含んでよい。いくつかの実施形態では、この動作は、基板表面上のWF6の吸着層と反応するのに十分であってよい。これらの範囲の例を超える継続期間の間、動作206を遂行してよい。いくつかの実施形態では、たとえばアルゴン(Ar)、ヘリウム(He)、または窒素(N2)などのキャリアガスを使用してよい。
動作208の後、フィーチャの表面上でWF6と反応しなかった、依然として気相にある過剰な還元剤をパージするための、任意選択のパージステップが存在してよい。固定した圧力で不活性ガスを流すことによりパージを行ってよく、それにより、チャンバの圧力を低減し、別のガス曝露を開始する前にチャンバを再度加圧する。
動作210で、タングステン核形成層を適切な厚さまで堆積させたかどうかを判断する。堆積させなかった場合、フィーチャの表面上に所望の厚さのタングステン核形成層を堆積させるまで、動作202~208を反復する。動作202~208の各反復をALD「サイクル」と呼ぶことがある。いくつかの実施形態では、動作202および206の順序を逆にしてよく、その結果、還元剤を最初に導入する。
タングステン核形成層を適切な厚さまで堆積させた後、動作280で、以下で記述するようにバルクタングステンを堆積させる。さまざまな実施形態では、動作202~210中の圧力よりも大きな圧力で動作280を遂行してよい。たとえば、約10トール以上の圧力で、たとえば約10トールまたは約40トールで動作280を遂行してよい。いくつかの実施形態では、核形成層堆積中とバルク層堆積中の両方の圧力は、約5トール~20トール、または10トールであってよい。
図2Bは、動作280中に遂行してよい動作に関する処理の流れ図を提供する。図2Aの動作を遂行することなく図2Bの動作を遂行してよいことに留意されたい。図2Cは、処理200のALDサイクルの例を描くタイミングシーケンス図を提供する。
図2Bでは、動作282で、H2などの還元剤に基板を曝露する。この動作を、本明細書で交換可能に使用することがある「パルス」または「投与」と呼ぶことがある。H2は、別の反応物を流すことなくパルス化される。いくつかの実施形態では、キャリアガスを流してよい。キャリアガスは、図2Aで動作204に関して上記で記述したキャリアガスのうちいずれであってもよい。任意の適切な継続期間の間、動作282を遂行してよい。いくつかの例では、継続期間の例は、約0.25秒~約30秒の間、約0.25秒から約5秒まで、または約0.5秒から約3秒までを含んでよい。
図2Cは、図2Bの動作282に対応してよい堆積サイクル211AでのH2投与220Aを示す。H2投与220A中、キャリアガスを流し、還元剤をパルス化し、WF6の流れをオフにする。
図2Bに戻ると、動作284で、チャンバをパージする。このパージ動作は、気相の形で残った過剰なH2を除去してよい。固定した圧力で不活性ガスを流すことによりパージを行い、それにより、チャンバの圧力を低減し、別のガス曝露を開始する前にチャンバを再度加圧する。任意の適切な継続期間の間、たとえば約0.1秒~約3秒の間の継続期間の間、チャンバをパージしてよい。図2Bの動作284は、図2Cのパージ段階240Aに対応してよい。図2Cに示すように、パージ段階240Aの間、キャリアガスを流すが、H2の流れおよびWF6の流れをオフにする。
図2Bに戻ると、動作286で、タングステン含有前駆体(たとえば、WF6)に基板を曝露して、基板上に膜の準単分子層または単分子層を形成する。さまざまな実施形態では、この動作中に約0.1秒~約3秒の間の、または約0.5秒の継続期間の間、チャンバにWF6を流す。いくつかの実施形態では、WF6を配送して、投与前にガス管路および管路切替えを充填する。いくつかの実施形態では、チャンバにWF6を流すが、基板表面上ですべてのH2分子と完全に反応するわけではない。動作286は、図2CのWF6投与260Aに対応してよい。図2Cに示すように、WF6投与260A中、キャリアガスを流し、H2の流れをオフにし、WF6の流れをオンにする。
図2Bの動作288で、チャンバをパージして、反応した副産物および気相のWF6をチャンバから除去する。いくつかの実施形態では、パージ継続期間は、約0.1秒~約2秒の間であり、タングステン表面へのWF6の吸着速度が低いことに起因して、基板表面からWF6のすべてを除去するのを防止してよい。いくつかの実施形態では、パージ継続期間は、約7秒など、約0.1秒~約15秒の間である。たとえば、3D NAND構造を製作するために、動作288中に約7秒間、チャンバをパージしてよい。パージ継続期間は、基板および応力に依存する。
図2Bの動作288は、図2Cのパージ段階270Aに対応してよい。図2Cに示すように、パージ段階270Aは、堆積サイクル211Aを完了させる。いくつかの実施形態では、282の前に動作286を遂行するように、動作286および282を逆にしてよい。いくつかの実施形態では、動作286の前に動作282を遂行してよい。
図2Bの動作290では、バルクタングステンを適切な厚さまで堆積させたかどうかを判断する。堆積させなかった場合、所望の厚さを堆積させるまで、動作282~288を反復する。いくつかの実施形態では、フィーチャを充填するまで動作282~288を反復する。図2Cでは、バルクタングステンは、適切な厚さまで堆積しなかったと判断されるので、堆積サイクル211Bで図2Bの動作282~288を反復し、その結果、H2投与220Bを遂行し、パージ段階240Bが続く。WF6投与260Bを遂行し、パージ段階270Bが続く。
開示する実施形態には、タングステン堆積処理でさまざまな用途があってよい。たとえば、いくつかの実施形態では、還元剤(たとえば、ボラン、シラン、またはゲルマン)およびWF6を交互に繰り返すパルスからなるALDサイクルによりタングステン核形成層を堆積させることにより、続いてH2およびWF6を交互に繰り返すパルスからなるALDサイクルを使用することによるバルクタングステン堆積により、フィーチャを充填してよい。いくつかの実施形態では、タングステン核形成層を省略してよく、図2Bおよび図2Cを参照して上記で記述したようなバルク堆積を、下にあるフィーチャまたは層に対して直接遂行する。開示する実施形態を使用して堆積させたタングステン膜は、低フッ素濃度、低応力、良好な段差被覆性、および低抵抗率を有する。
いくつかの様態では、本明細書で記述する方法は、粗さが小さい滑らかな膜を提供する。3D NAND構造では、たとえば3D NANDスタック125、126の側壁140は、水平方向の各フィーチャ120に堆積した各タングステンワード線の開口部にタングステンを有する。これを、図3で単一ワード線320に関して346で示す。346の所にあるタングステンは、ワード線320および酸化物層342を含むスタックの側壁340を覆い、その後の処理でエッチバックされる。しかしながら、側壁の粗さは、エッチバック後、平坦ではないプロファイルにつながる可能性があり、最終的にエッチング化学物質がワード線の中に拡散して素子故障につながる可能性がある。
CVD技法は、滑らかな側壁被覆性をもたらす可能性があるが、フィーチャ内部の段差被覆性および等角性は、欠けている可能性がある。本明細書で提供するのは、図2A~図2Cを参照して上記で記述した処理を用いて実装されてよい小さい粗さをもたらすALD法である。本明細書で記述するALD法は、3D-NAND中心トレンチ内のようにギャップの外側の肩落ちおよび粗さにつながるW吸着原子の表面拡散を低減することができる。
いくつかの実施形態では、方法は、図2Bの動作282で還元剤と一緒に窒素(N2)を流すステップを伴う。図4は、処理400のALDサイクルの例を描くタイミングシーケンス図を提供する。タイミングシーケンス図は、図2Bのものと類似し、H投与中にN2を一緒に流すステップが追加されている。詳細には、図4は、図2Bの動作282に対応してよい、堆積サイクル411AでのH2+N2の投与420Aを示す。H2投与420A中、キャリアガスを流し、H2およびN2をパルス化し、WF6の流れをオフにする。堆積サイクル411Aは、図2Cを参照して上記で記述したように、WF6投与460Aおよびパージ段階470Aをさらに含む。これらの動作は、その後の堆積サイクルで420B、440B、460B、および470Bで示すように反復される。側壁の粗さは、H2動作中に窒素の流れのないタイミングシーケンスと比較して、図4に示すタイミングシーケンスを使用して著しく低減される。詳細には、図4に示すタイミングシーケンスは、約半分だけ側壁の粗さを小さくすることがわかった。これは、表面上にN2を追加することにより、吸着されたW原子(W吸着原子)の拡散を禁止し、かつフィーチャの隅でタングステン成長を抑制することに起因することがある。とりわけ、還元剤投与中にN2を流すことにより、タングステン前駆体パルス中に流す場合よりも粗さを小さくすることがわかった。
さまざまな実施形態によれば、N2は、N2+H2の流れ全体のうち約10%~30%(体積)に相当してよい。より高いN2の流れを使用してよく、それにより、粗さがさらに小さくなることにつながる可能性があるが、膜抵抗率および/または応力の増大につながる可能性がある。応力増大は、比較的高い温度、たとえば、400℃など、375℃以上を使用することにより防止または回避することができる。
いくつかの実施形態では、粗さは、図2Bの少なくとも20トールまたは25トールのチャンバ圧力のALD処理を使用することにより改善される。たとえば、図2Cおよび図4にそれぞれ示すように堆積サイクル200および400を約25トールで遂行してよい。これは10トール以上の改善を示し、この改善は、W吸着原子拡散距離の低減に起因する。粗さを小さくするために、チャンバ圧力は20トール~40トールの間であってよい。40トールよりも高い圧力では、処理はあまりにもCVDに似るようになりパージングが困難な可能性があるので、段差被覆性は損なわれる可能性がある。
いくつかの実施形態では、動作286中に高い投与圧力でタングステン前駆体を配送する。以下で記述するように、ガス管路を充満させて、各反応物を配送してよい。高い充満圧力で、たとえば少なくとも300トールまたは約400トールでタングステン前駆体を配送することにより、より小さな粗さがもたらされる。これは、表面上の吸着がより高いことに起因することがあり、それにより原子吸着拡散は制限される。いくつかの実施形態では、400トール~700トールの間の圧力でタングステン前駆体を配送する。
以下の表1は、本開示の範囲に入る異なる処理条件に関して達成された粗さの縮小を示す。3-D NAND構造でタングステンを堆積させて、ワード線フィーチャを充填し、側壁粗さを測定した。
Figure 2022513479000002
高温でWF6と一緒にN2を流すことにより側壁粗さを縮小することができる。たとえば、400℃よりも高い温度で、WF6(または他の前駆体)と一緒にN2を流すことにより、7.4nmから3.5nmへ粗さを縮小することが示された。N2は、N2+WF6の流れ全体の10%~90%の体積からであってよく、N2をより高くすることにより、膜特性を劣化させることなくより小さな粗さがもたらされる。より低い温度(たとえば、300℃)では、粗さは改善されないことがある。これは、300℃などの低温で粗さを縮小することができる、上述の他の方法とは対照的である。
図5Aは、ギャップを有する構造をタングステンで充填する別の方法を示す処理の流れ図である。いくつかの実施形態では、構造は、図1Aに示すような3D NAND構造である。方法は、動作502から始まり、タングステン核形成層を堆積させて、構造をタングステンで等角に覆う。図2Aの動作202~210を参照して上記で記述したように動作502を遂行してよい。いくつかの実施形態では、タングステン核形成層堆積中に、ケイ素含有還元剤および/またはホウ素含有還元剤を用いる。いくつかの実施形態では、上記で記述するように動作502を省略してよい。次に、動作504で、ALDによりバルクタングステン層を堆積させて、構造内のギャップを充填する。それぞれ図2Aの動作282~290、ならびに/または図2Cおよび図4のタイミングシーケンス図200および400を参照して上記で記述するように動作504を遂行してよい。しかしながら、(たとえば、図4の例の346で)堆積を継続して、フィーチャの外側に堆積させることができるようにするのではなく、動作506で堆積を停止する。この時点で、ワード線フィーチャの内側は、完全に充填されることがある。次いで、動作508で、バルクタングステン上にキャップ層を形成する。キャップ層は、比較的薄い層、すなわちバルクタングステン層よりも薄い層であり、いくつかの実施形態ではCVDまたはALDにより堆積させてよい。厚さの例は100オングストローム~200オングストロームの範囲である。キャップ層の例は、非晶質タングステン層およびタングステン酸化物層を含む。ワード線フィーチャの外側だけにキャップ層を形成してよい。
いくつかの実施形態では、ブロック508は、3D-NAND構造の側壁上にキャップ層のCVD堆積を伴う。3D-NAND構造上でのCVD堆積により、側壁の滑らかさは改善された。これは、CVD堆積の堆積速度が速いためであり、W吸着原子表面拡散のために、より短い時間を許容する。したがって、ブロック506からブロック508への遷移は、タングステン前駆体および(水素または他の還元剤)のパルスを交互に繰り返すことから、タングステン前駆体および還元剤を堆積チャンバの中に同時に導入することへの遷移を伴う可能性がある。
いくつかの実施形態では、ブロック508は、3D-NAND構造の側壁上に酸化タングステンキャップ層を形成するステップを伴う。これは、たとえば図2Cまたは図4のタイミングシーケンス図に従ってタングステンの比較的薄いALD層を堆積させ、次いで構造を空気に曝露して、層を酸化し、次いで反復するステップを伴う。たとえば、ブロック508は、100オングストローム~200オングストロームの酸化タングステンを形成するために、約20オングストロームのタングステンのALD堆積、酸化、および反復を伴う可能性がある。
いくつかの実施形態では、ブロック508は、図2Aに関して上記で記述したような方法により形成されたALDタングステン層の堆積を伴う可能性がある。キャップ層は、典型的にはその上にバルク堆積が存在しないという意味で「核形成」層ではないが、バルク層よりも滑らかである。シランまたはホウ素の還元剤、およびタングステン前駆体により堆積したALDタングステン層は、非晶質タングステン層であってよい。
以下の表2は、本開示の範囲に入る異なるキャップ層に関して達成された粗さの低減を示す。3-D NAND構造内にタングステンを堆積させて、ワード線フィーチャを充填し、側壁粗さを測定した。
Figure 2022513479000003
キャップ層のより高い抵抗率は、その後のエッチング動作中にキャップ層が除去されるので許容できることに留意されたい。
図5Bは、ギャップを有する構造をタングステンで充填する別の方法を示す処理の流れ図である。図5Bでは、図5Aに関して上記で記述したように動作602および604を遂行してよい。556で、ALD層の結晶成長は停止する。これは、ALD堆積を停止し、結晶粒成長を中断する処理を遂行するステップを伴う可能性がある。いくつかの実施形態では、ブロック558は、図2Aに関して上記で記述したように、窒素(N2)ソーキングまたはタングステン核形成層堆積を伴う可能性がある。次いで、図2Cおよび図4に関して上記で記述したようにバルク堆積処理を遂行するが、しかしながら、結晶粒成長が新たに始まり、粗さを低減させる。いくつかの実施形態では、動作504から動作558へバルク層堆積条件を変更してよい。たとえば、動作504は、窒素を一緒に流すことのない、図2Cで記述したようなタイミング図を伴ってよく、動作558のために図4のように窒素を追加する。同様に、動作558でチャンバ圧力および/またはタングステン配送圧力を高めてよい。
図5Cは、3D NAND構造をタングステンで充填する方法を示す処理の流れ図である。図5Cに記述する方法はまた、充填されるギャップを有する他の構造に適用されてよい。方法は、動作562から始まり、タングステン核形成層を堆積させて、ワード線フィーチャをタングステンで等角に覆う。図2Aの動作202~210を参照して上記で記述したように動作562を遂行してよい。いくつかの実施形態では、タングステン核形成層堆積中に、ケイ素含有還元剤および/またはホウ素含有還元剤を用いる。いくつかの実施形態では、上記で記述するように動作502を省略してよい。次に、動作564で、ALDによりバルクタングステン層を堆積させて、構造内のワード線フィーチャを充填する。図2Aの動作282~290、および/または図2Cのタイミングシーケンス図200を参照して上記で記述したように動作564を遂行してよい。ワード線フィーチャを充填すると、動作566でワード線フィーチャの外側に滑らかなバルクタングステン層を堆積させる。動作566は、上記で記述した技法のうち、すなわち、H2パルスにN2を追加するステップ、処理圧力を高めるステップ、タングステン前駆体配送圧力を高めるステップ、キャップ層を堆積させるステップ、および結晶粒成長を中断させるステップのうち、少なくとも1つまたは複数を使用してよい。いくつかの実施形態では、動作564から動作566への遷移は漸進的であってよく、たとえば、ワード線フィーチャが完成に近くなるにつれ、還元剤パルスに窒素を漸進的に追加してよい。異なる時点にワード線フィーチャを充填してよいこともまた留意されたく、フィーチャの一部またはすべてを充填した後に動作566を実装してよい。
図5Dは、3D NAND構造をタングステンで充填する別の方法を示す処理の流れ図である。図5Dに記述する方法はまた、充填されるギャップを有する他の構造に適用されてよい。方法は、動作582から始まり、薄いタングステン核形成層を堆積させて、ワード線フィーチャをタングステンで等角に覆う。図2Aの動作202~210を参照して上記で記述したように動作582を遂行してよい。いくつかの実施形態では、タングステン核形成層堆積中に、ケイ素含有還元剤および/またはホウ素含有還元剤を用いる。しかしながら、図5Dの方法では、堆積した核形成層は、その他の方法で堆積させたものよりも薄い。動作582の核形成層の範囲は、ある種の他の実施形態で使用してよい25Å~40Åとは対照的に、約15Å~25Åであってよい。核形成厚さを低減することにより、核形成サイト密度を効果的に変更することができ、したがって、結晶成長の結晶粒度を調整し、粗さを縮小する。20Å未満のタングステン核形成層を使用してよい。しかしながら、結晶粒度を低減する1つの欠点は、抵抗率増大であることがある。抵抗率増大は、核形成層が完全に変換されない場合に核形成層内に還元剤が存在することによる過剰なホウ素に起因して発生する可能性がある。いくつかの実施形態では、動作582は、アルゴン希釈を高めること、および/またはタングステン前駆体曝露時間を増大させることにより、過剰なホウ素残留物を軽減するステップを伴う。たとえば、いくつかの実施形態では、B26:Ar希釈は少なくとも1:5または1:9である。これは、ある種の他の核形成層堆積で使用される1:3という希釈から高められた。1:20などのレベルでは段差被覆性が不十分であることがあるが、希釈をさらにもっと高めることができる。いくつかの実施形態では、希釈レベルは、たとえば1:5~1:12である。いくつかの実施形態では、タングステン前駆体パルスは、還元剤パルスよりも長い継続期間、たとえば少なくとも1.5倍~2倍長い継続期間からなる。
いくつかの実施形態では、次いで動作584で核形成層上にタングステンの薄いテンプレート層を堆積させる。この動作を、動作582でのホウ素取込みを制限するのに加えて、またはそれの代わりに遂行してよい。いくつかの実施形態では、動作584で抵抗増大が効果的に軽減される場合、動作584を省略してよい。遂行する場合、動作584は、250℃~350℃の間の、またはいくつかの実施形態では250℃から325℃までの、もしくは250℃から300℃までの低温で、WF6(または他のタングステン前駆体)およびH2の還元剤のパルスを使用するALDを伴う。いくつかの実施形態では、300℃未満の温度を使用してよい。テンプレート膜の厚さの例は、20Å~50Å、または約30Åであってよい。この低温のテンプレート層は、その後のバルクタングステン堆積で、結晶粒が大きく成長するための良好なテンプレートを提供する。方法は、次いで動作586でテンプレート層上にバルクタングステンを堆積させるステップを続ける。これはまた、ALD WF6/H2動作により(または他のタングステン前駆体を使用して)、しかしより高い温度で、たとえば350℃~450℃、または375℃以上で遂行されてよい。核形成層、テンプレート層、およびバルク層を含むタングステン堆積全体の例は、約300Åであってよい。いくつかの実施形態では、たとえば堆積シーケンスは、以下を伴ってよい。
タングステン核形成層のALD堆積:WF6/B26パルス
テンプレート層のALD堆積:WF6/H2パルスを250℃~350℃で
基板温度を、たとえば少なくとも50℃上げる
バルク層のALD堆積:WF6/H2パルスを350℃~450℃で
図5Dで記述する方法はまた、上記で記述した方法のいずれかを組み合わせて実装されてよい。たとえば、その後のテンプレート層ありまたはなしで、上記で記述したように薄いタングステン核形成層を堆積させるステップを、図5A~図5Cを参照して記述した方法のいずれかで遂行してよい。
装置
任意の適切なチャンバを使用して、開示する実施形態を実装してよい。堆積装置の例は、さまざまなシステムを、たとえば、カリフォルニア州フリーモントのLam Research Corp.から入手可能なALTUS(登録商標)またはALTUS(登録商標) Max、またはさまざまな他の市販の処理システムを含む。いくつかの実施形態では、単一堆積チャンバ内部に位置決めされた2つ、5つ、またはさらに多くの堆積ステーションのうちの1つである第1のステーションで原子層堆積(ALD)を遂行してよい。したがってたとえば、基板表面に局所化された空気を生み出す個々のガス供給システムを使用して、第1のステーションで半導体基板の表面にジボラン(B26)および六フッ化タングステン(WF6)を、交互に繰り返すパルスの形で導入してよい。タングステンバルク層堆積用に別のステーションを使用してよい。別のステーションを使用して、CVDによりキャップ層を堆積させてよい。2つ以上のステーションを使用して、並列処理でタングステンを堆積させてよい。あるいは、2つ以上のステーションにわたり動作を順次に遂行させるように、ウエハにインデックスを付けてよい。
図6は、実施形態による堆積処理を行うのに適した処理システムの概略図である。システム600は、移送モジュール603を含む。移送モジュール603は、さまざまな反応器モジュールの間で基板を動かすとき、処理されている基板が汚染する危険性を最小にする、清潔な加圧環境を提供する。移送モジュール603に搭載されるのは、さまざまな実施形態によるALDおよびCVDを遂行することができる多重ステーション反応器609である。多重ステーション反応器609は、開示する実施形態に従って動作を順次に遂行してよい多数のステーション611、613、615、および617を含んでよい。たとえば、多重ステーション反応器609は、ステーション611が、塩素含有タングステン前駆体またはフッ素含有前駆体を使用してタングステン核形成層堆積を遂行し、ステーション613が、さまざまな実施形態によるALDタングステン堆積動作を遂行するように構成されてよい。いくつかの実施形態では、ステーション615はまた、ALDタングステン堆積動作を形成してよく、ステーション617は、CVD動作を遂行してよい。
ステーションは、加熱された台座もしくは基板支持物、1つもしくは複数のガス注入口もしくはシャワーヘッド、または分散プレートを含んでよい。基板支持物702およびシャワーヘッド703を含む堆積ステーション700の例を図7に描く。台座部分701にヒータを提供してよい。
図6に戻ると、同じく移送モジュール503に搭載されているのは、プラズマ事前洗浄もしくは化学(プラズマ以外の)事前洗浄、他の堆積動作、またはエッチング動作を遂行することができる1つまたは複数の単一ステーションモジュールまたは多重ステーションモジュール607であってよい。さらにまたモジュールをさまざまな処置用に使用して、たとえば堆積処理用の基板を準備してよい。システム600はまた、処理前後にウエハを貯蔵する1つまたは複数のウエハ供給源モジュール601を含む。大気移送チャンバ619内の大気ロボット(図示せず)は、最初に供給源モジュール601からロードロック621へウエハを移動させてよい。移送モジュール603内のウエハ移送機器(一般にロボット・アーム・ユニット)は、ロードロック621から、移送モジュール603に搭載されたモジュールへ、および移送モジュール603に搭載されたモジュールの間でウエハを動かす。
さまざまな実施形態では、システムコントローラ629を用いて、堆積中の処理条件を制御する。コントローラ629は、典型的には1つまたは複数の記憶装置、および1つまたは複数のプロセッサを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入力/出力接続、ステッパモータ・コントローラ・ボードなど含んでよい。
コントローラ629は、堆積装置の活動のすべてを制御してよい。システムコントローラ629は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、無線周波数(radio frequency、RF)出力レベル、ウエハチャックまたは台座の位置、および特定の処理の他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラ629に関連する記憶素子に記憶した他のコンピュータプログラムを用いてよい。
典型的には、コントローラ629に関連するユーザインタフェースが存在する。ユーザインタフェースは、表示画面、装置および/または処理条件のグラフィカルソフトウェア表示、ならびにポインティング機器、キーボード、タッチ画面、マイクロホンなどのようなユーザ入力機器を含んでよい。
システム制御論理は、任意の適切な方法で構成されてよい。一般に、論理をハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコードされてよい、またはソフトウェアとして提供されてよい。命令は、「プログラミング」により提供されてよい。そのようなプログラミングは、デジタル・シグナル・プロセッサ、特定用途向け集積回路、および特有のアルゴリズムをハードウェアとして実装した他の素子内にハードコードされた論理を含む、任意の形の論理を含むと理解される。プログラミングはまた、汎用プロセッサ上で実行されてよいソフトウェア命令またはファームウェア命令を含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素の流れ、およびタングステン含有前駆体パルス、ならびにプロセスシーケンスでの他の処理を制御するためのコンピュータプログラムコードを、任意の従来のコンピュータ可読プログラミング言語で、たとえば、アセンブリ言語、C、C++、パスカル、フォートランなどで書くことができる。プロセッサは、コンパイルされたオブジェクトコードまたはスクリプトを実行して、プログラムで識別されたタスクを遂行する。また示したように、プログラムコードをハードコードしてよい。
コントロータパラメータは、たとえば処理ガスの組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などの処理条件に関係がある。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを利用して入力されてよい。
処理を監視するための信号は、システムコントローラ629のアナログおよび/またはデジタルの入力接続により提供されてよい。処理を制御するための信号を堆積装置600のアナログおよびデジタルの出力接続上に出力する。
多くの異なる方法でシステムソフトウェアを設計または構成してよい。たとえば、開示する実施形態による堆積処理を行うために必要なチャンバ構成要素の動作を制御するために、さまざまなチャンバ構成要素サブルーチンまたは制御オブジェクトを書いてよい。この目的のためのプログラムまたはプログラムのセクションの例は、基板位置決めコード、処理ガス制御コード、圧力制御コード、およびヒータ制御コードを含む。
いくつかの実装形態では、コントローラ629は、上述の例の一部であってよいシステムの一部である。そのようなシステムは、1つもしくは複数の処理ツール、1つもしくは複数のチャンバ、処理するための1つもしくは複数のプラットフォーム、および/または特有の処理構成要素(ウエハペダル、ガス流システムなど)を含む半導体処理設備を含むことができる。これらのシステムは、半導体ウエハまたは半導体基板を処理する前、処理する間、および処理後に自身の動作を制御するための電子機器と一体化されてよい。電子機器は、1つまたは複数のシステムのさまざまな構成要素または下位区分を制御してよい「コントローラ」と呼ばれることがある。処理要件および/またはシステムのタイプに応じてコントローラ629をプログラムして、処理ガスの配送、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、出力設定、いくつかのシステムでの無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体配送設定、位置および動作の設定、ツールおよび他の移送ツールの中へ、およびそれらから外へのウエハ移送、ならびに/または特有のシステムに接続された、もしくはそれとインタフェースをとるロードロックを含む、本明細書で開示する処理のいずれも制御してよい。
大まかに言って、コントローラは、さまざまな集積回路、論理、メモリ、および/または命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどを行うソフトウェアを有する電子機器として規定されてよい。集積回路は、プログラム命令を記憶するファームウェアの形をとるチップ、デジタル・シグナル・プロセッサ(digital signal processor、DSP)、特定用途向け集積回路(application specific integrated circuit、ASIC)として規定されるチップ、および/またはプログラム命令(たとえば、ソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、半導体ウエハ上での、もしくは半導体ウエハのための、またはシステムに対する特定の処理を行うための動作パラメータを規定するさまざまな個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であってよい。動作パラメータは、いくつかの実施形態では、1つもしくは複数の層、材料、金属、酸化物、ケイ素、酸化ケイ素、表面、回路、および/またはウエハのダイを製作する間、1つまたは複数の処理ステップを達成するために処理技術者が規定するレシピの一部であってよい。
コントローラ629は、いくつかの実装形態では、システムと一体化された、システムに連結された、システムに他の方法でネットワーク化された、またはそれらを組み合わせたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。たとえば、コントローラ629は、「クラウド」の中にあってよい、または半導体工場のホスト・コンピュータ・システムのすべて、もしくは一部であってよく、これにより、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現在の進展を監視し、過去の製作動作の履歴を調べ、複数の製作動作から傾向または性能指標を調べるためにシステムへの遠隔アクセスを可能にして、現在の処理のパラメータを変更して、現在の処理に続く処理ステップを設定してよい、または新しい処理を開始してよい。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介してシステムに処理レシピを提供することができる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインタフェースを含んでよく、パラメータおよび/または設定は、次いで遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラは、1つまたは複数の動作の間に遂行すべき処理ステップごとにパラメータを指定する、データの形をとる命令を受け取る。パラメータは、遂行すべき処理のタイプ、およびコントローラがインタフェースをとる、または制御するように構成されたツールのタイプに特有であってよいことを理解されたい。したがって、上記で記述したように、コントローラは、本明細書で記述する処理および制御などの共通の目的に向かって作動する、一緒にネットワーク化された1つまたは複数の別個のコントローラを含むことによるなど、分散させられてよい。そのような目的のための分散コントローラのある例は、チャンバ上の処理を制御するために組み合わせる、(プラットフォームレベルで、または遠隔コンピュータの一部としてなど)遠隔に位置する1つまたは複数の集積回路と通信状態にある、チャンバ上の1つまたは複数の集積回路である。
限定することなく、システムの例は、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピン・リンス・チャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベル縁部エッチングチャンバまたはモジュール、物理蒸着法(physical vapor deposition、PVD)チャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(atomic layer etch、ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連づけられてよい、またはそれで使用されてよい、任意の他の半導体処理システムを含んでよい。
上記で指摘したように、ツールが遂行すべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、近接するツール、隣接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツールの場所および/またはロードポートとの間でウエハの容器を運ぶ材料搬送で使用するツールのうち1つまたは複数と通信してよい。
コントローラ629は、さまざまなプログラムを含んでよい。基板位置決めプログラムは、基板を台座またはチャックの上にロードして、基板とガス注入口および/またはターゲットなどのチャンバの他の部分との間の間隔を制御するために使用するチャンバ構成要素を制御するためのプログラムコードを含んでよい。処理ガス制御プログラムは、ガス組成、流量、パルス時間を制御するためのコード、および任意選択でチャンバ内の圧力を安定化するために堆積前にチャンバの中にガスを流すためのコードを含んでよい。圧力制御プログラムは、たとえばチャンバの排気システム内の絞り弁を加減することによりチャンバ内の圧力を制御するためのコードを含んでよい。ヒータ制御プログラムは、基板を加熱するために使用する加熱ユニットに至る電流を制御するためのコードを含んでよい。代わりに、ヒータ制御プログラムは、ヘリウムなどの伝熱ガスをウエハチャックに配送することを制御してよい。
堆積中に監視してよいチャンバセンサの例は、質量流コントローラ、圧力計などの圧力センサ、および台座またはチャック内に位置する熱電対を含む。これらのセンサから得られるデータを用いて、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用して、所望の処理条件を維持してよい。
装置は、図8に概略的に示すようなさまざまなガス分配管路に管路装入物を提供するガス多岐管システムを含んでよい。多岐管804は、タングステン含有前駆体ガスの供給源(図示せず)からの入力802を有し、多岐管811は、水素または他の還元ガスの供給源(図示せず)からの入力809を有し、多岐管821は、不活性パージガスの供給源(図示せず)からの入力819を有する。多岐管804、811、および821は、それぞれ弁を備えた分配管路805、813、および825を通して堆積チャンバにタングステン含有前駆体ガス、還元ガス、およびパージガスを提供する。さまざまな弁を開閉して、管路装入物を提供する、すなわち、分配管路を加圧する。たとえば分配管路805を加圧するために、真空にする弁806を閉じて、弁808を閉じる。適切な時間経過後、弁808を開き、タングステン含有前駆体ガスをチャンバに配送する。バルク層のALD堆積中にタングステン前駆体を高圧まで(たとえば、400トールまで)満たすことにより、いくつかの実施形態では抵抗率を改善することができる。ガスを配送するのに適した時間後、弁808を閉じる。次いで真空にする弁806を開けることにより、チャンバをパージして真空にすることができる。
類似の処理を使用して、還元ガスおよびパージガスを配送する。還元ガスを導入するために、たとえば、弁815を閉じて、真空にする弁817を閉じることにより分配管路813を満たす。弁815を開けることにより、チャンバに還元ガスを配送できるようになる。同様に、パージガスを導入するために、弁827を閉じて、真空にする弁823を閉じることにより分配管路825を満たす。弁827を開けることにより、チャンバにアルゴンガスまたは他の不活性パージガスを配送できるようになる。管路を満たすことができるようになる時間により、ガスを最初に配送する量およびタイミングは変化する。
図8はまた、弁806、817、および823をそれぞれ開けて、システムをパージすることができる真空ポンプを示す。さまざまな分配管路を通してガスを供給することは、流量、流れの継続時間、処理の順序づけを用いてプログラムされたマイクロプロセッサ、デジタル・シグナル・プロセッサなどにより制御される質量流コントローラなどのコントローラにより制御される。
上述の処理は、堆積中に半導体基板に試薬のパルスを供給する正確なタイミングを弁および質量流コントローラ(mass flow controller、MFC)が必要とすることがあることに留意されたい。これを可能にする1つの方法では、堆積シーケンスのすべてまたは一部のための、時間制約が厳しいコマンドすべてに関する命令を包含する別個の情報パケットの形で、埋め込まれたデジタル入力・出力コントローラ(input-output controller、IOC)に弁およびMFCのコマンドを配送する。Lam Research社のALTUSシステムは、少なくとも1つのIOCシーケンスを提供する。IOCは、装置内のさまざまな地点に、たとえば、処理モジュール内部に、または処理モジュールから少しの距離だけ離して置かれているスタンドアロンの電源ラック上に物理的に配置することができる。各モジュール内に多数のIOCが(たとえば、モジュールあたり3つ)存在してよい。シーケンス内に含まれる実際の命令に関して、(キャリアガスおよび反応物ガスすべてに関して)弁を制御し、流れを設定するための、MFCに向けたコマンドすべてを単一IOCシーケンスに含んでよい。これは、すべての機器のタイミングが、絶対的観点から、さらにまた互いに対して、厳密に制御されることを確実にする。典型的には任意の所与の時点に多数のIOCシーケンスが走っている。これにより、たとえばALDは、ステーション1および2で作動することができるようになり、すべてのタイミングは、ステーション1および2でALD-W核形成層を堆積させるために必要なすべてのハードウェア構成要素のために制御される。第2のシーケンスを同時に走らせながら、同じモジュール内の他の堆積ステーションで上述のタイミングシーケンスを使用してタングステンバルクを堆積させてよい。機器がステーション3および4に試薬を配送するのを制御する相対的タイミングは、機器のそのグループ内部で重要であるが、ステーション1および2でのALD処理の相対的タイミングをステーション3および4の相対的タイミングからずらすことができる。IOCは、パケット化されたシーケンス内の情報を変換し、MFC、または弁を制御する空気ソレノイド列に、直接にデジタルまたはアナログのコマンド信号を配送する。
タングステン含有ガスのパルスを以下のように発生させてよい。最初にシステムは、MFCまたは他の流れ制御機器が安定している期間、WF6の進路を真空ポンプに変更する。一例ではこれを約0.5秒~5秒の間の期間に行ってよい。次にシステムは、進路変更放出口606と堆積チャンバに至る放出口608の両方を閉じることにより、タングステンガス配送多岐管を加圧する。これを、たとえば約0.1秒~5秒の間の期間に行って、堆積チャンバに至る放出口が開いたときの試薬の初期バーストを生み出してよい。これは、一例では約0.1秒~10秒の間、放出口弁808を開けることにより達成される。その後、適切なパージガスを使用して堆積チャンバからタングステン含有ガスをパージする。類似の手法で他の試薬の流れをパルス化してよい。
前述は、単一チャンバまたはマルチチャンバの半導体処理ツール内に、開示する実施形態を実装することについて記述している。本明細書で記述する装置および方法は、たとえば半導体素子、表示装置、LED、光起電力パネルなどを製作または製造するために、リソグラフィによるパターン形成ツールまたはパターン形成処理と関連づけて使用されてよい。典型的には、必ずしもではないが、そのようなツールは共通の製作施設で一緒に使用される、またはそのような処理は共通の製作施設で一緒に行われる。リソグラフィによる膜のパターン形成は、典型的には、(1)スピン・オンツールまたはスプレー・オン・ツールを使用して、加工物、すなわち基板上にフォトレジストを適用するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化させるステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを曝露するステップ、(4)レジストを選択的に除去し、それにより、ウェットベンチなどのツールを使用してレジストをパターン形成するように、レジストを現像するステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することにより、下にあるフィルムまたは加工物の中にレジストパターンを移すステップ、ならびに(6)RFまたはマイクロ波のプラズマレジスト剥離液などのツールを使用してレジストを除去するステップのうち一部またはすべてを備え、各ステップは、いくつかの想定されるツールを具備する。
結論
前述の実施形態について、理解を明確にするためにいくらか詳細に記述してきたが、添付の特許請求の範囲内で一定の変更および修正を実施してよいことは明らかであろう。本実施形態の処理、システム、および装置を実装する代替方法が多くあることに留意されたい。したがって、本実施形態は、例示的であり、かつ制限するものではないと考えるべきであり、実施形態は、本明細書で示す詳細に限定されるべきではない。

Claims (19)

  1. 方法であって、
    タングステン含有材料が充填される構造を提供するステップと、
    多数の堆積サイクルに前記構造を曝露するステップであって、各前記堆積サイクルは、前記構造を収容するチャンバに窒素(N2)と一緒に流れる水素(H2)の投与およびタングステン前駆体の投与を順次配送するステップを備えるステップと
    を備える方法。
  2. 請求項1に記載の方法であって、前記構造は、側壁と、前記側壁内の複数の開口部とを備える部分的に製作された3次元(3-D)NAND構造であり、前記複数の開口部は、前記開口部を通して流体で到達可能な複数の内部領域を有する複数のフィーチャにつながる方法。
  3. 請求項1に記載の方法であって、前記タングステン前駆体の前記投与は、前記N2と一緒に流れる前記H2の前記投与よりも高い圧力で配送される方法。
  4. 請求項1~3のいずれか一項に記載の方法であって、前記タングステン前駆体の前記投与を少なくとも300トールの圧力で配送する方法。
  5. 請求項1~3のいずれか一項に記載の方法であって、前記タングステン前駆体の前記投与を前記窒素なしに配送する方法。
  6. 請求項1~3のいずれか一項に記載の方法であって、前記N2は、前記N2と一緒に流れる前記H2の前記投与のN2+H2の流れ全体の10%~30%(体積)の間である方法。
  7. 請求項6に記載の方法であって、基板温度は、前記N2と一緒に流れる前記H2の前記投与中に350℃以下である方法。
  8. 請求項1~3のいずれか一項に記載の方法であって、前記N2は、前記N2+H2の流れ全体の30%(体積)よりも多く、基板温度は、前記N2と一緒に流れる前記H2の前記投与中に少なくとも375℃である方法。
  9. 請求項1~3のいずれか一項に記載の方法であって、前記タングステン前駆体は、六フッ化タングステンである方法。
  10. 請求項1~3のいずれか一項に記載の方法であって、前記タングステン前駆体は、塩素含有タングステン前駆体である方法。
  11. 方法であって、
    タングステン含有材料が充填されるギャップを有する構造を提供するステップと、
    多数の堆積サイクルに前記構造を曝露するステップであって、各前記堆積サイクルは、水素(H2)の投与およびタングステン前駆体の投与を順次配送して、前記ギャップ内にバルクタングステン膜を堆積させるステップを備えるステップと、
    前記バルクタングステン膜上にキャップ層を形成するステップと
    を備える方法。
  12. 請求項11に記載の方法であって、前記構造は、側壁と、タングステンが充填される前記ギャップにつながる、前記側壁内の複数の開口部とを備える部分的に製作された3次元(3-D)NAND構造である方法。
  13. 請求項12に記載の方法であって、前記側壁上に前記キャップ層を堆積させる方法。
  14. 請求項11~13のいずれか一項に記載の方法であって、前記キャップ層は酸化タングステン層である方法。
  15. 請求項11~13のいずれか一項に記載の方法であって、前記キャップ層は非晶質タングステン層である方法。
  16. 請求項11~13のいずれか一項に記載の方法であって、多重ステーション堆積チャンバに前記構造を提供し、前記多重ステーション堆積チャンバのうち1つまたは複数の第1のステーションで前記多数の堆積サイクルを遂行し、前記多重ステーション堆積チャンバのうち1つまたは複数の第2のステーションで前記キャップ層を形成する方法。
  17. 方法であって、
    (a)タングステン前駆体およびホウ素含有還元剤からなるパルスを交互に繰り返すことにより基板上のフィーチャ内にタングステン核形成層を堆積させるステップと、
    (b)250℃~350℃の間の基板温度で前記タングステン前駆体および水素(H2)からなるパルスを交互に繰り返すことにより前記タングステン核形成層上にタングステンテンプレート層を堆積させるステップと、
    (c)(b)の後、少なくとも50℃だけ前記基板温度を上げるステップと、
    (d)(c)の後、少なくとも350℃の基板温度で前記タングステン前駆体および前記水素(H2)からなるパルスを交互に繰り返すことによりタングステンバルク層を堆積させるステップと
    を備える方法。
  18. 請求項17に記載の方法であって、前記タングステン核形成層を30Å以下の厚さまで堆積させる方法。
  19. 装置であって、
    基板を保持するようにそれぞれ構成された1つまたは複数のステーションを有する処理チャンバと、
    水素(H2)ガス供給源、窒素(N2)ガス供給源、およびタングステン前駆体ガス供給源に連結するための1つまたは複数の処理ガス注入口と、
    窒素(N2)と一緒に流れる水素(H2)の投与およびタングステン前駆体の投与を前記処理チャンバに順次配送するための機械可読命令を備える、前記装置内の動作を制御するためのコントローラと
    を備える装置。
JP2021533642A 2018-12-14 2019-12-13 3d nand構造上の原子層堆積 Pending JP2022513479A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862780006P 2018-12-14 2018-12-14
US62/780,006 2018-12-14
PCT/US2019/066301 WO2020123987A1 (en) 2018-12-14 2019-12-13 Atomic layer deposition on 3d nand structures

Publications (1)

Publication Number Publication Date
JP2022513479A true JP2022513479A (ja) 2022-02-08

Family

ID=71077099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021533642A Pending JP2022513479A (ja) 2018-12-14 2019-12-13 3d nand構造上の原子層堆積

Country Status (5)

Country Link
US (1) US11972952B2 (ja)
JP (1) JP2022513479A (ja)
KR (1) KR20210092840A (ja)
CN (1) CN113424300A (ja)
WO (1) WO2020123987A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR20210063493A (ko) * 2019-11-21 2021-06-02 삼성전자주식회사 반도체 장치의 제조방법 및 반도체 장치의 제조 설비
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
CN117957636A (zh) * 2021-09-10 2024-04-30 朗姆研究公司 半导体处理期间的处理气体渐变
WO2024097068A1 (en) * 2022-11-02 2024-05-10 Lam Research Corporation Dual nitrogen flow capability for low fluorine tungsten deposition

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
JP2560028B2 (ja) 1987-05-07 1996-12-04 新技術事業団 ホウ化チタンの製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
JPH0266399A (ja) 1988-08-30 1990-03-06 Semiconductor Energy Lab Co Ltd 気体充填用容器及びその作製方法
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP3194971B2 (ja) 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Cvdチャンバに導入されるプロセスガスをcvdチャンバへの導入前に濾過するための装置
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
JP3040177B2 (ja) 1990-12-17 2000-05-08 沖電気工業株式会社 半導体素子の配線形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3422345B2 (ja) 1995-05-31 2003-06-30 日本電信電話株式会社 タングステン膜の形成方法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ja) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
CN1295756C (zh) 2000-11-17 2007-01-17 东京毅力科创株式会社 在阻挡膜上形成钨膜的方法
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR20030050652A (ko) 2001-12-19 2003-06-25 주식회사 하이닉스반도체 텅스텐막의 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
WO2003062490A2 (en) 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
KR101035221B1 (ko) 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR100528030B1 (ko) 2003-12-30 2005-11-15 주식회사 아이피에스 박막 증착 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
KR101178743B1 (ko) 2004-04-12 2012-09-07 가부시키가이샤 알박 배리어막의 형성 방법, 및 전극막의 형성 방법
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7956465B2 (en) * 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR100874829B1 (ko) 2006-12-26 2008-12-19 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US8435898B2 (en) 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP2009024252A (ja) 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US20090162681A1 (en) 2007-12-21 2009-06-25 Artur Kolics Activation solution for electroless plating on dielectric layers
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101015125B1 (ko) 2008-03-21 2011-02-16 주식회사 하이닉스반도체 계면반응배리어를 구비한 반도체장치 제조 방법
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7830016B2 (en) 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20100029952A (ko) 2008-09-09 2010-03-18 주식회사 하이닉스반도체 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
CN101572291B (zh) 2009-06-12 2010-09-15 中国科学院上海微系统与信息技术研究所 一种实现多级存储的存储器单元结构及其制作方法
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
JP5729911B2 (ja) 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステン膜の製造方法およびタングステン膜を堆積させる装置
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101356332B1 (ko) 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
JP5710529B2 (ja) 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
CN102867953B (zh) 2012-07-24 2015-01-21 龙能科技(苏州)有限公司 用氢氧化物或羟基氧化物生产锂离子电池正极材料的方法
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP5864503B2 (ja) 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
CN105814677B (zh) 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
KR102397797B1 (ko) 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6541438B2 (ja) 2015-05-28 2019-07-10 東京エレクトロン株式会社 金属膜のストレス低減方法および金属膜の成膜方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
TWI732846B (zh) 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TWI831756B (zh) 2017-11-20 2024-02-11 美商蘭姆研究公司 形成金屬薄膜的方法及儀器
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR20210158419A (ko) 2019-05-22 2021-12-30 램 리써치 코포레이션 핵생성-프리 텅스텐 증착
KR20220047333A (ko) 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
WO2021178593A1 (en) 2020-03-04 2021-09-10 Lam Research Corporation Reactant gas pulse delivery

Also Published As

Publication number Publication date
US20210335617A1 (en) 2021-10-28
US11972952B2 (en) 2024-04-30
KR20210092840A (ko) 2021-07-26
WO2020123987A1 (en) 2020-06-18
CN113424300A (zh) 2021-09-21

Similar Documents

Publication Publication Date Title
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR20220129098A (ko) 순수 금속 막의 증착
JP6799903B2 (ja) 基板上にタングステンを堆積する方法およびその装置
JP7092456B2 (ja) 連続cvdプロセスによる低フッ素タングステンの堆積
CN111357083A (zh) 自限制生长
JP2021523292A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
JP2022510428A (ja) ボイドフリーの低応力充填
JP2022513479A (ja) 3d nand構造上の原子層堆積
JP2017008412A5 (ja)
CN109791914A (zh) 用于互连结构的复合介电界面层
JP2022544931A (ja) タングステン堆積
CN109216205B (zh) 氮化钨阻挡层沉积
CN115803473A (zh) 钼的沉积
JP2022529056A (ja) 原子層堆積中の急速フラッシュパージング
JP2022522226A (ja) 高ステップカバレッジのタングステン堆積
WO2023038905A1 (en) Process gas ramp during semiconductor processing
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240425