KR20230155949A - 금속 충진 프로세스 동안 라인 벤딩 감소 - Google Patents

금속 충진 프로세스 동안 라인 벤딩 감소 Download PDF

Info

Publication number
KR20230155949A
KR20230155949A KR1020227045646A KR20227045646A KR20230155949A KR 20230155949 A KR20230155949 A KR 20230155949A KR 1020227045646 A KR1020227045646 A KR 1020227045646A KR 20227045646 A KR20227045646 A KR 20227045646A KR 20230155949 A KR20230155949 A KR 20230155949A
Authority
KR
South Korea
Prior art keywords
nucleation layer
metal
feature
deposition
features
Prior art date
Application number
KR1020227045646A
Other languages
English (en)
Inventor
아난드 찬드랴쉐커
레이 구오
강 리우
산제이 고피나스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230155949A publication Critical patent/KR20230155949A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

피처 충진 동안 라인 벤딩 (line bending) 을 완화하는 방법들은 상승된 거칠기를 갖는 핵생성 층의 증착을 포함한다. 일부 실시 예들에서, 방법들은 2 개 이상의 금속 핵생성 층들을 증착하는 단계를 포함한다.

Description

금속 충진 프로세스 동안 라인 벤딩 (line bending) 감소
전도성 재료들의 증착은 많은 반도체 제조 프로세스들의 필수적인 부분이다. 이들 재료들은 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 실리콘 기판 상의 금속 층들과 디바이스들 사이의 콘택트들, 및 고 종횡비 피처들에 사용될 수도 있다. 반도체 기판 상의 증착 프로세스의 일 예에서, 기판은 진공 챔버에서 프로세스 온도로 가열되고, 시드 층 또는 핵생성 층으로서 역할하는 (serve) 막의 매우 박형인 (thin) 부분이 증착된다. 그 후, 벌크 층으로 지칭되는 막의 나머지가 핵생성 층 상에 증착된다. 벌크 층은 일반적으로 핵생성 층보다 더 신속하게 증착된다. 그러나, 디바이스들이 축소되고 더 복잡한 패터닝 스킴들 (schemes) 이 산업계에서 활용됨에 따라, 피처들을 충진하기 위한 박막들의 증착이 어려워진다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 출원된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
피처 충진 동안 라인 벤딩 (line bending) 을 완화하는 방법들이 기술된다. 일부 실시 예들에서, 방법들은 원자간력 (interatomic forces) 에 의해 유발된 라인 벤딩을 방해하거나 방지하는 제 1 핵생성 층을 증착하는 단계를 수반한다. 일부 실시 예들에서, 제 1 핵생성 층은 거칠기가 상승되는 조건들에서 증착된다. 일부 실시 예들에서, 이어서 하나 이상의 부가적인 핵생성 층들이 증착된다. 하나 이상의 부가적인 핵생성 층들은 저항률을 감소시키는 것, 불소 또는 다른 할로겐들과 같은 원치 않은 종에 대한 배리어를 제공하는 것, 및 후속하는 벌크 증착을 위해 우수한 템플릿을 제공하는 것 중 하나 이상을 용이하게 할 수도 있다. 금속의 벌크 층은 제 1 핵생성 층 직상에 (directly on) 또는 하나 이상의 부가적인 핵생성 층들 직상에 증착될 수도 있다.
본 개시의 일 양태는 이격된 복수의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부 폭을 갖고, 피처의 폭은 피처의 상단부로부터 피처의 하단부로 좁아지는, 기판 제공 단계; 복수의 피처들 내에 제 1 컨포멀한 (conformal) 금속 핵생성 층을 증착하는 단계; 및 제 1 컨포멀한 금속 핵생성 층 상에 제 2 컨포멀한 금속 핵생성 층을 증착하는 단계를 포함하고, a) 환원제 화학 물질, b) 금속 전구체 및 환원제 플로우 시퀀스, c) 금속 전구체 및 환원제 플로우 지속 기간, d) 퍼지 조건들, e) 챔버 압력, 및 f) 기판 온도 중 하나 이상은 제 1 컨포멀한 금속 핵생성 층의 증착하는 단계 동안과 제 2 컨포멀한 금속 핵생성 층의 증착하는 단계 동안 상이한, 방법에 관한 것이다.
일부 실시 예들에서, 피처 각각의 하단부의 폭은 0 ㎚ 내지 피처의 상단부에서의 폭의 90 %이다. 일부 실시 예들에서, 금속은 텅스텐, 루테늄, 몰리브덴, 및 코발트로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 제 1 컨포멀한 금속 핵생성 층은 제 2 컨포멀한 금속 핵생성 층보다 더 두껍다.
일부 실시 예들에서, 디보란은 제 2 금속 핵생성 층의 증착 동안이 아니라 제 1 금속 핵생성 층의 증착 동안 환원제이다. 일부 실시 예들에서, 금속 전구체 및 환원제는 제 1 금속 핵생성 층의 증착 동안 함께 흐르고 (co-flow) 그리고 금속 전구체 및 환원제는 제 2 금속 핵생성 층의 증착 동안 교번하여 펄싱된다. 일부 실시 예들에서, 금속 전구체의 펄스 지속 기간은 제 2 금속 핵생성 층의 증착 동안보다 제 1 금속 핵생성 층의 증착 동안 더 길다. 일부 실시 예들에서, 퍼지 가스는 제 1 금속 핵생성 층의 증착 동안 연속적으로 흐르고 그리고 제 2 금속 핵생성 층의 증착 동안 펄싱된다. 일부 이러한 실시 예들에서, 퍼지 가스를 펄싱하는 것은 하나 이상의 퍼지 가스 축적기를 사용하는 것을 포함한다. 일부 실시 예들에서, 기판을 하우징하는 챔버 압력은 제 2 금속 핵생성 층의 증착 동안보다 제 1 금속 핵생성 층의 증착 동안 더 높다. 일부 실시 예들에서, 기판 온도는 제 2 금속 핵생성 층의 증착 동안보다 제 1 금속 핵생성 층의 증착 동안 더 높다. 일부 실시 예들에서, 피처들은 인접한 피처들 사이에서 약 10 ㎚ 내지 60 ㎚의 피치로 이격된다. 일부 실시 예들에서, 방법은 제 2 컨포멀한 금속 핵생성 층 상에 제 3 컨포멀한 금속 핵생성 층을 증착하는 단계를 더 포함한다. 일부 실시 예들에서, 제 1 핵생성 층 두께는 약 1 ㎚ 내지 2 ㎚이다. 일부 실시 예들에서, 제 2 핵생성 층 두께는 약 0.5 ㎚ 내지 1 ㎚이다.
본 개시의 또 다른 양태는, 이격된 복수의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부 폭을 갖고, 피처의 폭은 피처의 상단부로부터 피처의 하단부로 좁아지는, 기판 제공 단계; 및 환원제와 금속 전구체의 교번하는 도즈들에 피처를 노출함으로써 과포화된 (supersaturated) 조건들 하에서 복수의 피처들 내에 제 1 컨포멀한 금속 핵생성 층을 증착하는 단계로서, 환원제 도즈들 각각은 적어도 ¼ 리터이고 지속 기간이 적어도 10 초인, 제 1 컨포멀한 금속 핵생성 층 증착 단계를 포함하는 방법에 관한 것이다.
일부 실시 예들에서, 방법은 제 1 컨포멀한 핵생성 층 상에 제 2 컨포멀한 핵생성 층을 증착하는 단계를 포함한다. 일부 실시 예들에서, 방법은 금속으로 피처들을 충진하기 위해 제 1 컨포멀한 금속 핵생성 층 직상에 벌크 금속을 증착하는 단계를 포함한다. 일부 실시 예들에서, 방법은 복수의 피처들에서 핵생성을 억제하도록 제 1 컨포멀한 핵생성 층을 질소-함유 억제 화학 물질에 노출하는 단계를 포함한다. 다양한 실시 예들에 따라, 핵생성은 피처들의 하단부를 포함하여 복수의 피처들 전반에 걸쳐 (throughout) 억제될 수도 있고 또는 핵생성은 피처들의 상단부에서 우선적으로 억제될 수도 있다.
본 개시의 이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 다양한 실시 예들에 따른 예시적인 층들의 스택을 도시한다.
도 2a 내지 도 3i는 다양한 실시 예들에 따른 텅스텐이 증착될 수도 있는 다양한 구조체들의 개략적인 예들이다.
도 4는 다양한 실시 예들에 따른 라인 벤딩 (line bending) 이 없거나 라인 벤딩을 상당히 감소시켜 구조체를 충진하기 위한 프로세스 플로우의 일 예를 제공한다.
도 5a 및 도 5b는 다양한 실시 예들에 따른 도 4의 방법을 사용하여 충진된 피처의 개략적인 예를 도시한다.
도 6 및 도 7은 다양한 실시 예들에 따른 핵생성 층의 증착 동안 환원제 및 금속 공-반응 물질의 예시적인 플로우 시퀀스들을 제공한다.
도 8a 및 도 8b는 다양한 실시 예들에 따른 퍼지 동작을 수행하는 2 개의 상이한 방식들에 대한 시간의 함수로서 하나 이상의 축적기들 각각에서 볼륨의 예시적인 압력을 정성적으로 (qualitatively) 나타낸다.
도 9는 다양한 실시 예들에 따른 축적기들을 포함하는 가스 매니폴드 시스템의 일 예의 개략도를 도시한다.
도 10은 다양한 실시 예들에 따른 증착 챔버 내의 증착 스테이션의 개략적인 예를 도시한다.
도 11은 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 다이어그램 (diagram) 이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
피처들의 금속 충진은 전기적 콘택트들을 형성하기 위해 반도체 디바이스 제조에 사용된다. 디바이스들이 더 작은 기술 노드들로 스케일링되고 더 복잡한 패터닝 구조들이 사용되기 때문에, 금속으로 피처들을 충진하는 데 다양한 문제들이 있다. 불소-함유 반응 물질로 증착된 금속들에 대해, 일 문제는 증착된 금속 막에서 불소 함량을 감소시키는 것이다. 예를 들어, 텅스텐 (W) 막들은 텅스텐 헥사플루오라이드 (WF6) 를 사용하여 증착될 수도 있다. WF6를 사용하는 피처 내 W의 증착은 불소 혼입을 발생시킬 수 있다. 피처 사이즈가 감소함에 따라, 불소 혼입은 더 큰 문제를 제시할 수 있다. 이는 텅스텐 막의 동일한 불소 농도가 큰 피처들보다 작은 피처들에서 디바이스 성능에 더 많은 영향을 줄 것이기 때문이다. 이에 대한 일 이유는 더 박형인 막들이 더 작은 피처들에서 사용될 수도 있고 그리고 불소 확산이 더 박형인 막들에서 더 용이하다는 것이다.
배리어 층은 피처 내에 텅스텐을 증착하기 전에 증착될 수도 있다. 이 배리어 층은 불소가 텅스텐으로부터 아래에 놓인 (underlying) 층들로 확산하는 것을 방지한다. 이는 층들 (190) 의 예시적인 스택을 도시하는 도 1에 예시된다. 층들은 실리콘 층 (192), 옥사이드 층 (194), 배리어 층 (196), 텅스텐 핵생성 층 (198), 및 벌크 텅스텐 층 (199) 을 포함한다. 옥사이드 층들의 예들은 티타늄 옥사이드 (TiOx), 및 테트라에틸 오르토실리케이트 (tetraethyl orthosilicate; TEOS) 옥사이드와 같은 실리콘 옥사이드들을 포함한다. 예를 들어, 배리어 층 (196) 은 티타늄 나이트라이드 (TiN) 일 수도 있다. 이는 벌크 텅스텐 층 (199) 및 텅스텐 핵생성 층 (198) 으로부터 옥사이드 층 (194) 으로의 불소 확산을 방지한다. 그러나, 디바이스들이 축소됨에 따라, 배리어 층들은 더 박형이 되고, 불소는 여전히 증착된 텅스텐 층들로부터 확산될 수도 있다. 더 고온에서 수행된 벌크 텅스텐의 화학적 기상 증착 (chemical vapor deposition; CVD) 이 더 낮은 불소 함량을 발생시키지만, 이러한 막들은 불량한 단차 커버리지 (step coverage) 를 갖는다. 불소 혼입은 몰리브덴 헥사플루오라이드 (MoF6) 로부터 증착된 몰리브덴과 같은, 불소-함유 전구체를 사용하여 증착된 임의의 금속에 대해 발생할 수도 있다.
불소 혼입에 더하여, 피처 충진의 또 다른 문제는 저항을 감소시키는 것이다. 저 저항률 막들은 집적 회로 설계들에서 전력 손실들 및 과열 (overheating) 을 최소화한다. 더 박형인 막들은 더 두꺼운 막들보다 더 높은 저항을 갖는다. 피처들이 더 작아짐에 따라, 금속 콘택트 또는 라인 저항은 더 박형인 막들의 산란 효과들로 인해 상승한다. 핵생성 층들은 통상적으로 위에 놓인 (overlying) 벌크 층들보다 더 높은 전기 저항률들을 갖는다. 배리어 층들은 또한 고 저항률들을 가질 수도 있다. 또한, 박형 배리어 및 핵생성 막들은 더 작은 피처들의 더 큰 백분율을 점유하여, 피처의 전체 저항을 상승시킨다.
금속 충진의 또 다른 문제는 증착된 막들에 대한 응력을 감소시키는 것이다. 더 박형인 막들은 상승된 인장 응력을 갖는 경향이 있다. 고 열적 인장 응력은 기판으로 하여금 말리게 (curl) 하고, 이는 후속 프로세싱을 어렵게 한다. 예를 들어, 후속 프로세스들은 화학적 기계적 평탄화 (chemical mechanical planarization), 재료들의 증착, 및/또는 챔버에서 프로세스들을 수행하도록 기판 홀더로의 기판의 클램핑을 포함할 수도 있다. 그러나, 이들 프로세스들은 종종 편평한 기판에 의존하고, 그리고 말린 기판은 불균일한 프로세싱 또는 기판 프로세싱 불능 (inability) 을 발생시킨다.
또 다른 문제는 라인 벤딩 (line bending) 을 감소시키는 것이다. 라인 벤딩은 복수의 인접한 피처들을 충진하는 것으로부터 발생하는 현상 (phenomenon) 이다. 충진 프로세스 동안, 피처들은 서로 멀어져 벤딩되거나 서로를 향해 벤딩될 수도 있어, 피처 형상을 변화시킨다. 충진 동안 동적 랜덤-액세스 메모리 (dynamic random-access memory; DRAM) 매립 워드라인 구조체들 (buried wordline structures; bWL) 과 같은 구조체들의 라인 벤딩은 입자 경계 병합 (grain boundary merging) 에 의해 유발되는 것으로 여겨진다. 이는 또한 "집핑 (zipping)" 메커니즘으로 지칭된다. 입자 경계들이 형성될 때, 인접한 표면들 사이의 금속-금속 결합은 bWL을 분리하는 실리콘 핀들 (fins) (라인들) 의 벤딩을 야기하는 변형을 유발한다. 충진 프로세스 동안, 마주 보는 측벽들로부터의 금속-금속 결합은 집핑을 야기할 수 있다. 원자 층 증착 (atomic layer deposition; ALD) 충진 기법 및 화학적 기상 증착 (chemical vapor deposition; CVD) 충진 기법은 bWL 구조체들의 심한 벤딩을 발생시킬 수 있다. 이 라인 벤딩은 DRAM 수율 손실을 발생시키는, 다운스트림 (downstream) 프로세스들에서 리세스 불균일성 및 콘택트 랜딩 (contact landing) 이슈들을 유발할 수 있다.
ALD는 저 응력, 저 불소, 및 저 저항률을 나타내는 막들을 형성하도록 사용될 수 있지만 이러한 성장을 허용하는 표면들 상에서만 사용될 수 있다. 디바이스들이 축소되고 피처들이 더 좁아짐에 따라, 피처 충진 동안 라인 벤딩 가능성이 상승한다.
본 명세서에 기술된 방법들 및 관련 장치는 라인 벤딩을 완화하고, 불소 혼입을 감소시키고, 그리고 저항률을 낮추는 피처 충진 기법들을 제공한다. 특정한 실시 예들은 메모리 디바이스들에서 워드라인들의 형성을 위한 방법들 및 관련 장치에 관한 것이다. 도 2a는 실리콘 기판 (9) 내에 bWL (11) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다. bWL (11) 은 실리콘 기판 (9) 의 에칭된 트렌치 내에 형성된다. bWL (11) 은 실리콘 기판 (9) 내에 증착된 텅스텐일 수도 있고 그리고 SiN 패시베이션 (passivation) 층 (5) 에 의해 캡핑된다 (cap). 컨포멀한 (conformal) 배리어 층 (12) 및 컨포멀한 절연 층 (13) 이 트렌치를 라이닝한다 (line). 컨포멀한 절연 층 (13) 은 컨포멀한 배리어 층 (12) 과 실리콘 기판 (9) 사이에 있다. 도 2a의 예에서, 절연 층 (13) 은 실리콘 옥사이드와 같은 재료로 형성된 게이트 옥사이드 층일 수도 있다. 컨포멀한 배리어 층들의 예들은 TiN 및 텅스텐-함유 배리어 층들을 포함한다. 텅스텐-함유 컨포멀 배리어 층들은 WBx, WSix, WGex, WCx, WNx와 같은 2원 화합물들, WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, WCxNy와 같은 3원 화합물들, 및 WBxGeyNz, WGexCyNz와 같은 4원 화합물들, 등을 포함할 수 있고, 여기서 x, y 및 z는 0보다 더 큰 수들이다.
DRAM bWL 트렌치들에 대한 충진 프로세스들은 최종 트렌치 폭 및 저항 R들이 상당히 불균일하도록 트렌치들을 왜곡할 수 있다. 도 2b는 충진 후 라인 벤딩을 나타내는 DRAM bWL들에 대한 비충진된 (201) 좁은 비대칭 트렌치 구조체 및 충진된 (205) 좁은 비대칭 트렌치 구조체를 도시한다. 도시된 바와 같이, 복수의 피처들이 기판 상에 도시된다. 이들 피처들은 이격되고, 일부 실시 예들에서, 인접한 피처들은 약 5 ㎚ 내지 약 60 ㎚, 또는 약 20 ㎚ 내지 약 60 ㎚, 또는 약 10 ㎚ 내지 30 ㎚, 또는 약 10 ㎚ 내지 40 ㎚, 또는 약 20 ㎚ 내지 40 ㎚의 피치를 갖는다. 피치는 일 피처의 중간 축과 인접한 피처의 중간 축 사이의 거리로 규정된다. 비충진된 피처들은 피처 (203) 에 도시된 바와 같이 일반적으로 V-형상일 수도 있고, 피처의 폭이 피처의 상단으로부터 피처의 하단으로 좁아지는 경사진 (sloped) 측벽들을 갖는다. 피처들은 피처 하단부 (213b) 로부터 피처 상단부 (213a) 로 넓어진다. 충진 후, 기판 (205) 에서 심한 라인 벤딩이 관찰된다. 특정한 이론에 얽매이지 않고, 트렌치의 마주 보는 표면들 사이의 응집력이 화살표들 (207) 에 의해 도시된 바와 같이 트렌치 측면들을 함께 당긴다고 여겨진다. 이 현상은 도 2c에 예시된다. 상기 기술된 바와 같이, 피처를 집핑하는 (zip up) 것을 특징으로 할 수도 있다. 피처 (203) 가 충진됨에 따라, 피처 (203) 의 중심 축 (299) 으로부터 더 많은 힘이 가해져, 라인 벤딩을 유발한다. 이에 따라 피처 (203) 의 측벽들 상에 증착된 텅스텐 (243a 및 243b) 이 매우 근접하여 상호 작용하고, 여기서 텅스텐-텅스텐 결합 반경 r은 작다. 이는 텅스텐의 평활하게 성장하는 표면들 사이에 응집력 있는 원자간력 (interatomic forces) 을 유발하여, 측벽들을 함께 당긴다 (pull). 결과는 라인 벤딩이다. 도 2d는 텅스텐-텅스텐 결합 반경, r의 함수로서 원자간력을 정성적으로 (qualitatively) 예시한다. 알 수 있는 바와 같이, 응집력은 r의 특정한 값들에 존재한다. ALD 프로세스들에 의해 증착된 저 응력 텅스텐 막들조차도 충진 동안 심한 라인 벤딩을 유발할 수 있다. V-형상 피처들이 본 명세서에 기술되지만, 방법들은 피처 충진 동안 라인 벤딩을 겪는 임의의 프로파일의 피처들로 유리하게 적용될 수도 있다.
라인 벤딩을 감소시키기 위해 금속으로 피처들을 충진하는 방법들 및 관련 시스템들과 장치들이 본 명세서에 기술된다. 본 명세서의 다양한 예들 및 실시 예들이 텅스텐에 대해 기술되지만, 개시된 실시 예들은 루테늄 (Ru), 몰리브덴 (Mo), 코발트 (Co), 등을 포함하지만 이로 제한되지 않는, 다양한 금속들의 증착에 적합하다는 것이 이해될 것이다. 애플리케이션들의 예들은 로직 및 메모리 콘택트 충진, DRAM bWL 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 TSV들 (through-silicon vias) 과의 3D 집적을 포함한다. 본 명세서에 기술된 방법들은 텅스텐 비아들에서와 같은 수직 피처들, 및 3D-NAND 워드라인들과 같은 수평 피처들을 충진하도록 사용될 수 있다. 방법들은 컨포멀한 충진 및 보텀-업 (bottom-up) 또는 인사이드-아웃 (inside-out) 충진을 위해 사용될 수도 있다.
본 명세서에 기술된 방법들은 챔버에 하우징될 수도 있는 기판 상에서 수행된다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 기판들은 V-형상 측벽들, 좁은 그리고/또는 요각 (re-entrant) 개구부들, 피처 내의 협폭부들 (constrictions), 및 고 종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 피처들을 갖는다. 피처가 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 예를 들어, 피처는 유전체 층에 적어도 부분적으로 형성될 수도 있다. 일부 실시 예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1 이상의 종횡비를 가질 수도 있다. 피처의 일 예는 반도체 기판 상의 층 또는 반도체 기판 내의 홀 또는 비아이다. 피처들은 약 5 ㎚ 내지 약 60 ㎚의 인접한 피처들 사이의 피치만큼 기판 상에서 이격될 수도 있다.
도 3a 내지 도 3g는 개시된 실시 예들에 따라 텅스텐이 증착될 수도 있는 다양한 구조체들의 개략적인 예들이다. 도 3a는 텅스텐으로 충진될 수직 피처 (301) 의 단면도의 일 예를 도시한다. 피처 (301) 는 기판 (303) 내에 피처 홀 (305) 을 포함할 수 있다. 홀 (305) 또는 다른 피처는 개구부 근방 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 약 300 ㎚의 개구부 직경 또는 라인 폭을 가질 수도 있다. 피처 홀 (305) 은 비충진된 피처 또는 단순히 피처로 지칭될 수 있다. 피처 (301), 및 임의의 피처가 수직 축들을 갖는 수직으로 배향된 피처들 및 수평 축들을 갖는 수평으로 배향된 피처들과 함께, 홀 (305) 의 중심을 통과하여 피처의 길이를 통해 연장하는 축 (318) 에 의해 부분적으로 특징지어질 수도 있다.
일부 실시 예들에서, 피처들은 3D NAND 구조체의 트렌치들이다. 예를 들어, 기판은 적어도 200 Å 깊이 또는 수 ㎛ 깊이의 트렌치들과 함께 18 내지 48 개의 층들 또는 수백 개의 층들을 갖는, 적어도 60 개의 라인들을 갖는 워드라인 구조체를 포함할 수도 있다. 또 다른 예는 기판 또는 층의 트렌치이다. 피처들은 임의의 깊이일 수도 있다. 다양한 실시 예들에서, 피처는 배리어 층 또는 접착 층과 같은, 하부 층을 가질 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 전도성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
도 3b는 요각 프로파일을 갖는 피처 (301) 의 일 예를 도시한다. 요각 프로파일은 피처의 하단부, 폐쇄된 단부, 또는 내부로부터 피처 개구부로 좁아지는 프로파일이다. 다양한 구현 예들에 따라, 프로파일은 점진적으로 좁아질 수도 있고 그리고/또는 피처 개구부에 오버행 (overhang) 을 포함할 수도 있다. 도 3b는 피처 (301) 의 피처 홀 (305) 의 측벽 또는 내부 표면들을 라이닝하는 하부 층 (313) 을 갖는, 후자의 일 예를 도시한다. 하부 층 (313) 은 예를 들어, 확산 배리어 층, 접착 층, 핵생성 층, 이의 조합, 또는 임의의 다른 적용 가능한 재료일 수 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 전도성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함할 수 있다. 특정한 구현 예들에서 하부 층은 티타늄 (Ti), 티타늄 나이트라이드 (TiN), 텅스텐 나이트라이드 (WN), 티타늄 알루미나이드 (TiAl), 및 텅스텐 (W) 중 하나 이상일 수 있다. 하부 층 (313) 은 하부 층 (313) 이 피처 (301) 내부보다 피처 (301) 의 개구부에서 더 두껍도록 오버행 (315) 을 형성한다. 도 3a 및 도 3b의 예들에서, 수직 측벽들을 갖는 피처들이 도시된다. 그러나, 상기 나타낸 바와 같이, 일부 실시 예들에서, 피처 폭은 상단에서 하단으로 좁아질 수도 있다.
일부 구현 예들에서, 피처 내부에 하나 이상의 협폭부들을 갖는 피처들이 충진될 수도 있다. 도 3c는 협폭부들을 갖는 다양한 충진된 피처들의 도면들의 예들을 도시한다. 도 3c의 예 (a), 예 (b) 및 예 (c) 각각은 피처 내의 중간 지점에 협폭부 (309) 를 포함한다. 협폭부 (309) 는 예를 들어, 약 15 ㎚ 내지 20 ㎚ 폭일 수 있다. 협폭부들은 종래의 기법들을 사용하여 피처 내 텅스텐의 증착 동안 핀치 오프 (pinch off) 를 유발할 수 있고, 추가 증착을 차단하는 증착된 텅스텐이 피처의 일부가 충진되기 전 협폭부를 넘어가 (past), 피처 내에 보이드들 (voids) 을 발생시킨다. 예 (b) 는 피처 개구부에 라이너/배리어 오버행 (315) 을 더 포함한다. 이러한 오버행은 또한 잠재적인 핀치 오프 지점일 수 있다. 예 (c) 는 예 (b) 의 오버행 (315) 보다 필드 영역으로부터 더 멀어진 협폭부 (312) 를 포함한다. 일부 실시 예들에서 협폭부를 갖는 피처는 상단에서 하단으로 좁아질 수도 있다.
3D 메모리 구조체들 내와 같이, 수평 피처들이 또한 충진될 수 있다. 도 3d는 협폭부 (351) 를 포함하는 수평 피처 (350) 의 일 예를 도시한다. 예를 들어, 수평 피처 (350) 는 3D NAND 구조체의 워드라인일 수도 있다.
일부 구현 예들에서, 협폭부들은 3D NAND 또는 다른 구조체의 필라들 (pillars) 의 존재로 인한 것일 수 있다. 예를 들어, 도 3e는 3D NAND 또는 VIM (vertically integrated memory) 구조체 (348) 내의 필라들 (325) 의 평면도를 도시하고, 도 3f는 필라들 (325) 의 단면도의 간략화된 개략도를 도시한다. 도 3e의 화살표들은 증착 재료를 나타낸다. 필라들 (325) 이 영역 (327) 과 가스 유입구 또는 다른 증착 소스 사이에 배치되기 때문에, 인접한 필라들은 영역 (327) 의 보이드 프리 (void free) 충진에 문제들을 제시하는 협폭부들 (351) 을 발생시킬 수 있다.
구조체 (348) 는 예를 들어, 기판 (300) 상에 교번하는 층간 유전체 층들 (interlayer dielectric layers) (329) 및 희생 층들 (미도시) 의 스택을 증착하고, 희생 층들을 선택적으로 에칭함으로써 형성될 수 있다. 층간 유전체 층들은 예를 들어, 실리콘 옥사이드 및/또는 실리콘 나이트라이드 층들일 수도 있고, 희생 층들은 에천트 (etchant) 로 선택적으로 에칭 가능한 재료이다. 이는 완성된 메모리 디바이스의 채널 영역들을 포함할 수 있는 필라들 (325) 을 형성하기 위해 에칭 및 증착 프로세스들이 이어질 수도 있다.
기판 (300) 의 주 표면은 z 방향으로 배향된 필라들 (325) 과 함께 x 방향 및 y 방향으로 연장될 수 있다. 도 3e 및 도 3f의 예에서, 필라들 (325) 은 x 방향으로 바로 인접한 필라들 (325) 이 y 방향으로 서로 오프셋되고 그 반대도 되도록, 오프셋 방식으로 배치된다. 다양한 구현 예들에 따라, 필라들 (및 인접한 필라들에 의해 형성된 대응하는 협폭부들) 은 임의의 수의 방식들로 배치될 수도 있다. 게다가, 필라들 (325) 은 원형, 정사각형, 등을 포함하는 임의의 형상일 수도 있다. 필라들 (325) 은 환형 반전도성 (semi-conducting) 재료, 또는 원형 (또는 정사각형) 반전도성 재료를 포함할 수 있다. 게이트 유전체가 반전도성 재료를 둘러쌀 수도 있다. 층간 유전체 층 (329) 각각 사이의 영역은 텅스텐으로 충진될 수 있다; 따라서 구조체 (348) 는 충진될 x 방향 및/또는 y 방향으로 연장하는 복수의 스택된 수평으로 배향된 피처들을 갖는다.
도 3g는 예를 들어, 필라 협폭부들 (351) 을 포함하는 3D NAND 또는 다른 구조체의 수평 피처의 도면의 또 다른 예를 제공한다. 도 3g의 예는 개방형 (open-ended) 이고, 증착될 재료는 화살표들로 나타낸 바와 같이 2 개의 측면들로부터 수평으로 들어갈 수 있다. (도 3g의 예는 구조체의 2-D 렌더링 3-D 피처들로서 보일 수 있다는 것을 주의해야 한다. 도 3g는 단면도가 아니라 평면도에서 보일 협폭부들을 나타내는 도면에 도시된 필라 협폭부들 및 충진될 영역의 단면도이다.) 일부 구현 예들에서, 3D 구조체들은 (예를 들어, 도 3f의 예에서 x 및 y 또는 x 방향, y 및 z 방향으로) 2 차원 또는 3 차원을 따라 연장하는 충진될 영역으로 특징지어질 수 있고, 1 차원 또는 2 차원을 따라 연장하는 홀들 또는 트렌치들을 충진하는 것보다 충진에 더 많은 문제들을 제시할 수 있다. 예를 들어, 3D 구조체의 충진을 제어하는 것은 증착 가스들이 복수의 차원들로부터 피처로 들어갈 수도 있기 때문에 어려울 수 있다.
도 3h는 V-형상 피처의 단면도의 일 예를 제공한다. 도 3h는 기판 (303) 에 피처 홀 (305) 을 포함하는, 텅스텐으로 충진될 피처 (301) 를 포함한다. 홀은 개구부 근방의 치수 (예를 들어, 약 10 ㎚ 내지 약 20 ㎚, 또는 약 15 ㎚일 수도 있는 개구부 직경 또는 라인 폭 w) 를 갖는다. 폭은 피처의 측벽들 사이의 거리로 측정된다. 폭은 피처 개구부의 피처의 상단부로부터 (개구부 직경 또는 라인 폭 w) 피처의 하단부로 가변할 수도 있다. 피처 홀 (305) 은 축 (318) 에 의해 부분적으로 특징지어진다. V-형상 피처 (301) 는 약 80 ㎚ 내지 약 120 ㎚, 또는 약 100 ㎚일 수도 있는 깊이 (350) 를 포함한다. 다양한 실시 예들에서, 측벽들은 피처의 하단부에서 지점 (395) 에서 만나고, 또는 일부 실시 예들에서, 피처의 하단부는 일 측벽으로부터 다른 측벽으로 약 0.1 w 내지 약 0.9 w, 또는 폭 w의 약 10 % 내지 폭 w의 약 90 %의 개구부에서의 라인 폭 w의 백분율로서의 거리를 가질 수도 있는, 편평한 하단 표면에 대해 평탄하다 (plateau). 피처가 개구부로부터 폐쇄된 단부로 좁아지는 다른 프로파일들은 본 명세서에 기술된 방법들로부터 이익을 얻을 수도 있고, 예를 들어, 폐쇄된 단부는 커브된 (curved) 표면을 가질 수도 있다. 또한, 피처의 중간 지점에서 협폭부들을 갖는 피처들은 본 명세서에 기술된 방법들로부터 이익을 얻을 수도 있다.
피처들은 2:1 내지 약 10:1, 또는 약 6:1 내지 약 8:1, 또는 약 6:1, 또는 약 8:1의 종횡비를 가질 수도 있다. 라인들의 피치는 약 5 ㎚ 내지 약 60 ㎚, 또는 20 ㎚ 내지 60 ㎚, 또는 10 ㎚ 내지 30 ㎚일 수도 있다. 피처 깊이의 50 % 내지 70 %의 영역으로서 특징지어지는 피처의 하단부는, 0 ㎚ 내지 약 20 ㎚의 측벽들 사이의 폭을 가질 수도 있다.
도 3i는 V-형상 피처의 단면도의 또 다른 예를 제공한다. 본 명세서에 기술된 바와 같은 V-형상 피처는 기판의 상단부 필드 레벨로부터 피처의 하단부까지 좁아지는 폭을 갖는 임의의 피처를 지칭한다. 하단부는 편평하거나, 커브되거나, 일 지점일 수도 있다. 수평 피처의 경우, 피처는 개구부로부터 내부 포지션으로 좁아진다.
도 3i는 기판 (303) 내에 피처 홀 (305) 을 포함하는, 텅스텐과 같은 금속으로 충진될 피처 (301) 를 포함한다. 홀은 약 10 ㎚ 내지 약 20 ㎚, 또는 약 15 ㎚일 수도 있는, 개구부 근방의 치수 w, (예를 들어, 개구부 직경 또는 라인 폭) 를 갖는다. 피처의 하단부 (396) 는 w보다 더 좁은 폭을 갖는다. 예를 들어, 피처의 하단부 (396) 는 폭 w의 1 % 내지 90% 폭, 또는 폭 w의 1 % 내지 50 %, 또는 10 % 내지 20 %의 폭을 가질 수도 있다. 측벽들은 도 3h 및 도 3i의 예들에서 직선으로 도시되지만, 측벽들은 거칠기를 가질 수도 있고 그리고/또는 완벽하게 직선이 아닐 수도 있다는 것이 인식될 것이다.
도 2b에 도시된 바와 같이, 다양한 개시된 실시 예들에서 복수의 V-형상 피처들이 기판 상에 존재한다. 기판 상의 복수의 피처들은 서로 5 ㎚ 내지 60 ㎚보다 더 크지 않은 거리를 갖는 인접한 피처들로 규정된다. 다양한 실시 예들에서, 이러한 복수의 피처들은 도 3h 또는 도 3i에 도시된 바와 같은 형상을 가질 수도 있는, 모든 V-형상 피처들을 포함할 수도 있다.
수평으로 배향된 피처들 및 수직으로 배향된 피처들에 대한 피처 충진의 예들이 이하에 기술된다. 이 예들은 수평으로 배향된 피처들 및 수직으로 배향된 피처들 모두에 적용 가능하다는 것을 주의해야 한다. 게다가, 이하의 기술에서, 용어 "측방향 (lateral)"은 대체로 피처 축에 직교하는 방향을 지칭하고 용어 "수직 (vertical)"은 대체로 피처 축을 따른 방향을 지칭하도록 사용될 수도 있다는 것을 또한 주의해야 한다.
개시된 실시 예들은 라인 벤딩을 감소시키기에 적합하다. 라인 벤딩 분석은 텅스텐 또는 다른 금속으로 충진된 트렌치들의 라인 폭 및 거칠기를 측정함으로써 수행될 수도 있다. 라인 벤딩 분석은 평면도 현미경 관찰 (microscopy) 로 디바이스 개구부의 상단부에서 금속을 이미징하고 복수의 라인들 상의 복수의 지점들에서 금속 폭을 측정하는 것을 수반한다. 라인 각각에 대해, 라인 폭은 100 개의 지점들에 걸쳐 측정된다. 라인 각각으로부터, 이어서 평균 라인 폭 및 라인 폭의 변동을 계산하고, 이는 또한 거칠기로 규정될 수도 있다. "라인 폭 평균"은 분석 동안 측정된 모든 개별 라인들의 평균 라인 폭의 평균이다.
라인 벤딩에 대해, 2 개의 주요 메트릭들이 다음과 같이 규정된다: (i) 라인-투-라인 (line-to-line; LTL) 변동은 평균 라인 폭들의 표준 편차이고, 이에 따라 이미지 상의 상이한 라인들에 걸친 라인 폭 변화들의 변동들을 캡처하고, 그리고 (ii) 라인 폭 거칠기 (line width roughness; LWR) 는 모든 측정된 라인들로부터의 라인 거칠기의 평균 (라인 각각 내에서 라인 폭의 변동) 이고, 이에 따라 단일 라인들 내에서 평균 라인 폭 변동을 캡처한다. 이들 2 개의 메트릭들, LTL 및 LWR은 σ = (σ1 2 + σ2 2)1/2에 의해 결정된 바와 같이, 단일 변동 메트릭, σ total로 결합된다. 게다가, LTL 및 σ total은 LTL % 및 σ total %로 기술된 라인 폭 평균에 대해 정규화된다.
다양한 실시 예들에서, 방법들은 총 분산이 약 5 ㎚ 미만, 또는 약 1.5 ㎚ 미만, 또는 백분율로 약 7.2 %미만인 기판들을 발생시키고, 총 분산 백분율은 평균 라인 폭에 의해 총 분산을 정규화함으로써 계산된다.
이하의 기술은 텅스텐 피처 충진에 포커싱하지만, 본 개시의 양태들은 다른 재료들로 피처들을 충진할 때 또한 구현될 수도 있다. 예를 들어, 본 명세서에 기술된 하나 이상의 기법들을 사용한 피처 충진은 Mo, Co, 및 Ru를 포함하는 다른 재료들로 피처들을 충진하기 위해 사용될 수도 있다. 또한, 이는 기상 증착 프로세스들에서 입자 성장을 겪는 임의의 재료로 피처들을 충진하기 위해 사용될 수 있다.
다양한 실시 예들에 따라, 제 1 핵생성 층이 증착된다. 제 1 핵생성 층은 상기 기술된 집핑 메커니즘을 방해하거나 방지한다. 이는 피처에서 유전체를 향한 응력 전파를 완화할 수 있고 라인 벤딩을 감소시키거나 방지할 수 있다. 제 1 핵생성 층은 상기 기술된 집핑 메커니즘을 방지하기 위해 적어도 일 두께로 증착될 수도 있다. 일부 실시 예들에서, 제 1 핵생성 층은 거칠기가 상승되는 조건들에서 증착된다.
일부 실시 예들에서, 이어서 하나 이상의 부가적인 핵생성 층들이 증착된다. 하나 이상의 부가적인 핵생성 층들은 저항률을 감소시키는 것, 배리어 특성들을 개선하는 것, 및 후속하는 벌크 증착을 위해 우수한 템플릿을 제공하는 것 중 하나 이상을 용이하게 할 수도 있다. 일부 실시 예들에서, 벌크 금속은 하나 이상의 부가적인 핵생성 층들의 증착과 함께 제 1 핵생성 층 직상에 (directly on) 증착될 수도 있다.
도 4는 라인 벤딩이 없거나 라인 벤딩을 상당히 감소시켜 구조체를 충진하기 위한 프로세스 플로우의 일 예를 제공한다. 프로세스는 제 1 세트의 조건들에서 제 1 핵생성 층을 증착하는 것으로 시작된다 (402). 제 1 핵생성 층은 일반적으로 구조체에 컨포멀하고 그리고 금속 전구체를 환원제와 반응시킴으로써 증착된다. 제 1 세트의 조건들은 환원제 화학 물질, 금속 전구체 및 환원제 플로우 시퀀스, 금속 전구체 및 환원제 플로우 지속 기간, 퍼지 조건들, 압력, 및 온도를 포함하는 파라미터들과 함께, 라인 벤딩을 방지하도록 선택된다. 이들 중 라인 벤딩에 영향을 주는 방식은 이하에 더 논의된다. 일부 실시 예들에서, 제 1 핵생성 층은 두께가 약 1 ㎚ 내지 2 ㎚ (또는 약 10 내지 20 Å, 예를 들어, 8 내지 22 Å) 로 증착될 수도 있지만, 최소 두께는 증착될 재료의 원자간력 및 피처 사이즈에 따라 가변할 수도 있다.
프로세스는 제 2 세트의 조건들에서 제 2 핵생성 층을 증착하는 것으로 계속된다 (404). 제 2 핵생성 층은 제 1 핵생성 층 직상에 증착되고 일반적으로 구조체에 컨포멀하다. 이는 또한 금속 전구체를 환원제와 반응시킴으로써, 하지만 제 1 핵생성 층과 상이한 세트의 조건들에서 증착된다. 일부 실시 예들에서, 제 2 핵생성 층은 제 1 핵생성 층보다 더 느린 증착 레이트로 증착될 수도 있고 그리고/또는 제 1 핵생성 층보다 더 박형일 수도 있다. 일부 실시 예들에서, 제 2 핵생성 층은 적어도 약 0.5 ㎚ 내지 1 ㎚ (예를 들어, 약 4 내지 12 Å) 로 증착될 수도 있다. 제 2 핵생성 층을 증착하기 위해 사용된 조건들의 추가 논의가 이하에 제공된다.
제 2 핵생성 층의 증착 후에, 하나 이상의 부가적인 핵생성 층들이 상이한 세트들의 조건들에서 증착될 수도 있다 (406). 하나 이상의 부가적인 핵생성 층들은 피처 충진 및 후속하는 증착을 맞추기 (tailor) 위해 증착될 수도 있다. 일부 실시 예들에서, 제 2 핵생성 층 및 후속하는 핵생성 층의 총 두께는 0.5 ㎚ 내지 1 ㎚ (예를 들어, 약 4 내지 12 Å) 이다.
집핑 방지, 저항률 감소, 및 배리어 특성들에 더하여, 제 1 핵생성 층, 제 2 핵생성 층, 그리고 증착된다면, 제 3 핵생성 층 및 후속 핵생성 층은 붕소와 같은 종의 혼입 및 후속하여 증착된 벌크 막의 성장 특성들과 같은 하나 이상의 특성들을 제어하기 위한 조건들 하에서 증착될 수도 있다. 예를 들어, 텅스텐의 증착에서, 베타-텅스텐 (β-W) 의 성장을 억제하는 핵생성 층이 증착될 수도 있다. 베타-텅스텐은 준안정 A15 입방 결정 구조 (cubic crystalline structure) 를 갖고 그리고 알파-텅스텐의 안정 체심 입방 결정 구조 (body-centered cubic crystalline structure) 보다 더 높은 저항률을 나타낸다. 붕소-함유 환원제들을 사용하여 증착된 핵생성 층들은 특정한 두께들의 벌크 텅스텐 막들에서 더 높은 저항률의 베타-텅스텐의 존재를 야기할 수도 있다.
동작 (408) 에서, 벌크 금속 층이 컨포멀한 핵생성 층들 상에, 또는 더 구체적으로 최상부 컨포멀한 핵생성 층 상에 증착된다. 벌크 층은 CVD 또는 ALD에 의해 증착될 수도 있다. 많은 실시 예들에서, 벌크 층은 한번 수행된 도 4의 방법으로 구조체의 트렌치들 또는 다른 피처들을 충진하도록 증착된다. 그러나, 일부 실시 예들에서, 동작들 (402 내지 408) 은 하나 이상의 피처들의 충진을 완료하기 위해 1 회 이상 반복될 수도 있다. 일부 실시 예들에서, 제 1 핵생성 층은 충분히 두꺼울 수도 있고 그리고 동작들 (405 및 406) 은 생략될 수도 있다.
도 5a는 도 4의 방법을 사용하여 충진된 피처의 개략적인 예를 도시한다. 충진된 피처는 제 1 핵생성 층 (502), 제 2 핵생성 층 (504), 및 벌크 층 (506) 을 포함한다. 제 1 핵생성 층 (502) 은 상승된 거칠기를 갖는다. (도 5a에서, 거칠기는 예시의 목적들로 과장되었다.) 피처 (500) 의 측벽들이 피처 하단에서 만날 때 측벽들의 밀착성 (closeness) 으로 인한 집핑에 취약한 하단 영역 (508) 을 충진하기 위한 두께로 증착된다. 하단 영역 (508) 의 일 예가 도 5b에 도시된다. 확대된 도면의 원자들 (580) 은 상승된 거칠기를 갖는다. 도 2c의 피처와 비교하여 알 수 있는 바와 같이, 제 1 핵생성 층 (504) 의 상승된 거칠기는 금속-금속 결합을 감소시키고 집핑을 방지한다.
도 5a를 다시 참조하면, 하단 영역 (508) 위에서, 측벽들 (510) 은 후속 증착물이 집핑에 취약하지 않도록 충분히 멀리 떨어져 있다. 제 2 핵생성 층 (504) 이 증착되고, 이어서 벌크 층 (506) 이 증착된다.
상기 나타낸 바와 같이, 제 1 핵생성 층은 a) 환원제 화학 물질, b) 금속 전구체 및 환원제 플로우 시퀀스, c) 금속 전구체 및 환원제 플로우 지속 기간, d) 퍼지 조건들, e) 압력, 및 f) 온도를 포함하는 파라미터들과 함께, 라인 벤딩을 완화하는 조건들을 사용하여 증착된다. 이들 각각은 이하에 논의된다. 제 1 핵생성 층의 증착은 라인 벤딩을 완화하는 방식으로 이들 파라미터들 중 하나 이상을 사용하는 것을 수반한다.
a) 환원제 화학 물질: 핵생성 층은 금속-함유 전구체 및 환원제를 사용하여 증착될 수도 있다. 텅스텐 증착을 위한 금속-함유 전구체의 일 예는 실란 (SiH4) 및 디보란 (B2H6) 과 같은 환원제들과의 반응에 의해 텅스텐 (W) 금속으로 환원될 수도 있는, 텅스텐 헥사플루오라이드 (WF6) 이다. 부가적인 환원제들 및 금속 전구체들의 예들이 이하에 제공된다. 제 1 핵생성 층의 증착을 위해, 디보란과 같은 붕소-함유 환원제를 사용하는 것은 거칠기를 상승시키는 경향이 있고 그리고 일부 실시 예들에서 제 1 핵생성 층에 대해 사용될 수도 있다. 일부 실시 예들에서, 환원제는 제 2 핵생성 층 및 후속 핵생성 층의 증착 동안 변화될 수도 있다.
일부 실시 예들에서, 금속 전구체는 제 2 핵생성 층 상의 증착을 위해 변화될 수도 있다.
b) 금속 전구체 및 환원제 플로우 시퀀스: 금속 전구체 및 환원제는 교번하는 펄스들로 전달되거나 함께 흐를 (co-flow) 수도 있다. 예시적인 시퀀스들은 도 6 및 도 7에 제시된다. 도 6에서, 2 개의 공-플로우 시퀀스들 (co-flow sequences) 이 제시된다. 먼저, (601) 에서, 금속 전구체 및 환원제가 펄스들로 함께 흐르는 시퀀스이다. 반응 물질 도즈 및 퍼지를 각각 갖는 3 개의 증착 사이클들이 도시된다. 반응 물질 도즈들 동안, 금속 전구체 및 환원제는 챔버 내로 함께 흐른다. 이 예에서 불활성 가스는 프로세스 전반에 걸쳐 (throughout) 흐른다. (602) 에서, 금속 전구체 및 반응 물질 도즈가 펄싱 없이 증착을 위해 연속적으로 함께 흐르는 또 다른 시퀀스가 도시된다. 불활성 가스는 증착 전반에 걸쳐 흐른다.
도 7은 (금속 전구체 또는 환원제일 수도 있는) "반응 물질 A" 및 (금속 전구체 및 환원제 중 다른 하나인) "반응 물질 B"가 펄스들 사이에 퍼지들과 교번하여 펄싱되는, 교번하는 펄스 시퀀스의 일 예를 도시한다. 반응 물질 A/퍼지/반응 물질 B/퍼지 시퀀스를 각각 갖는, 2 개의 증착 사이클들이 도시된다.
도 6 및 도 7의 예들 중에서, 반응 물질들을 함께 흘리는 것은 더 높은 증착 레이트 및 더 거친 핵생성 층을 발생시키는, CVD 반응과 더 유사하다. 따라서, 일부 실시 예들에서, 반응 물질들은 제 1 핵생성 층의 증착을 위해 도 6에서와 같이, 후속하는 핵생성 층들을 증착하기 위해 사용된 교번하는 펄스들과 함께 흐를 수도 있다. 도 6의 프로세스 시퀀스들은 수정될 수도 있다. 예를 들어, 금속 전구체 및 환원제 반응 물질 펄스들은 오프셋되지만 (offset) 다른 반응 물질에 대해 일 반응 물질에 대한 지연과 오버랩될 (overlap) 수도 있다. 또 다른 예에서, 불활성 가스는 퍼지 페이즈 (phase) 동안 펄싱될 수도 있다.
c) 금속 전구체 및 환원제 플로우 지속 기간: (도 7에서와 같이) 교번하는 반응 물질 시퀀스가 사용되는 실시 예들에서, 반응 물질 도즈 지속 기간들은 표면이 과포화되도록 (supersaturated) 할 수도 있다. ALD 반응에서, 증착 표면은 반응 물질 A로 표면을 포화시키기에 충분한 지속 기간 동안 반응 물질 A에 노출될 수도 있어, 모노-층 (mono-layer) 을 형성한다. 더 짧은 지속 기간들 또는 더 긴 지속 기간들은 각각 덜 포화된 (undersaturated) 층 또는 과포화된 층을 야기할 수 있다. 과포화된 층들은 더 높은 거칠기를 갖는다. 따라서, 일부 실시 예들에서, 도 6의 반응 물질 펄스들의 지속 기간은 하나 이상의 후속하는 핵생성 층들보다 제 1 핵생성 층에 대해 더 길다. 일 예에서, 지속 기간은 적어도 50 % 더 길 수도 있고 또는 적어도 100 % 더 길 수도 있고, 즉 2 배 더 길 수도 있다. 반응 물질 도즈의 양은 또한 도즈 지속 기간에 더하여 또는 도즈 지속 기간 대신 증가될 수 있다.
일부 실시 예들에서, 환원제 도즈는 과포화를 위해 증가될 수 있다. 예를 들어, 환원제 도즈는 포화를 위해 사용된 양의 적어도 2 내지 10 배 또는 2 내지 3 배 증가될 수도 있다. 금속 전구체 도즈는 동일하게 유지되거나 포화를 위해 사용된 양의 2 내지 10 배 또는 2 내지 3 배까지 증가될 수도 있다. 디보란의 경우, 예를 들어, 디보란/금속 전구체/디보란/금속 전구체, 등 시퀀스는 금속 전구체에 대해 이용 가능한 사이트들을 점유하는 더 많은 붕소를 발생시킬 수 있다. 이는 더 거친 막을 발생시킬 수 있다. 이러한 방식으로 거칠기를 상승시키기 위해 실란 및 게르만과 같은 다른 환원제들에 대해 도즈가 증가될 수 있다. 일부 실시 예들에서, 핵생성 층은 적어도 ¼ 리터의 환원제 도즈 양을 사용하여 증착된다. 동일하거나 다른 실시 예들에서, 핵생성 층은 적어도 10 초의 환원제 도즈 시간을 사용하여 증착된다. 동일하거나 다른 실시 예들에서, 핵생성 층은 적어도 1/10 리터의 금속 도즈 양을 사용하여 증착된다. 동일하거나 다른 실시 예들에서, 핵생성 층은 적어도 5 초의 금속 전구체 도즈 시간을 사용하여 증착된다.
d) 퍼지: (도 7에서와 같이) 교번하는 반응 물질 시퀀스가 사용되는 실시 예들에서, ALD 시퀀스는 퍼지 페이즈일 수도 있고 그리고 더 CVD-유사하도록 (CVD-like) 제어될 수도 있다. 이는 반응 물질들의 불완전한 퍼지에 의해 이루어질 수 있다. 순수 ALD 반응 동안, 반응 물질 A는 표면 기판 상에 흡착되고 그리고 후속하는 퍼지는 모든 흡착되지 않은 반응 물질 A를 제거한다. 반응 물질 B 도즈 동안, 반응 물질 B는 금속을 형성하기 위해 흡착된 반응 물질 B와 반응한다. 이어서 후속하는 퍼지는 다음 증착 사이클 동안 남아 있는 모든 반응 물질 B를 제거한다. 불완전한 퍼징은 다음 도즈에서 다른 반응 물질과 반응하도록 이용 가능한, 프로세스 챔버 내에 남아 있는 반응하지 않은 반응 물질을 발생시킨다. 이러한 방식으로, 증착은 더 높은 증착 레이트 및 더 높은 거칠기를 갖는 금속 증착을 사용하는 CVD 컴포넌트를 갖는다.
불완전한 퍼징은 퍼지 각각의 지속 기간을 단축하고 그리고/또는 불활성 가스가 증착 전반에 걸쳐 일정한 레이트로 흐르는 퍼지를 사용하는 것을 수반할 수 있다. 도 7에 도시된 증착 전반에 걸쳐 불활성 가스를 흘리는 일 예는 CVD 컴포넌트를 감소시키거나 제거하기 위해, 하나 이상의 축적기들 (또한 충전 볼륨들 (charge volumes; CVs) 로 지칭됨) 을 사용하는 퍼지가 사용될 수도 있다. 이들은 도 8a 및 도 8b에 대해 이하에 더 논의된다. 일부 실시 예들에서, 퍼지는 제 1 핵생성 층의 증착 동안 연속적인 플로우 (continuous flow; CF) 를 사용한다. 퍼지는 후속하는 핵생성 층 증착에서 축적기를 사용하여 펄싱될 수도 있다. 일부 실시 예들에서, 연속적인 플로우는 챔버 내로 펄싱된 플로우를 제공하지만 방향 전환될 (divert) 수도 있지만 축적은 없다.
e) 압력: 더 높은 챔버 압력은 더 높은 증착 레이트들 및 더 거친 핵생성 층을 발생시킨다. 그러나, 압력이 너무 높다면, 성장 레이트는 발생하는 막이 너무 두꺼워서 너무 높아질 수도 있다. 일부 실시 예들에서, 압력은 1 내지 90 Torr 또는 1 내지 40 Torr, 예를 들어, 10 Torr이다. 일부 실시 예들에서, 챔버 압력은 후속하는 핵생성 층들의 증착 동안보다 제 1 핵생성 층의 증착 동안 더 높다. 반응 물질 가스들 중 하나 또는 둘 모두의 부분 압력은 후속하는 핵생성 층들의 증착 동안보다 제 1 핵생성 층의 증착 동안 더 높을 수도 있다.
f) 온도: 더 높은 기판 온도는 핵생성 층을 더 거칠게 만들 수 있다. 예시적인 온도들 범위는 100 ℃ 내지 300 ℃이다. 일부 실시 예들에서, 후속하여 증착된 핵생성 층은 제 1 핵생성 층보다 더 낮은 온도에서 증착된다. 일부 실시 예들에서, 제 1 핵생성 층은 적어도 200 ℃, 또는 적어도 250 ℃의 온도에서 증착된다.
상기 나타낸 바와 같이, 일부 실시 예들에서, 제 1 핵생성 층은 하나 이상의 후속하는 핵생성 층들의 결합된 두께보다 더 두껍다. 일부 실시 예들에서, 이는 적어도 50 % 더 두껍거나 약 2 배일 (예를 들어, 적어도 90 % 더 두꺼울) 수도 있다. 제 2 핵생성 층 및 (증착된다면) 후속하는 핵생성 층(들)은 목표된 총 두께를 얻기 위해 더 많은 제어를 사용하여 더 느린 증착 레이트로 증착된다. 일 예에서, 모든 핵생성 층들의 총 두께는 약 2.5 ㎚일 수도 있다. 제 1 핵생성 층은 1 ㎚/사이클의 레이트로 약 2 ㎚의 두께로 증착되고, 이어서 0.25 ㎚/사이클의 레이트로 0.5 ㎚의 제 2 핵생성 층이 증착된다.
제 1 핵생성 층을 증착하기 위해 복수의 증착 사이클들이 사용되는 실시 예들에서, 사이클들의 총 수는 5 미만, 예를 들어, 제 1 핵생성 층에 대해 2 내지 4 사이클들 및 후속하는 핵생성 층들에 대해 총 1 내지 4, 1 내지 3, 또는 1 내지 2 사이클들일 수도 있다.
예시적인 프로세스들은 다음과 같다:
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로 전이하는 단계는 환원제를 변화시키는 것을 포함한다. 예를 들어, B2H6로부터 SiH4로의 변화는 제 1 핵생성 층의 증착을 위한 프로세스 A 및 제 2 핵생성 층 또는 다른 후속하는 핵생성 층의 증착을 위한 프로세스 I를 사용함으로써 행해진다. 유사하게, 제 1 핵생성 층의 증착을 위해 프로세스들 A, B, C, D, E, 또는 F 중 임의의 프로세스 그리고 후속 핵생성 층의 증착을 위해 프로세스들 I, J, M, O, 및 P 중 임의의 프로세스를 사용하는 것은 B2H6으로부터 SiH4로의 변화를 수반한다.
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로의 전이는 제 1 핵생성 층에 대해 금속 전구체 및 환원제 공-플로우 및 후속하는 핵생성 층에 대해 교번하는 플로우들을 사용하는 것을 포함한다. 예들은 제 1 핵생성 층의 증착을 위해 프로세스 F 또는 G 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 I, J, K, L, M, N, O, 또는 P 중 임의의 프로세스를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로 전이하는 단계는 금속 전구체의 및/또는 환원제 펄스 지속 기간의 감소를 포함한다. 예들은 제 1 핵생성 층의 증착을 위해 프로세스들 A, B, C, D, 또는 E 중 임의의 프로세스 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 I, J, K, L, M, N, O, 또는 P 중 임의의 프로세스를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로 전이하는 단계는 연속적인 플로우 퍼지로부터 충전 볼륨을 사용하는 퍼지로 변화하는 것을 포함한다. 예들은 제 1 핵생성 층의 증착을 위해 프로세스들 A, B, D, E, F, G, 또는 H 중 임의의 프로세스 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 I, K, O, 또는 P 중 임의의 프로세스를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로 전이하는 단계는 챔버 압력을 낮추는 것으로부터 변화시키는 것을 포함한다. 예들은 제 1 핵생성 층의 증착을 위해 프로세스 C 또는 D 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 I, J, K, L, M, N, O, 또는 P 중 임의의 프로세스를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 1 핵생성 층의 증착으로부터 후속하는 핵생성 층의 증착으로 전이하는 단계는 기판 온도를 낮추는 것으로부터 변화시키는 것을 포함한다. 예들은 제 1 핵생성 층의 증착을 위해 프로세스 B 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 I, J, K, L, M, N, O, 또는 P 중 임의의 프로세스를 사용하고, 그리고 제 1 핵생성 층의 증착을 위해 프로세스들 A, C, D, E, F, G 또는 H 중 임의의 프로세스 그리고 후속하는 핵생성 층의 증착을 위해 프로세스들 M, N, O, 또는 P 중 임의의 프로세스를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 2 핵생성 층으로부터 제 3 핵생성 층으로의 전이는 환원제를 변화시키는 것을 포함한다.
일부 실시 예들에서, 단일의 거친 핵생성 층이 증착될 수도 있다. 예를 들어, 일부 실시 예들에서, 상기 기술된 바와 같이 긴 환원제 도즈 시간들 및/또는 고 플로우 레이트들을 사용하는 2 내지 5 사이클들의 과포화 프로세스가 약 2 내지 5 ㎚의 거친 핵생성 층을 증착하도록 사용될 수도 있다. 후속하는 핵생성 층 또는 벌크 증착이 이어질 수도 있다.
이하의 표 1은 인접한 라인들의 라인 벤딩을 감소시키는 데 있어서 환원제 도즈 시간의 증가 결과들을 나타낸다. 3 개의 프로세스들이 인접한 라인들에 핵생성 층을 증착하고 이어서 벌크 증착을 위해 사용되었다. 프로세스 B 및 프로세스 C에 대해 과포화된 조건들이 사용되었다. 결과들은 LTL이 디보란 도즈 시간을 증가시킴으로써 감소되고, 그리고 이 효과가 핵생성 층 증착 동안 챔버 압력에 민감하지 않다는 것을 보여준다.
핵생성 층의 측정된 거칠기는 프로세스 A와 비교하여 프로세스 B 및 프로세스 C에 대해 상승되어, 핵생성 층의 상승된 거칠기는 라인 벤딩을 감소시킨다는 증거를 제공한다. 상기 기술된 기법들 중 임의의 하나 이상이 상승된 거칠기를 갖는 핵생성 층을 증착하도록 사용될 수도 있다. 후속하는 벌크 증착 조건들은 라인 벤딩에 영향을 주지 않을 수도 있다.
상기 논의된 바와 같이, 하나 이상의 핵생성 층들이 증착된 후, 피처는 금속의 벌크 층으로 충진된다. 벌크 증착은 2 개의 반응 물질들의 동시 노출 또는 교번 도입을 수반할 수도 있다. CVD 프로세스들에서 두 반응 물질들 모두는 증착 동안 동시에 흐른다. 예를 들어, 벌크 텅스텐은 피처들을 충진하기 충분한 지속 기간 동안 기판을 수소 (H2) 및 텅스텐 헥사플루오라이드 (WF6) 에 동시에 노출시킴으로써 증착될 수도 있다. 수소와 WF6는 피처들 내로 텅스텐을 증착하기 위해 노출 동안 반응한다. 펄싱된 CVD 프로세스들에서, 일 반응 물질은 연속적으로 흐르는 한편 다른 반응 물질은 펄싱되지만, 기판은 펄스 각각 동안 재료를 증착하기 위해 증착 동안 반응 물질들 모두에 노출된다. 예를 들어, 기판은 WF6가 펄싱되는 동안 H2의 연속적인 플로우에 노출될 수도 있고, WF6 및 H2는 텅스텐을 증착하기 위해 펄스 동안 반응한다.
일부 실시 예들에서, 벌크 증착은 반응 물질들이 증착 동안 동시에 챔버 내로 흐르지 않도록 ALD 프로세스에서 반응 물질 각각에 대한 별도의 노출들을 수반할 수도 있다. 오히려, 반응 물질 플로우 각각은 시퀀스에서 시간적으로 분리된 펄스들로 기판을 하우징하는 챔버로 도입되고, 사이클들로 1 회 이상 반복된다.
상기 기술은 주로 텅스텐 층들을 기술하지만, 방법들은 몰리브덴, 코발트, 및 루테늄을 포함하는 다른 금속들을 사용하는 피처 충진을 위해 구현될 수도 있다.
몰리브덴 재료들의 증착을 위한 Mo 전구체들의 예들은 MoF6 및 MoCl6와 같은 몰리브덴할라이드들, 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2) 및 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4) 와 같은 몰리브덴 옥시할라이드들, 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 을 포함한다. 화학식 MoxOyHalz의 다른 Mo 옥시할라이드들이고, Hal은 할로겐 (불소 (F), 염소 (Cl), 브롬 (Br), 또는 요오드 (I)) 이고, x, y, 및 z는 안정한 분자를 형성할 수 있는, 0보다 더 큰 임의의 수이다. 이들은 몰리브덴 테트라플루오라이드 옥사이드 (MoOF4), 몰리브덴 디브로마이드 디옥사이드 (MoO2Br2), 및 몰리브덴 옥시아이오다이드들 (MoO2I 및 Mo4O11I) 을 포함한다. 이들 전구체들은 상기 기술된 바와 같이 환원제와 반응할 수도 있다.
특정한 실시 예들에서, 유기-금속 전구체들은 또한 사이클로펜타디에닐 리간드들을 갖는 Mo 전구체들을 포함하는 예들과 함께 사용될 수도 있다. 추가 예들은 화학식 Mo2Ln의 전구체들을 포함하고, 여기서 L 각각은 아미데이트 리간드 (amidate ligand), 아미디네이트 리간드 (amidinate ligand), 및 구아니디네이트 리간드 (guanidinate ligand) 로부터 독립적으로 선택되고, 여기서 n은 2 내지 5이다. Mo2Ln 전구체는 복수의 몰리브덴-몰리브덴 결합 (예컨대 이중 결합 또는 결합 차수가 2 내지 5인 임의의 다중 결합) 을 포함한다. 추가 예들은 할라이드-함유 헤테로렙틱 (heteroleptic) 몰리브덴 화합물들 (즉, 상이한 타입들의 리간드들을 갖는 화합물들) 을 포함한다. 이러한 전구체들의 특정한 예들은 몰리브덴, 몰리브덴과 결합을 형성하는 적어도 하나의 할라이드, 및 N, O, 및 S 원소들 중 임의의 원소를 갖는 적어도 하나의 유기 리간드를 포함하는 화합물들이고, 이들 원소들의 원자는 몰리브덴과 결합을 형성한다. 질소 또는 산소 결합을 제공하는 적합한 유기 리간드들의 예들은 아미디네이트들, 아미데이트들, 이미노피롤리디네이트들 (iminopyrrolidinates), 디아자디엔들 (diazadienes), 베타-이미노 아미드들 (beta-imino amides), 알파-이미노 알콕사이드들 (alpha-imino alkoxides), 베타-아미노 알콕사이드들 (beta-amino alkoxides), 베타-디케티미네이트들 (beta-diketiminates), 베타-케토이미네이트들 (beta-ketoiminates), 베타-디케토네이트들 (beta-diketonates), 아민들, 및 피라졸레이트들 (pyrazolates) 을 포함한다. 황 결합을 제공하는 적합한 유기 리간드들의 예들은 티오에테르들 (thioethers), 티올레이트들 (thiolates), 디티올렌들 (dithiolenes), 디티올레이트들 (dithiolates), 및 α-이미노 티올렌들 (α-imino thiolenes) 을 포함한다. 이들 리간드들은 치환될 수도 있고 또는 치환되지 않을 수도 있다. 일부 실시 예들에서, 이들 리간드들은 H, 알킬 (alkyl), 플루오로알킬 (fluoroalkyl), 알킬실릴 (alkylsilyl), 알킬아미노 (alkylamino), 및 알콕시 (alkoxy) 치환기들로 구성된 그룹으로부터 독립적으로 선택된 하나 이상의 치환기들을 포함한다. 유기 리간드들은 중성 또는 음이온성 (예를 들어, 일가 음이온 (monoanionic) 또는 이가 음이온 (dianionic)) 일 수 있고, 몰리브덴은 +1, +2, +3, +4, +5, 및 +6과 같은 다양한 산화 상태들에 있을 수 있다.
루테늄 (Ru) 을 증착하기 위해, Ru-전구체들이 사용될 수도 있다. 산화 반응들에 사용될 수도 있는 루테늄 전구체들의 예들은 (에틸벤질)(1-에틸-1,4-사이클로헥사디에닐)Ru(0) ((ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru(0)), (1-이소프로필-4-메틸벤질)(1,3-사이클로헥사디에닐)Ru(0) ((1-isopropyl-4-methylbenzyl)(1,3-cyclohexadienyl)Ru(0)), 2,3-디메틸-1,3-부타디에닐)Ru(0)트리카르보닐 (2,3-dimethyl-1,3-butadienyl)Ru(0)tricarbonyl), (1,3-사이클로헥사디에닐)Ru(0)트리카르보닐 ((1,3-cyclohexadienyl)Ru(0)tricarbonyl), 및 (사이클로펜타디에닐)(에틸)Ru(II)디카르보닐 ((cyclopentadienyl)(ethyl)Ru(II)dicarbonyl) 을 포함한다. 비산화 반응 물질들과 반응하는 루테늄 전구체들의 예들은 비스(5-메틸-2,4-헥산디케토나토)Ru(II)디카르보닐 (bis(5-methyl-2,4-hexanediketonato)Ru(II)dicarbonyl) 및 비스(에틸사이클로펜타디에닐)Ru(II) (bis(ethylcyclopentadienyl)Ru(II)) 이다.
코발트 (Co) 를 증착하기 위해, 디카르보닐 사이클로펜타디에닐 코발트 (I) (dicarbonyl cyclopentadienyl cobalt (I)), 코발트 카르보닐 (cobalt carbonyl), 다양한 코발트 아미디네이트 전구체들 (cobalt amidinate precursors), 코발트 디아자디에닐 착체들 (cobalt diazadienyl complexes), 코발트 아미디네이트/구아니디네이트 전구체들 (cobalt amidinate/guanidinate precursors), 및 이들의 조합들을 포함하는 코발트-함유 전구체들이 사용될 수도 있다.
WF6가 텅스텐-함유 전구체의 일 예로서 사용되지만, 다른 텅스텐-함유 전구체들이 개시된 실시 예들의 수행에 적합할 수도 있다는 것이 이해되어야 한다. 예를 들어, 금속-유기 텅스텐-함유 전구체가 사용될 수도 있다. 유기-금속 전구체들 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 과 같은 불소-프리 (free of fluorine) 전구체들이 또한 사용될 수도 있다. 텅스텐 펜타클로라이드 (WCl5) 및 텅스텐 헥사클로라이드 (WCl6) 와 같은 염소-함유 텅스텐 전구체들 (WClx) 이 사용될 수도 있다.
금속-함유 전구체는 상기 기술된 바와 같이 환원제와 반응할 수도 있다. 일부 실시 예들에서, H2는 고 순도 막들을 증착하도록 벌크 층 증착을 위한 환원제로서 사용된다.
일부 실시 예들에서, 벌크 층은 감소된 온도에서 수행된다. 다양한 실시 예들에 따라, 감소된 온도 (기판 온도) 는 다음의 범위들 중 하나이다: 약 250 내지 350 ℃, 약 250 ℃ 내지 340 ℃, 약 250 ℃ 내지 330 ℃, 약 250 ℃ 내지 325 ℃, 약 250 ℃ 내지 320 ℃, 약 250 ℃ 내지 315 ℃, 약 250 ℃ 내지 310 ℃, 약 250 ℃ 내지 305 ℃, 또는 약 250 ℃ 내지 300 ℃. 또한 다양한 실시 예들에 따라, 기판 온도는 약 260 내지 310 ℃, 약 270 ℃ 내지 310 ℃, 약 280 ℃ 내지 310 ℃, 또는 약 290 ℃ 내지 310 ℃이다. 특정한 실시 예들에서, 프로세스 및/또는 기판 온도는 약 300 ℃이다. 감소된 온도 CVD는 좁은, 고 종횡비 피처들에서 고품질 충진을 획득하도록 사용될 수 있다. ALD가 또한 사용될 수도 있다.
벌크 증착은 충진 프로파일을 제어하기 위해 억제 동작 및/또는 에칭 동작을 더 수반할 수 있다. 본 명세서에 기술된 임의의 충진 프로세스들에서, 억제 처리들은 충진 개선을 용이하게 하도록 피처의 상단부에 우선적으로 적용될 수도 있다. 이러한 억제 처리들은 억제 화학 물질을 사용하는 열적 처리 또는 플라즈마 처리를 수반할 수 있다. 예를 들어, N2 플라즈마 또는 NH3 열적 처리는 충진 프로세스 동안 임의의 지점에서 피처의 상단부에서 핵생성을 억제하도록 사용될 수 있다.
일부 실시 예들에서, 핵생성 억제는 피처의 하단부로 연장되도록 수행된다. 이는 금속-금속 결합을 방해하고, 상기 기술된 집핑 메커니즘을 감소시키거나 방지하는 데 유용할 수 있다.
억제 화학 물질은 금속의 후속 핵생성을 억제하기 위해 표면과 상호 작용하는 원자, 화합물, 또는 다른 종을 지칭한다. 질소는 예를 들어 텅스텐 핵생성을 억제할 수 있고, 열적으로 (예를 들어, 암모니아로) 또는 플라즈마 (예를 들어, N2 플라즈마) 로 전달될 수 있다.
일부 실시 예들에서, 방법들은 적어도 피처들의 측벽들을 따른 사이트들 (sites) 에서 금속 핵생성을 억제하기 위한 처리를 포함한다. 일부 구현 예들에서, 억제는 텅스텐 나이트라이드 (WN) 또는 텅스텐 카바이드 (WC) 와 같은 화합물 재료의 작은 아일랜드들 (small islands) 을 형성하도록 억제 종과 금속-함유 전구체 또는 피처 표면 사이의 화학적 반응을 수반할 수 있다. 일부 구현 예들에서, 억제는 합성 재료의 층을 형성하지 않고 표면을 패시베이팅하는 흡착과 같은 표면 효과를 수반할 수 있다. 금속-함유 전구체는 후속 증착 동작들에서 시드 금속 핵생성을 제공할 수도 있는 사이트들에서 흡착된다.
억제 화학 물질은 질소 (N2), 암모니아 (NH3), 또는 하이드라진 (N2H2) 과 같은 질소-함유 화합물일 수도 있다. 텅스텐 및 다른 금속 표면들의 억제를 위해 사용될 수도 있는 다른 화학 물질들은 산소-기반 화학 물질들 및 탄화수소-기반 화학 물질들을 포함한다. 예를 들어, 분자 산소 또는 메탄이 플라즈마 생성기로 도입될 수도 있다. 아르곤, 제논, 또는 크립톤과 같은 불활성 컴포넌트가 캐리어 가스로서 사용될 수도 있다. 일부 구현 예들에서, 미량들을 제외하고 플라즈마가 생성되는 가스에 어떠한 다른 비-불활성 컴포넌트들도 존재하지 않는다. 일부 구현 예들에서, 억제 화학 물질들은 플라즈마에 존재하는 하나 이상의 부가적인 반응성 종과 함께, 질소-함유, 수소-함유, 산소-함유, 및/또는 탄소-함유일 수도 있다.
에칭은 또한 피처의 상단부에 우선적으로 적용될 수도 있다. 예시적인 에칭 화학 물질들은 할로겐-함유 화합물들 및 종, 예를 들어, 질소 트리플루오라이드 (NF3), 불소 가스 (F2), 및 원자 불소를 포함한다.
상기 나타낸 바와 같이, 일부 실시 예들에서, 충전 볼륨 (또한 축적기로 지칭됨) 이 퍼징을 위해 사용될 수도 있다. 일부 실시 예들에서, 복수의 축적기들이 신속한 플러시 퍼징을 위해 사용될 수도 있다. 도 8a 및 도 8b는 퍼지 동작을 수행하는 2 개의 상이한 방식들에 대한 시간의 함수로서 하나 이상의 축적기들 내의 볼륨의 압력을 나타낸다. 도 8a에서, 이어서 프로세스 챔버 내로 흐르는, 퍼지 가스의 가압된 볼륨을 구축하도록 (build) 축적기 (800) 가 사용된다. 축적기 (800) 는 축적기의 기준 압력으로서 작용하는 최초 압력 (802) 을 갖는다. 기울기 (slope) (804) 는 퍼지 가스가 가압됨에 따라 축적기 (800) 내 볼륨의 압력의 상승을 도시한다. 도 8a의 예에서, 축적기는 퍼지 가스가 챔버로 흐르기 전에 최대 압력 (예를 들어, 약 550 Torr) 에 도달할 수도 있지만, 축적기 내의 압력은 더 넓은 범위에 걸쳐 (예를 들어, 약 400 Torr 내지 약 1000 Torr) 가변할 수도 있다. 이어서, 시간 (806) 에서 퍼지 가스가 챔버 내로 흐르고, 그리고 퍼지 가스가 축적기 (800) 로부터 흐를 때 압력이 급격히 감소한다. 축적기 (800) 의 압력의 감소는 챔버 내로 퍼지 가스의 증가된 플로우에 대응한다. 퍼지 볼륨이 챔버 내로 흐를 때, 축적기 (800) 내의 압력은 기준 압력 (802) 으로 돌아간다. 이어서 축적기는 압력을 상승시킬 수도 있고 그리고 제 2 퍼지 단계 (미도시) 를 위해 챔버 내로 퍼지 가스를 흘릴 수도 있다.
도 8b는 신속한 플러시 퍼지를 나타낸다. 제 1 축적기 (810) 및 제 2 축적기 (811) 는 퍼지 가스를 챔버 내로 흘리도록 사용된다. 도 8a와 유사하게, 두 축적기들은 기준 압력 (812) 을 갖는다. 이어서, 제 1 축적기 (810) 는 기울기 (814) 로 도시된 바와 같이 가압된다. 시간 (816) 에서 제 1 축적기로부터의 퍼지 가스가 챔버 내로 흐르고, 제 1 축적기 내의 압력의 급격한 감소를 발생시킨다. 축적기의 압력 감소는 챔버 내로의 퍼지 가스의 증가된 질량 플로우에 대응한다. 제 1 축적기의 압력이 감소함에 따라, 챔버 내로 퍼지 가스의 질량 플로우가 또한 감소할 것이다. 이어서, 시간 (817) 에서, 제 2 축적기 (811) 는 퍼지 가스를 챔버 내로 흘린다. 가압된 퍼지 가스의 제 2 플로우는 다시 챔버 내로 퍼지 가스의 질량 플로우를 증가시킨다. 2 개의 축적기들을 사용함으로써, 챔버 내로의 평균 질량 플로우가 증가되어, 반응 물질 가스들의 제거 레이트를 상승시키고, 챔버를 퍼지하는 데 필요한 총 시간을 감소시킨다. 일부 실시 예들에서, 부가적인 축적기들이 챔버 내로 퍼지 가스의 고압 플로우를 연속적으로 유지하도록 사용될 수도 있다. 퍼지 가스는 제 2 축적기와 유사한 방식으로 임의의 수의 부가적인 축적기들로부터 흐를 수 있다. 일부 실시 예들에서, 축적기 각각은 가압되고 그리고 퍼지 가스는 단일의 신속한 플러시 퍼지 동작 동안 복수 회 (multiple times) 챔버 내로 흐른다. 예를 들어, 제 1 축적기는 단일 퍼지 동작 동안 2 회 이상 챔버 내로 퍼지 가스를 흘린다. 일부 실시 예들에서, 부가적인 축적기들 또는 동일한 축적기들로부터의 반복된 플로우들은 대형 챔버들에 유용할 수도 있거나 축적기 각각의 체적이 300 ㏄보다 더 작은 경우에 유용할 수도 있다. 다양한 실시 예들에서, 압력은 약 400 Torr로부터 약 1000 Torr로 가변할 수도 있다. 제 1 축적기와 제 2 축적기 사이의 압력은 또한 가변할 수도 있고, 여기서 제 1 축적기는 약 400 Torr 내지 약 1000 Torr의 압력을 갖고, 그리고 제 2 축적기는 약 400 Torr 내지 약 1000 Torr의 상이한 압력을 갖는다.
제 1 축적기 및 제 2 축적기로부터 퍼지 가스를 흘리는 타이밍은 실시 예들에 걸쳐 가변할 수도 있다. 일부 실시 예들에서, 제 2 축적기는 제 1 축적기의 압력이 기준 압력 (812) 으로 돌아가기 전에 흘린다. 다른 실시 예들에서, 제 2 축적기는 제 1 축적기가 기준 압력으로 돌아갈 때 또는 제 1 축적기가 기준 압력으로 돌아간 후에 흘릴 수도 있다. 일부 실시 예들에서, 제 2 축적기는 제 1 축적기의 압력과 무관하게, 단순히 제 1 축적기가 흘린 후에 흘린다. 일부 실시 예들에서, 제 2 축적기는 제 1 축적기를 흘리는 5 초, 3 초, 1 초, 0.5 초, 또는 0.1 초 내에 흘린다.
축적기로부터 퍼지 가스의 플로우는 초크 플로우 (choked flow) 일 수도 있다. 고압 분위기와 저압 분위기 사이의 압력 비가 충분히 크면 초크 플로우가 발생할 수도 있다. 유체 플로우의 속도는 저압 분위기의 압력의 추가 감소와 함께 상승하지 않을 것이고, 플로우는 초크된 것으로 간주된다. 초크 플로우에 대한 문턱 값 최소 압력 비는 사용된 특정한 가스들에 종속되지만, 일반적으로 약 2:1이다. 초크 플로우는 온도, 압력, 및 가스 밀도를 포함하는 고압 분위기의 조건들만을 사용하여 모델링될 수 있고, 이는 저압 분위기의 파라미터들이 공지되지 않거나 변화할 때 바람직할 수도 있다. 구체적으로, 프로세스 챔버를 퍼징할 때, 초크 플로우는 챔버를 충분히 퍼지하는 데 필요한 시간을 감소시키기 위한 인자로서 관련된다.
ALD 프로세스 동안, 예시적인 챔버 압력 범위들은 약 3 Torr 내지 100 Torr, 약 3 Torr 내지 40 Torr, 또는 약 3 Torr 내지 10 Torr이다. 한편, 퍼지 단계를 위한 축적기의 압력은 약 400 torr 내지 1000 torr일 수 있다. 따라서, 축적기로부터 챔버 내로 퍼지 가스의 최초 플로우는 통상적으로 초크된다. 그러나, 도 8b로부터 알 수 있는 바와 같이, 축적기의 압력은 급격히 감소할 것이다. 플로우는 축적기와 프로세스 챔버 사이의 압력 비가 감소함에 따라 언초크될 (unchoke) 수도 있다. 이는 챔버 내로 퍼지 가스의 속도 및 질량 플로우를 감소시키고, 퍼지하는 데 필요한 시간을 증가시킨다. 도 8b에 도시된 바와 같이 제 2 축적기 (811) 로부터 퍼지 가스를 흘림으로써, 플로우는 초크된 채로 남을 것이고, 챔버는 퍼지하는 데 더 적은 시간이 필요할 것이다. 제 2 축적기로부터 퍼지 가스를 흘리는 타이밍은 실시 예들 사이에서 가변할 수도 있다. 일부 실시 예들에서, 챔버 내로의 퍼지 가스의 플로우가 초크되는 동안 제 2 축적기로부터의 퍼지 가스가 흐른다. 다른 실시 예들에서, 제 2 축적기로부터의 퍼지 가스는 챔버 내로의 퍼지 가스의 플로우가 초크되는 것을 중단한 후에 흐를 수도 있다. 이러한 경우들에서, 제 2 축적기로부터 퍼지 가스를 흘리는 것은 챔버 내로 퍼지 가스의 플로우로 하여금 초크되게 할 수도 있다.
장치
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp. 로부터 입수 가능한 ALTUS® 및 ALTUS® Max, ALTUS® LFW, 및 ALTUS® Max ICEFill, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 일부 실시 예들에서, 제 1 핵생성 층이 단일 증착 챔버 내에 포지셔닝된 2 개, 5 개, 또는 훨씬 더 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 증착될 수도 있다. 따라서, 예를 들어, 디보란 (B2H6) 및 텅스텐 헥사플루오라이드 (WCl6) 는 핵생성 층을 증착하기 위해 기판 표면에서 국부화된 대기를 생성하는 개별 가스 공급 시스템을 사용하여, 제 1 스테이션에서 반도체 기판의 표면에 교번하여 도입될 수도 있다. 동일한 스테이션 또는 또 다른 스테이션이 제 2 핵생성 층의 증착을 위해 사용될 수도 있다. 즉, 핵생성 층들 각각은 그 자체의 개별 스테이션에서 증착될 수도 있고 또는 2 개 이상이 동일한 스테이션에서 증착될 수도 있다. 예를 들어, 제 1 핵생성 층 및 후속하는 핵생성 층의 증착을 위해 온도가 상이한 실시 예들에서, 개별 온도 제어를 갖는 2 개의 별개의 스테이션들을 사용하는 것이 유리할 수 있다. 벌크 금속 층을 증착하기 위해 또 다른 스테이션이 사용될 수도 있다.
2 개 이상의 스테이션들이 병렬 프로세스로 금속을 증착하도록 사용될 수도 있다. 대안적으로, 증착 동작들이 2 개 이상의 스테이션들에 걸쳐 순차적으로 수행되도록 웨이퍼가 인덱싱될 수도 있다.
장치는 도 9에서 개략적으로 도시된 바와 같이 다양한 가스 분배 라인들에 라인 충전들을 제공하는, 가스 매니폴드 시스템을 포함할 수도 있다. 매니폴드 (904) 는 축적기 (미도시) 를 포함할 수도 있는, 금속 전구체 가스의 소스 (901) 로부터의 입력들을 갖는다. 매니폴드 (911) 는 축적기 (미도시) 를 포함할 수도 있는, 실란 또는 다른 환원 가스 (미도시) 의 소스로부터의 입력 (909) 을 갖는다. 캐리어 가스로부터 매니폴드 (911) 로의 입력이 있을 수도 있고 없을 수도 있다. 매니폴드 (921) 는 제 1 축적기 (919) 및 제 2 축적기 (920) 로부터의 입력을 갖는다. 제 1 축적기 (919) 및 제 2 축적기 (920) 는 불활성 퍼지 가스의 소스 (918) 로부터의 입력들을 갖는다. 매니폴드들 (904, 911 및 921) 은 금속-함유 전구체 가스, 공-반응 물질 가스, 및 퍼지 가스를 각각 밸브 연결된 (valved) 분배 라인들 (905, 913 및 925) 을 통해 증착 챔버에 제공한다. 다양한 밸브들은 라인 충전을 제공하도록, 즉, 분배 라인들을 가압하도록 개방되거나 폐쇄될 수도 있다. 예를 들어, 분배 라인 (905) 을 가압하기 위해, 밸브 (906) 는 진공으로 폐쇄되고, 밸브 (908) 는 폐쇄된다. 적합한 시간 증분 후, 밸브 (908) 가 개방되고 공-플로우 가스는 챔버로 전달된다. 가스의 전달을 위한 적합한 시간 후, 밸브 (908) 가 폐쇄된다. 이어서 챔버는 진공으로 밸브 (906) 의 개방에 의해 진공으로 퍼지될 수 있다.
유사한 프로세스들이 환원 가스를 전달하도록 사용될 수 있다. 환원 가스를 도입하기 위해, 예를 들어, 분배 라인 (913) 은 진공으로 밸브 (915) 를 폐쇄하고 밸브 (917) 를 폐쇄함으로써 충전된다. 밸브 (915) 의 개방은 환원 가스의 챔버로의 전달을 허용한다.
유사하게, 퍼지 가스를 도입하기 위해, 분배 라인 (925) 은 밸브 (927) 를 폐쇄하고 진공으로 밸브 (923) 를 폐쇄함으로써 충전된다. 밸브 (927) 의 개방은 아르곤 또는 다른 불활성 퍼지 가스의 챔버로의 전달을 허용한다. 밸브들 (928 및 929) 은 불활성 퍼지 가스의 소스 (918) 로부터 축적기들 (919 및 920) 로 퍼지 가스를 도입하도록 개방되거나 폐쇄될 수도 있다. 라인 충전들에 대해 허용된 시간 양은 가스의 최초 전달의 양 및 타이밍을 변화시킨다. 밸브들 (930 및 931) 은 각각 축적기들 (919 및 920) 로부터 퍼지 가스를 도입하도록 개방되거나 폐쇄될 수도 있다. 밸브 (930) 및/또는 밸브 (931) 를 개방하는 것은 다양한 실시 예들에 대해 상기 기술된 바와 같이 퍼지 가스의 전달의 양 및 타이밍을 변화시킨다.
도 9는 또한 밸브들 (906, 917 및 923) 각각이 시스템을 퍼지하도록 개방될 수 있는, 진공 펌프들을 도시한다. 다양한 분배 라인들을 통한 가스의 공급은 플로우 레이트들, 플로우의 지속 기간, 및 프로세스들의 시퀀스로 프로그래밍되는 마이크로프로세서, 디지털 신호 프로세서, 등에 의해 제어되는, 질량 유량 제어기 (mass flow controller; MFC) 와 같은 제어기에 의해 제어된다.
상기 기술된 프로세스들은 증착 동안 반도체 기판에 가스들의 펄스들을 공급하는 밸브들 및 MFC들 (질량 유량 제어기들) 의 정밀한 타이밍을 사용할 수도 있다는 것을 주의한다. 이를 가능하게 하는 일 방식에서, 밸브 및 MFC 커맨드들이 증착 시퀀스의 전부 또는 일부에 대해 모든 시간 임계 커맨드들에 대한 인스트럭션들을 포함하는 정보의 이산 패킷들로 임베딩된 (embedded) 디지털 입력-출력 제어기들 (input-output controllers; IOC) 에 전달된다. Lam Research의 ALTUS 시스템들은 적어도 하나의 IOC 시퀀스를 제공한다. IOC들은 장치 내의 다양한 지점들; 예를 들어, 프로세스 모듈 내 또는 프로세스 모듈로부터 어느 정도 이격된 독립형 전력 랙 상에 물리적으로 위치될 수 있다. 모듈 각각에 복수의 IOC들 (예를 들어, 모듈당 3 개) 이 있을 수도 있다. 시퀀스에 포함된 실제 인스트럭션들에 대해, 밸브들을 제어하고 (모든 캐리어 및 반응 물질 가스들에 대해) MFC들에 대한 플로우를 설정하기 위한 모든 커맨드들이 단일 IOC 시퀀스에 포함될 수도 있다. 이는 모든 디바이스들의 타이밍이 절대적인 관점으로부터 그리고 또한 서로 상대적으로 엄격하게 제어된다는 것을 보장한다. 통상적으로 임의의 주어진 시간에 실행하는 복수의 IOC 시퀀스들이 있다. 이는 말하자면, ALD로 하여금 이들 스테이션들에서 ALD 핵생성 층을 증착하기 위해 필요한 모든 하드웨어 컴포넌트들에 대해 제어된 모든 타이밍으로 스테이션 1 및 스테이션 2에서 실행되게 한다. 제 2 시퀀스가 동일한 모듈의 다른 증착 스테이션들에서 상기 기술된 타이밍 시퀀스를 사용하여 벌크 금속을 증착하도록 동시에 실행될 수도 있다. 스테이션 3 및 스테이션 4로 시약들의 전달을 제어하는 디바이스들의 상대적인 타이밍은 디바이스들의 그룹에서 중요하지만, 스테이션 1 및 스테이션 2에서 ALD 프로세스의 상대적인 타이밍은 스테이션 3 및 스테이션 4의 상대적인 타이밍으로부터 오프셋될 수 있다. IOC는 패킷화된 시퀀스로 정보를 변환하고 디지털 또는 아날로그 커맨드 신호들을 밸브들을 제어하는 MFC 또는 공압 솔레노이드 뱅크들에 직접 전달한다.
금속-함유 전구체 가스의 펄스는 다음과 같이 생성될 수도 있다. 처음에, 시스템은 MFC 또는 또 다른 플로우-제어 디바이스가 안정화되는 동안 시간의 기간 동안 진공 펌프로 가스를 방향 전환한다. 이는 일 예에서 약 0.5 내지 5 초의 기간 동안 수행될 수도 있다. 다음에, 시스템은 진공으로 밸브 (906) 및 증착 챔버로의 밸브 (908) 모두를 폐쇄함으로써 텅스텐 가스 전달 매니폴드를 가압한다. 이는 예를 들어, 증착 챔버로의 밸브가 개방될 때 시약의 최초 버스트 (burst) 를 생성하기 위해 약 0.1 내지 5 초의 기간 동안 수행될 수도 있다. 이는 일 예에서 약 0.1 내지 10 초 동안 밸브 (908) 를 개방함으로써 달성된다.
그 후, 가스는 적합한 퍼지 가스를 사용하여 증착 챔버로부터 퍼지된다. 상기와 유사하게, 시스템은 밸브 (923) 및 밸브 (927) 를 폐쇄함으로써 퍼지 가스 전달 매니폴드를 가압할 수도 있다. 밸브 (930) 및 밸브 (931) 는 또한 축적기들 (919 및 920) 로 하여금 가압되게 하도록 폐쇄된다. 이는 예를 들어, 증착 챔버로의 밸브가 개방될 때 증착 챔버로부터 시약을 신속하게 플러싱하도록 (flush), 약 0.1 내지 5 초의 기간 동안 수행될 수도 있다. 밸브 (927) 가 증착 챔버로 개방될 때, 밸브 (930) 는 증착 챔버 내로 퍼지 가스의 질량 플로우를 상승시키기 위해 동시에 또는 그 직후 개방된다. 이어서 밸브 (931) 는 증착 챔버 내로 퍼지 가스의 질량 플로우를 상승시키기 위해 밸브 (930) 가 개방된 후 약 0.1 내지 5 초에 개방된다. 다른 시약들의 펄싱된 플로우는 유사한 방식으로 수행될 수도 있다.
증착 스테이션은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 (dispersion) 플레이트를 포함할 수도 있다. 기판 지지부 (1002) 및 샤워헤드 (1003) 를 포함하는, 증착 스테이션 (1000) 의 일 예가 도 10에 도시된다. 히터가 페데스탈 부분 (1001) 에 제공될 수도 있다.
도 11은 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (1000) 은 이송 모듈 (1003) 을 포함한다. 이송 모듈 (1103) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린, 가압된 분위기를 제공한다. 실시 예들에 따라 ALD 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (1109) 가 이송 모듈 (1103) 상에 장착된다. 반응기 (1109) 는 개시된 실시 예들에 따른 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (1111, 1113, 1115, 및 1117) 을 포함할 수도 있다. 예를 들어, 반응기 (1109) 는 스테이션 (1111) 이 ALD에 의한 제 1 핵생성 층 증착을 수행하고, 스테이션 (1113) 이 ALD에 의한 제 2 핵생성 층 증착을 수행하고, 그리고 스테이션들 (1115 및 1117) 이 CVD 또는 ALD에 의한 벌크 층 증착을 수행하도록 구성될 수 있다.
또 다른 예에서, 반응기 (1109) 는 스테이션 (1111) 이 ALD 또는 CVD에 의한 제 1 핵생성 층 증착을 수행하고, 스테이션 (1113) 이 ALD에 의한 제 2 핵생성 층 증착을 수행하고, 스테이션 (1115) 이 ALD에 의한 제 3 핵생성 층 증착을 수행하고, 그리고 스테이션 (1117) 이 CVD 또는 ALD에 의한 벌크 층 증착을 수행하도록 구성될 수 있다.
또 다른 예에서, 반응기 (1109) 는 스테이션 (1111) 이 복수의 핵생성 층들의 증착을 수행하고 스테이션 (1113, 1115, 및 1117) 이 CVD 또는 ALD에 의한 벌크 층 증착을 수행하도록 구성될 수 있다.
또한 이송 모듈 (1103) 상에 플라즈마 또는 화학적 (비플라즈마) 사전 세정들을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (1107) 이 장착될 수도 있다. 모듈은 또한 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들에 사용될 수도 있다. 일부 실시 예들에서, 모듈 (1107) 은 예를 들어 플라즈마 억제 처리를 위해 사용될 수도 있다. 또한, 일부 실시 예들에서, 본 명세서에 기술된 ALD 프로세스들 또는 CVD 프로세스들 중 임의의 프로세스들은 모듈 (1107) 과 같은 단일 스테이션 모듈에서 수행될 수도 있다.
시스템 (1100) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (1101) 을 포함한다. 대기 이송 챔버 (1119) 의 대기 로봇 (미도시) 가 소스 모듈들 (1101) 로부터 로드 록들 (loadlocks) (1121) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (1103) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드 록들 (1121) 로부터 이송 모듈 (1103) 상에 장착된 모듈들로 그리고 이들 사이에 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (1129) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (1129) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (1129) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (1129) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 (radio frequency; RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (1129) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (1129) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어 (assembly language), C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (1129) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (1100) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시 예들에 따른 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (1129) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (1129) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어 하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
일부 구현 예들에서, 제어기 (1129) 는 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (1129) 는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 본 명세서에 기술된 프로세스들 및 제어들과 같이, 예를 들어 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써, 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (1129) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성, 플로우 레이트들, 펄스 시간들을 제어하기 위한, 그리고 선택 가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브 (throttle valve) 를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 (lithographic) 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들과 함께 제공된, 이하의 단계들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 샘플 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (21)

  1. 이격된 복수의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부 폭을 갖고, 상기 피처의 상기 폭은 상기 피처의 상단부로부터 상기 피처의 하단부로 좁아지는, 상기 기판 제공 단계;
    상기 복수의 피처들 내에 제 1 컨포멀한 (conformal) 금속 핵생성 층을 증착하는 단계; 및
    상기 제 1 컨포멀한 금속 핵생성 층 상에 제 2 컨포멀한 금속 핵생성 층을 증착하는 단계를 포함하고, a) 환원제 화학 물질, b) 금속 전구체 및 환원제 플로우 시퀀스, c) 금속 전구체 및 환원제 플로우 지속 기간, d) 퍼지 조건들, e) 챔버 압력, 및 f) 기판 온도 중 하나 이상은 상기 제 1 컨포멀한 금속 핵생성 층의 증착하는 단계 동안과 상기 제 2 컨포멀한 금속 핵생성 층의 증착하는 단계 동안 상이한, 방법.
  2. 제 1 항에 있어서,
    피처 각각의 상기 하단부의 상기 폭은 0 ㎚ 내지 상기 피처의 상기 상단부에서의 상기 폭의 90 %인, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 금속은 텅스텐, 루테늄, 몰리브덴, 및 코발트로 구성된 그룹으로부터 선택되는, 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 1 컨포멀한 금속 핵생성 층은 상기 제 2 컨포멀한 금속 핵생성 층보다 더 두꺼운, 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    디보란은 상기 제 2 금속 핵생성 층의 증착 동안이 아니라 상기 제 1 금속 핵생성 층의 증착 동안 환원제인, 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 금속 전구체 및 상기 환원제는 상기 제 1 금속 핵생성 층의 증착 동안 함께 흐르고 (co-flow) 그리고 상기 금속 전구체 및 상기 환원제는 상기 제 2 금속 핵생성 층의 증착 동안 교번하여 펄싱되는, 방법.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 금속 전구체의 펄스 지속 기간은 상기 제 2 금속 핵생성 층의 증착 동안보다 상기 제 1 금속 핵생성 층의 증착 동안 더 긴, 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    퍼지 가스가 상기 제 1 금속 핵생성 층의 증착 동안 연속적으로 흐르고 그리고 상기 제 2 금속 핵생성 층의 증착 동안 펄싱되는, 방법.
  9. 제 8 항에 있어서,
    상기 퍼지 가스를 펄싱하는 것은 하나 이상의 퍼지 가스 축적기들을 사용하는 것을 포함하는, 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 기판을 하우징하는 챔버 압력은 상기 제 2 금속 핵생성 층의 증착 동안보다 상기 제 1 금속 핵생성 층의 증착 동안 더 높은, 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 기판 온도는 상기 제 2 금속 핵생성 층의 증착 동안보다 상기 제 1 금속 핵생성 층의 증착 동안 더 높은, 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 피처들은 인접한 피처들 사이에서 약 10 ㎚ 내지 60 ㎚의 피치 (pitch) 로 이격되는, 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 제 2 컨포멀한 금속 핵생성 층 상에 제 3 컨포멀한 금속 핵생성 층을 증착하는 단계를 더 포함하는, 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 제 1 핵생성 층 두께는 약 1 ㎚ 내지 2 ㎚인, 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 제 2 핵생성 층 두께는 약 0.5 ㎚ 내지 1 ㎚인, 방법.
  16. 이격된 복수의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부 폭을 갖고, 상기 피처의 상기 폭은 상기 피처의 상단부로부터 상기 피처의 하단부로 좁아지는, 상기 기판 제공 단계; 및
    환원제와 금속 전구체의 교번하는 도즈들에 상기 피처를 노출함으로써 과포화된 (supersaturated) 조건들 하에서 상기 복수의 피처들 내에 제 1 컨포멀한 금속 핵생성 층을 증착하는 단계로서, 상기 환원제 도즈들 각각은 적어도 ¼ 리터이고 지속 기간이 적어도 10 초인, 상기 제 1 컨포멀한 금속 핵생성 층 증착 단계를 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 제 1 컨포멀한 핵생성 층 상에 제 2 컨포멀한 핵생성 층을 증착하는 단계를 더 포함하는, 방법.
  18. 제 16 항에 있어서,
    상기 피처들을 금속으로 충진하도록 상기 제 1 컨포멀한 금속 핵생성 층 직상에 (directly on) 벌크 금속을 증착하는 단계를 더 포함하는, 방법.
  19. 제 16 항에 있어서,
    상기 복수의 피처들에서 핵생성을 억제하도록 상기 제 1 컨포멀한 핵생성 층을 질소-함유 억제 화학 물질에 노출하는 단계를 더 포함하는, 방법.
  20. 제 19 항에 있어서,
    상기 피처들의 상기 하단부를 포함하여 상기 복수의 피처들 전반에 걸쳐 (throughout) 핵생성이 억제되는, 방법.
  21. 제 19 항에 있어서,
    상기 핵생성은 상기 피처들의 상기 상단부에서 우선적으로 억제되는, 방법.
KR1020227045646A 2021-03-15 2022-03-07 금속 충진 프로세스 동안 라인 벤딩 감소 KR20230155949A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200564P 2021-03-15 2021-03-15
US63/200,564 2021-03-15
PCT/US2022/019195 WO2022197481A1 (en) 2021-03-15 2022-03-07 Reducing line bending during metal fill process

Publications (1)

Publication Number Publication Date
KR20230155949A true KR20230155949A (ko) 2023-11-13

Family

ID=83320991

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227045646A KR20230155949A (ko) 2021-03-15 2022-03-07 금속 충진 프로세스 동안 라인 벤딩 감소

Country Status (4)

Country Link
US (1) US20240158913A1 (ko)
KR (1) KR20230155949A (ko)
CN (1) CN115812111A (ko)
WO (1) WO2022197481A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707656B1 (ko) * 2005-10-10 2007-04-13 동부일렉트로닉스 주식회사 금속배선의 형성 방법 및 그에 의해 형성된 금속배선을포함하는 반도체 소자
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US20170194204A1 (en) * 2014-08-27 2017-07-06 Ultratech, Inc. Improved through silicon via
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11189633B2 (en) * 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same

Also Published As

Publication number Publication date
US20240158913A1 (en) 2024-05-16
CN115812111A (zh) 2023-03-17
WO2022197481A1 (en) 2022-09-22

Similar Documents

Publication Publication Date Title
US11355345B2 (en) Method for preventing line bending during metal fill process
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
KR102678471B1 (ko) 저 불소 함량을 가진 텅스텐 막들
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN111357083A (zh) 自限制生长
US12014928B2 (en) Multi-layer feature fill
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
KR20220082023A (ko) 몰리브덴 충진
US12002679B2 (en) High step coverage tungsten deposition
US20230122846A1 (en) Feature fill with nucleation inhibition
US12077858B2 (en) Tungsten deposition
US20220349048A1 (en) Reducing line bending during metal fill process
US20240158913A1 (en) Reducing line bending during metal fill process
WO2023038905A1 (en) Process gas ramp during semiconductor processing
JP2024534326A (ja) 半導体処理の間のプロセスガスランプ
KR20240124331A (ko) 고 종횡비 3d nand 아키텍처의 텅스텐 워드라인 충진
WO2024196766A1 (en) Sequence for tungsten nitride deposition