CN111386592B - 用于气相沉积含钛膜的形成含钛膜的组合物 - Google Patents

用于气相沉积含钛膜的形成含钛膜的组合物 Download PDF

Info

Publication number
CN111386592B
CN111386592B CN201880076908.7A CN201880076908A CN111386592B CN 111386592 B CN111386592 B CN 111386592B CN 201880076908 A CN201880076908 A CN 201880076908A CN 111386592 B CN111386592 B CN 111386592B
Authority
CN
China
Prior art keywords
tibr
halogenated
titanium
precursor
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880076908.7A
Other languages
English (en)
Other versions
CN111386592A (zh
Inventor
安东尼奥·桑切斯
让-马克·吉拉尔
格里戈里·尼基福罗夫
尼古拉斯·布拉斯科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/827,783 external-priority patent/US10584039B2/en
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN111386592A publication Critical patent/CN111386592A/zh
Application granted granted Critical
Publication of CN111386592B publication Critical patent/CN111386592B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

披露了包含含卤化钛的前体的形成含钛膜的组合物。还披露了合成并且使用所披露的前体经由气相沉积工艺在一个或多个基板上沉积含钛膜的方法。

Description

用于气相沉积含钛膜的形成含钛膜的组合物
相关申请的交叉引用
本申请要求于2018年5月1日提交的美国申请号15/968,099的优先权,该申请是2017年11月30日提交的美国申请号15/827,783的部分继续,其全部内容出于所有目的通过引用结合在此。
技术领域
披露了包含含卤化钛的前体的形成含Ti膜的组合物。还披露了合成并且使用所披露的前体经由气相沉积工艺在一个或多个基板上沉积含钛膜的方法。
背景技术
随着半导体装置的按比例缩小,需要具有高介电常数的新材料。化学气相沉积(CVD)和原子层沉积(ALD)已被变成用于此类薄膜的主要沉积技术。CVD和ALD可以提供具有精细限定的厚度和高阶梯覆盖的不同膜(金属、氧化物、氮化物等)。在CVD和ALD中,前体分子起到获得具有高保形性和低杂质的高品质膜的关键作用。
在高k电介质之中,钛基材料(诸如TiO2)非常有前途,无论用作纯氧化物或混合氧化物,还是用于层压体中。TiN可用于电极和/或Cu扩散阻挡应用。氧化钛也可用于其在光刻应用中的抗蚀刻特性,诸如用于硬掩模或间隔定义的多重图案化应用。硅化钛可以用作导电插塞与下面的掺杂硅层之间的接触。
已知多种卤化钛路易斯加合物的合成和表征。参见例如,Ruff等人,New titaniumcompounds[新钛化合物],Berichte der Deutschen Chemischen Gesellschaft[德国化学学会报告],1912,45,第1364-1373页;
R.Zeitschrift fuer Anorganische und Allgemeine Chemie,1930,190,第241-256页;
Emeléus等人,Complexes of Titanium and Zirconium Halides with OrganicLigands[钛和锆的卤化物与有机配体的络合物],J.Chemical Society(Resumed)[化学学会杂志(续)],1958,第4245-50页;
Fowles等人,Journal of Chemical Society(Resumed)[化学学会杂志(续)],1959,第990-997页;
G.W.A.Fowles等人,The Reaction of Titanium Halides with TertiaryAmines[卤化钛与叔胺的反应],Journal of Chemical Society(Resumed)[化学学会杂志(续)],1963,第33-38页;
Baker等人,Sulphur Complexes of Quadrivalent Titanium[四价钛的硫络合物],Journal of the Less-Common Metals[稀有金属杂志],1964,第47-50页;
Eric Turin等人,Adducts of Titanium Tetrahalides with Neutral LewisBases.Part I.Structure and Stability:a Vibrational and Multinuclear NMR Study[四卤化钛与中性路易斯碱的加合物.第I部分.结构和稳定性:振动和多核NMR研究],Inorganica Chimica Acta[无机化学学报],134(1987),第67-78页;
授予Gordon的美国专利号5,656,338披露了通过以下方式化学气相沉积钛金属:在溴中形成四溴化钛的液体溶液,使溶液蒸发并使蒸气混合物与基板附近的等离子体接触;
授予等人的美国专利号6,706,115披露了用于借助于原子层沉积工艺来生产具有低电阻率的金属氮化物薄层的方法,这些工艺包括金属和氮源材料的交替表面反应;并且
授予Dussarrat等人的美国专利申请公开号2010/0104755披露了用于通过以下方式来生产含金属膜的方法:将不含金属-C或金属-N-C s-键的金属源、硅前体、氮前体、碳源和还原剂引入到CVD室中并且使其在基板的表面处反应以在单个步骤中生产含金属膜。
还已知多种混合钛卤代烷基氨基衍生物的合成和表征。参见例如,Von Hans Bürger等人,Dialkylamino-titanbromide[二烷基氨基-溴化钛],Zeitschrift füranorganishce und allgemeine Chemie,Band 370,1969,第275-282页;
Von Hans Bürger等人,Dialkylamido-titaniodide[二烷基酰胺基-碘化钛],Zeitschrift für anorganishce und allgemeine Chemie,Band 381,1971,第198-204页;
授予Roder等人的美国专利申请公开号2005/0042888披露了具有式(R1R2N)a-bMXb的金属有机前体,其中M是选自Ta、Ti、W、Nb、Si、Al、以及B的组的前体金属中心;a是等于M的化合价的数;1≤b≤(a-1);R1和R2可以彼此相同或不同并且各自独立地选自H、C1-C4烷基、C3-C6环烷基、以及Ro 3Si的组,其中每个Ro可以是相同或不同的并且每个Ro独立地选自H和C1-C4烷基;并且X选自氯、氟、溴和碘的组。
授予Dussarrat的法国专利申请公开号2871292披露了在改进硅源的反应性的温度和压力条件下注入具有式MX4或MX5的金属前体(其中M优选地是Hf)、氧化剂和四(乙基氨基)硅烷。
依然需要在高温下在蒸气相沉积期间能够提供受控的膜厚度的热稳定的、挥发性的且优选液体含Ti前体。
发明内容
披露了形成含Ti膜的组合物,这些组合物包含具有下式之一的含卤化Ti的前体:
TiXb:Ac
其中b=3或4;c=1-3;X=Br或I;A=SR2、SeR2、TeR2、或PR3,并且每个R独立地是H或C1-C10烃。
还披露了形成含Ti膜的组合物,这些组合物包含具有下式之一的含卤化Ti的前体:
Ti(NR’2)y(X)z
Ti(-N-R”-N-)y(X)z
其中y=1-3;z=1-3;y+z=4;X=Br或I;每个R’独立地是C1-C5烃或SiR’”3,其中每个R’”独立地是H或C1-C5烃;并且R”=C1-C5烃。
所披露的形成含Ti膜的组合物中的任一种可进一步包括以下方面中的一项或多项:
·每个R独立地是C1-C5烃;
·每个R是不同的C1-C5烃;
·A=SRR’、SeRR’、TeRR’、或PRR’R”,其中R、R’、以及R”各自=H或C1-C10烃,前提是R不等于R’或R”;
·当c=1或2时,b=4;
·当c=3时,b=3;
·该含卤化Ti的前体具有低于类似TiX4化合物的熔点的熔点;
·X是Br;
·该含卤化Ti的前体在标准压力下具有在大约-50℃与大约39℃之间的熔点;
·X是I;
·该含卤化Ti的前体在标准压力下具有在大约-50℃与大约150℃之间的熔点;
·该含卤化Ti的前体在标准压力下具有在大约-50℃与大约30℃之间的熔点;
·该含卤化Ti的前体在标准温度和压力下是液体;
·A是SR2,其中每个R独立地是C1-C5烃;
·A是SRR’,其中R和R’独立地是C1-C5烃,前提是R不等于R’;
·A是SPr2
·A是SBu2
·A是SEtPr;
·A是四氢噻吩;
·A=SR2,c=1,并且每个R独立地是C3-C5烃;
·A=SR2,c=2,并且每个R独立地是C1-C2烃;
·A=四氢噻吩并且c=2;
·该含卤化Ti的前体是TiBr4:SEt(nPr);
·该含卤化Ti的前体是TiBr4:S(nPr)2
·该含卤化Ti的前体是TiBr4:S(iPr)2
·该含卤化Ti的前体是TiBr4:SBu2
·该含卤化Ti的前体是TiBr4:S(nBu)2
·该含卤化Ti的前体是TiBr4:S(tBu)2
·该含卤化Ti的前体是TiBr4:S(iBu)2
·该含卤化Ti的前体是TiBr4:S(sBu)2
·该含卤化Ti的前体是TiBr4:(SEt2)2
·该含卤化Ti的前体是TiBr4:(SMe2)2
·该含卤化Ti的前体是TiBr4:(SMeEt)2
·该含卤化Ti的前体是TiBr4:(四氢噻吩)2
·该含卤化Ti的前体是TiI4:SEt(nPr);
·该含卤化Ti的前体是TiI4:S(nPr)2
·该含卤化Ti的前体是TiI4:S(iPr)2
·该含卤化Ti的前体是TiI4:SBu2
·该含卤化Ti的前体是TiI4:S(nBu)2
·该含卤化Ti的前体是TiI4:S(tBu)2
·该含卤化Ti的前体是TiI4:S(iBu)2
·该含卤化Ti的前体是TiI4:S(sBu)2
·该含卤化Ti的前体是TiI4:(SEt2)2
·该含卤化Ti的前体是TiI4:(SMe2)2
·该含卤化Ti的前体是TiI4:(SMeEt)2
·该含卤化Ti的前体是TiI4:(四氢噻吩)2
·A是SeR2,其中每个R独立地是C1-C5烃;
·A是SeR2,其中每个R是不同的C1-C5烃;
·A是SePr2
·A是SeBu2
·A是SeEtPr;
·A是四氢硒酚;
·A=SeR2,c=1,并且每个R独立地是C3-C5烃;
·A=SeR2,c=2,并且每个R独立地是C1-C2烃;
·A=四氢硒酚并且c=2;
·该含卤化Ti的前体是TiBr4:SeEtPr;
·该含卤化Ti的前体是TiBr4:SePr2
·该含卤化Ti的前体是TiBr4:SeBu2
·该含卤化Ti的前体是TiBr4:(SeMe2)2
·该含卤化Ti的前体是TiBr4:(SeEt2)2
·该含卤化Ti的前体是TiBr4:(SeMeEt)2
·该含卤化Ti的前体是TiBr4:(四氢硒酚)2
·该含卤化Ti的前体是TiI4:SeEtPr;
·该含卤化Ti的前体是TiI4:SePr2
·该含卤化Ti的前体是TiI4:SeBu2
·该含卤化Ti的前体是TiI4:(SeMe2)2
·该含卤化Ti的前体是TiI4:(SeEt2)2
·该含卤化Ti的前体是TiI4:(SeMeEt)2
·该含卤化Ti的前体是TiI4:(四氢硒酚)2
·L是TeR2,其中每个R独立地是C1-C5烃;
·L是TeR2,其中每个R是不同的C1-C5烃;
·A是TePr2
·A是TeBu2
·A是EtPr;
·A是四氢碲吩;
·A=TeR2,c=1,其中每个R独立地是C3-C5烃;
·A=TeR2,c=2,其中每个R独立地是C1-2烃;
·A=四氢碲吩并且c=2;
·该含卤化Ti的前体是TiBr4:TeEtPr;
·该含卤化Ti的前体是TiBr4:TePr2
·该含卤化Ti的前体是TiBr4:TeBu2
·该含卤化Ti的前体是TiBr4:(TeMe2)2
·该含卤化Ti的前体是TiBr4:(TeEt2)2
·该含卤化Ti的前体是TiBr4:(TeMeEt)2
·该含卤化Ti的前体是TiBr4:(四氢碲吩)2
·该含卤化Ti的前体是TiI4:TeEtPr;
·该含卤化Ti的前体是TiI4:TePr2
·该含卤化Ti的前体是TiI4:TeBu2
·该含卤化Ti的前体是TiI4:(TeMe2)2
·该含卤化Ti的前体是TiI4:(TeEt2)2
·该含卤化Ti的前体是TiI4:(TeMeEt)2
·该含卤化Ti的前体是TiI4:(四氢碲吩)2
·A是PR3,其中每个R独立地是H或C1-C5烃;
·A是PRR’R”,其中R、R’、以及R”是H或C1-C5烃,前提是R不等于R’或R”;
·该含卤化Ti的前体是TiBr4:PR3,其中每个R独立地是H或C3-C10烃;
·该含卤化Ti的前体是TiBr4:PH3
·该含卤化Ti的前体是TiBr4:(PR3)2,其中每个R独立地是H或C1-2烃;
·该含卤化Ti的前体是TiBr4:(PH3)2
·该含卤化Ti的前体是TiBr3:(PR3)3,其中每个R独立地是H或C1-2烃;
·该含卤化Ti的前体是TiBr3:(PH3)3
·该含卤化Ti的前体是TiBr4:(R2P-(CH2)n-PR2),其中每个R独立地是C1-5烃并且n=1-4;
·该含卤化Ti的前体是TiBr4:(Me2P-(CH2)n-PMe2);
·该含卤化Ti的前体是TiBr4:(EtMeP-(CH2)n-PMeEt);
·该含卤化Ti的前体是TiBr4:(Et2P-(CH2)n-PEt2);
·该含卤化Ti的前体是TiBr4:(iPr2P-(CH2)n-PiPr2);
·该含卤化Ti的前体是TiBr4:(HiPrP-(CH2)n-PHiPr);
·该含卤化Ti的前体是TiBr4:(tBu2P-(CH2)n-PtBu2);
·该含卤化Ti的前体是TiBr4:(tBuHP-(CH2)n-PHtBu);
·该含卤化Ti的前体是TiBr4:(tAmHP-(CH2)n-PHtAm);
·该含卤化Ti的前体是TiBr4:(Me2P-(CH2)-PMe2);
·该含卤化Ti的前体是TiBr4:(EtMeP-(CH2)-PMeEt);
·该含卤化Ti的前体是TiBr4:(Et2P-(CH2)-PEt2);
·该含卤化Ti的前体是TiBr4:(iPr2P-(CH2)-PiPr2);
·该含卤化Ti的前体是TiBr4:(HiPrP-(CH2)-PHiPr);
·该含卤化Ti的前体是TiBr4:(tBu2P-(CH2)-PtBu2);
·该含卤化Ti的前体是TiBr4:(tBuHP-(CH2)-PHtBu);
·该含卤化Ti的前体是TiBr4:(tAmHP-(CH2)-PHtAm);
·该含卤化Ti的前体是TiBr4:(Me2P-(CH2)2-PMe2);
·该含卤化Ti的前体是TiBr4:(EtMeP-(CH2)2-PMeEt);
·该含卤化Ti的前体是TiBr4:(Et2P-(CH2)2-PEt2);
·该含卤化Ti的前体是TiBr4:(iPr2P-(CH2)2-PiPr2);
·该含卤化Ti的前体是TiBr4:(HiPrP-(CH2)2-PHiPr);
·该含卤化Ti的前体是TiBr4:(tBu2P-(CH2)2-PtBu2);
·该含卤化Ti的前体是TiBr4:(tBuHP-(CH2)2-PHtBu);
·该含卤化Ti的前体是TiBr4:(tAmHP-(CH2)2-PHtAm);
·该含卤化Ti的前体是TiI4:(Me2P-(CH2)n-PMe2);
·该含卤化Ti的前体是TiI4:(EtMeP-(CH2)n-PMeEt);
·该含卤化Ti的前体是TiI4:(Et2P-(CH2)n-PEt2);
·该含卤化Ti的前体是TiI4:(iPr2P-(CH2)n-PiPr2);
·该含卤化Ti的前体是TiI4:(HiPrP-(CH2)n-PHiPr);
·该含卤化Ti的前体是TiI4:(tBu2P-(CH2)n-PtBu2);
·该含卤化Ti的前体是TiI4:(tBuHP-(CH2)n-PHtBu);
·该含卤化Ti的前体是TiI4:(tAmHP-(CH2)n-PHtAm);
·该含卤化Ti的前体是TiI4:(Me2P-(CH2)-PMe2);
·该含卤化Ti的前体是TiI4:(EtMeP-(CH2)-PMeEt);
·该含卤化Ti的前体是TiI4:(Et2P-(CH2)-PEt2);
·该含卤化Ti的前体是TiI4:(iPr2P-(CH2)-PiPr2);
·该含卤化Ti的前体是TiI4:(HiPrP-(CH2)-PHiPr);
·该含卤化Ti的前体是TiI4:(tBu2P-(CH2)-PtBu2);
·该含卤化Ti的前体是TiI4:(tBuHP-(CH2)-PHtBu);
·该含卤化Ti的前体是TiI4:(tAmHP-(CH2)-PHtAm);
·该含卤化Ti的前体是TiI4:(Me2P-(CH2)2-PMe2);
·该含卤化Ti的前体是TiI4:(EtMeP-(CH2)2-PMeEt);
·该含卤化Ti的前体是TiI4:(Et2P-(CH2)2-PEt2);
·该含卤化Ti的前体是TiI4:(iPr2P-(CH2)2-PiPr2);
·该含卤化Ti的前体是TiI4:(HiPrP-(CH2)2-PHiPr);
·该含卤化Ti的前体是TiI4:(tBu2P-(CH2)2-PtBu2);
·该含卤化Ti的前体是TiI4:(tBuHP-(CH2)2-PHtBu);
·该含卤化Ti的前体是TiI4:(tAmHP-(CH2)2-PHtAm);
·该含卤化Ti的前体是TiBr3:(R2P-(CH2)n-PR2),其中每个R独立地是C1-5烃并且n=1-4;
·该含卤化Ti的前体是TiBr3:(Me2P-(CH2)n-PMe2);
·该含卤化Ti的前体是TiBr3:(EtMeP-(CH2)n-PMeEt);
·该含卤化Ti的前体是TiBr3:(Et2P-(CH2)n-PEt2);
·该含卤化Ti的前体是TiBr3:(iPr2P-(CH2)n-PiPr2);
·该含卤化Ti的前体是TiBr3:(HiPrP-(CH2)n-PHiPr);
·该含卤化Ti的前体是TiBr3:(tBu2P-(CH2)n-PtBu2);
·该含卤化Ti的前体是TiBr3:(tBuHP-(CH2)n-PHtBu);
·该含卤化Ti的前体是TiBr3:(tAmHP-(CH2)n-PHtAm);
·该含卤化Ti的前体是TiBr3:(Me2P-(CH2)-PMe2);
·该含卤化Ti的前体是TiBr3:(EtMeP-(CH2)-PMeEt);
·该含卤化Ti的前体是TiBr3:(Et2P-(CH2)-PEt2);
·该含卤化Ti的前体是TiBr3:(iPr2P-(CH2)-PiPr2);
·该含卤化Ti的前体是TiBr3:(HiPrP-(CH2)-PHiPr);
·该含卤化Ti的前体是TiBr3:(tBu2P-(CH2)-PtBu2);
·该含卤化Ti的前体是TiBr3:(tBuHP-(CH2)-PHtBu);
·该含卤化Ti的前体是TiBr3:(tAmHP-(CH2)-PHtAm);
·该含卤化Ti的前体是TiBr3:(Me2P-(CH2)2-PMe2);
·该含卤化Ti的前体是TiBr3:(EtMeP-(CH2)2-PMeEt);
·该含卤化Ti的前体是TiBr3:(Et2P-(CH2)2-PEt2);
·该含卤化Ti的前体是TiBr3:(iPr2P-(CH2)2-PiPr2);
·该含卤化Ti的前体是TiBr3:(HiPrP-(CH2)2-PHiPr);
·该含卤化Ti的前体是TiBr3:(tBu2P-(CH2)2-PtBu2);
·该含卤化Ti的前体是TiBr3:(tBuHP-(CH2)2-PHtBu);
·该含卤化Ti的前体是TiBr3:(tAmHP-(CH2)2-PHtAm);
·该含卤化Ti的前体是TiI3:(Me2P-(CH2)n-PMe2);
·该含卤化Ti的前体是TiI3:(EtMeP-(CH2)n-PMeEt);
·该含卤化Ti的前体是TiI3:(Et2P-(CH2)n-PEt2);
·该含卤化Ti的前体是TiI3:(iPr2P-(CH2)n-PiPr2);
·该含卤化Ti的前体是TiI3:(HiPrP-(CH2)n-PHiPr);
·该含卤化Ti的前体是TiI3:(tBu2P-(CH2)n-PtBu2);
·该含卤化Ti的前体是TiI3:(tBuHP-(CH2)n-PHtBu);
·该含卤化Ti的前体是TiI3:(tAmHP-(CH2)n-PHtAm);
·该含卤化Ti的前体是TiI3:(Me2P-(CH2)-PMe2);
·该含卤化Ti的前体是TiI3:(EtMeP-(CH2)-PMeEt);
·该含卤化Ti的前体是TiI3:(Et2P-(CH2)-PEt2);
·该含卤化Ti的前体是TiI3:(iPr2P-(CH2)-PiPr2);
·该含卤化Ti的前体是TiI3:(HiPrP-(CH2)-PHiPr);
·该含卤化Ti的前体是TiI3:(tBu2P-(CH2)-PtBu2);
·该含卤化Ti的前体是TiI3:(tBuHP-(CH2)-PHtBu);
·该含卤化Ti的前体是TiI3:(tAmHP-(CH2)-PHtAm);
·该含卤化Ti的前体是TiI3:(Me2P-(CH2)2-PMe2);
·该含卤化Ti的前体是TiI3:(EtMeP-(CH2)2-PMeEt);
·该含卤化Ti的前体是TiI3:(Et2P-(CH2)2-PEt2);
·该含卤化Ti的前体是TiI3:(iPr2P-(CH2)2-PiPr2);
·该含卤化Ti的前体是TiI3:(HiPrP-(CH2)2-PHiPr);
·该含卤化Ti的前体是TiI3:(tBu2P-(CH2)2-PtBu2);
·该含卤化Ti的前体是TiI3:(tBuHP-(CH2)2-PHtBu);
·该含卤化Ti的前体是TiI3:(tAmHP-(CH2)2-PHtAm);
·A是R(=O)Cl,其中R是C2-C4烃;
·该含卤化Ti的前体是TiBr4:R(=O)Cl,其中R是C2-C10烃;
·该含卤化Ti的前体是TiBr4:(Me-C(=O)Cl);
·该含卤化Ti的前体是TiBr4:(Ph-C(=O)Cl);
·该含卤化Ti的前体是TiI4:(Me-C(=O)Cl);
·A是RNO2,其中R是C1-C5烃;
·该含卤化Ti的前体是TiBr4:(MeNO2);
·该含卤化Ti的前体是TiI4:(MeNO2);
·该含卤化Ti的前体是TiBr4:(EtNO2);
·该含卤化Ti的前体是TiBr4:(PrNO2);
·该含卤化Ti的前体是TiBr4:(PhNO2);
·A是R≡N,其中R是C2-C6烃;
·该含卤化Ti的前体是TiBr4:(Me-C≡N)2
·该含卤化Ti的前体是TiBr4:(Et-C≡N)2
·该含卤化Ti的前体是TiBr4:(Pr-C≡N)2
·该含卤化Ti的前体是TiBr4:(Bu-C≡N)2
·该含卤化Ti的前体是TiBr4:(Ph-C≡N)2
·A是吡啶;
·A是哌啶;
·该含卤化Ti的前体是TiBr4:吡啶;
·该含卤化Ti的前体是TiBr4:哌啶;
·该含卤化Ti的前体是TiBr4:2,2,6,6-四甲基哌啶;
·该含卤化Ti的前体是TiX3(NR2);
·该含卤化Ti的前体是TiBr3(NR2);
·该含卤化Ti的前体是TiBr3(NEt2);
·该含卤化Ti的前体是TiBr3(吡咯烷);
·该含卤化Ti的前体是TiBr3(吡啶);
·该含卤化Ti的前体是TiBr3(哌啶);
·该含卤化Ti的前体是TiI3(NR2);
·该含卤化Ti的前体是TiX2(NR2)2
·该含卤化Ti的前体是TiBr2(NR2)2
·该含卤化Ti的前体是TiBr2(NMe2)2
·该含卤化Ti的前体是TiI2(NR2)2
·该含卤化Ti的前体是TiX(NR2)3
·该含卤化Ti的前体是TiBr(NR2)3
·该含卤化Ti的前体是TiI(NR2)3
·该含卤化Ti的前体是TiX3(NR,R’-fmd),其中R和R’独立地是C1-C5烃;
·该含卤化Ti的前体是TiBr3(NiPr-fmd);
·该含卤化Ti的前体是TiI3(NiPr-fmd);
·该含卤化Ti的前体是TiX3(NR,R’R”-amd),其中R、R’、以及R”独立地是C1-C5烃;
·该含卤化Ti的前体是TiBr3(NiPr Me-amd);
·该含卤化Ti的前体是TiI3(NiPr Me-amd);
·该含卤化Ti的前体是TiBr2(-N(R)-C2H4-N(R)-),其中每个R独立地是C1-C5烃;
·该含卤化Ti的前体是TiIBr2(-N(R)-C2H4-N(R)-),其中每个R独立地是C1-C5烃;
·这些形成含Ti膜的组合物包含在大约0.1摩尔%与大约50摩尔%之间的这些含卤化钛的前体;
·该形成含Ti膜的组合物具有在大约1与大约50cps之间的粘度;
·该形成含Ti膜的组合物具有在大约1与大约20cps之间的粘度;
·该形成含Ti膜的组合物包含在大约95%w/w至大约100%w/w之间的这些含卤化钛的前体;
·该形成含Ti膜的组合物包含在大约99%w/w至大约100%w/w之间的这些含卤化钛的前体;
·该形成含Ti膜的组合物进一步包含溶剂;
·该形成含Ti膜的组合物包含在大约0%w/w与10%w/w之间的烃溶剂或游离加合物;
·该形成含Ti膜的组合物包含在大约0%w/w与5%w/w之间的烃溶剂或游离加合物;
·该形成含Ti膜的组合物包含在大约0%w/w与5ppm之间的H2O;
·该形成含Ti膜的组合物包含在大约0%w/w与0.2%w/w之间的溴氧化物(TiBr2(=O))、羟基溴化物(TiBr3(OH))、以及氧化物(TiO2)的混合物;
·该形成含Ti膜的组合物包含在大约0%w/w与0.1%w/w之间的溴氧化物(TiBr2(=O))、羟基溴化物(TiBr3(OH))、以及氧化物(TiO2)的混合物;
·该形成含Ti膜的组合物包含在大约0%w/w与0.2%w/w之间的碘氧化物(TiI2(=O))、羟基碘化物(TiI3(OH))、以及氧化物(TiO2)的混合物;
·该形成含Ti膜的组合物包含在大约0%w/w与0.1%w/w之间的碘氧化物(TiI2(=O))、羟基碘化物(TiI3(OH))、以及氧化物(TiO2)的混合物;
·该形成含Ti膜的组合物包含在大约0%w/w与0.1%w/w之间的溴化氢(HBr);
·该形成含Ti膜的组合物包含在大约0%w/w与0.1%w/w之间的碘化氢(HI);
·该形成含Ti膜的组合物包含在大约0%w/w与0.2%w/w之间的TiX4:SR’2,其中R’≠R;
·该溶剂选自下组,该组由以下各项组成:C1-C16烃(无论是饱和的还是不饱和的)、酮、醚、乙二醇二甲醚、酯、四氢呋喃(THF)、草酸二甲酯(DMO)、及其组合;
·该溶剂是C1-C16烃;
·该溶剂是C1-C16卤化烃;
·该溶剂是四氢呋喃(THF);
·该溶剂是DMO;
·该溶剂是醚;
·该溶剂是乙二醇二甲醚;或
·在含卤化Ti的前体与溶剂的沸点之间的差小于100℃。
还披露了形成含Ti膜的组合物递送装置,这些递送装置包括罐,该罐具有入口导管和出口导管并且含有以上披露的任一种形成含Ti膜的组合物。所披露的递送装置可以包括以下方面中的一项或多项:
·该形成含Ti膜的组合物具有小于10ppmw的总金属污染物浓度;
·该入口导管的一端位于该形成含Ti膜的组合物的表面上方且该出口导管的一端位于该形成含Ti膜的组合物的表面上方;
·该入口导管的一端位于该形成含Ti膜的组合物的表面上方且该出口导管的一端位于该形成含Ti膜的组合物的表面下方;
·该入口导管的一端位于该形成含Ti膜的组合物的表面下方且该出口导管的一端位于该形成含Ti膜的组合物的表面上方;或
·该含卤化钛的前体是TiBr4:S(nPr)2
还披露了用于在一个或多个基板上沉积含Ti膜的工艺。将以上披露的至少一种形成含Ti膜的组合物引入到其中设置有至少一个基板的反应器内。将该含卤化钛的前体的至少一部分沉积到该一个或多个基板上以形成该含Ti膜。所披露的工艺可以进一步包括以下方面中的一项或多项:
·将至少一种反应物引入到该反应器中;
·将该反应物进行等离子体处理;
·将该反应物进行远程等离子体处理;
·该反应物不进行等离子体处理;
·该反应物选自下组,该组由以下各项组成:H2、NH3、肼(诸如N2H4、MeHNNH2、MeHNNHMe)、有机胺(诸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、环胺像吡咯烷或嘧啶)、腈(诸如乙腈)、二胺(诸如乙二胺、二甲基亚乙基二胺、四甲基亚乙基二胺)、氨基醇(诸如乙醇胺[HO-CH2-CH2-NH2]、二乙醇胺[HN(C2H5OH)2]或三乙醇胺[N(C2H5OH)3])、吡唑啉、以及吡啶;
·该反应物选自下组,该组由以下各项组成:(SiH3)3N;N(SiHxR3-x)3,其中每个x独立地是1-3并且每个R独立地是烷基或NR’2,其中每个R’独立地是H或C1-C4烷基(诸如(H3Si)2N(SiH2NEt2)、(H3Si)2N(SiH2NiPr2)、或(H3Si)2N(SiH2iPr));R3Si-NH-SiR3,其中每个R独立地是H、Cl、Br、I、或C1-C4烷基(诸如H3Si-NH-SiH3、H2ISi-NH-SiH3、或Me3Si-NH-SiMe3);氢化硅烷(诸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12);氯硅烷和氯聚硅烷(诸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8);溴硅烷和溴聚硅烷(诸如SiHBr3、SiH2Br2、SiH3Br、Si2Br6、Si2HBr5、Si3Br8);碘硅烷和碘聚硅烷(诸如SiHI3、SiH2I2、SiH3I、Si2I6、Si2HI5、Si3I8);烷基硅烷(诸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3);以及氨基硅烷(诸如三(二甲基氨基)硅烷、二(二乙基氨基)硅烷、二-异丙基氨基硅烷以及其他单氨基硅烷、二氨基硅烷或三氨基硅烷);其自由基;或其混合物
·该反应物选自下组,该组由以下各项组成:NH3、N(SiH3)3、氨基硅烷、以及其混合物;
·该反应物选自三烷基铝、二烷基铝卤化物、烷基铝卤化物、铝的烷基氨基和烷氧基衍生物、铝烷、胺加合的铝烷、以及其混合物;
·该反应物是NH3
·该反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、N2O、NO2、醇、二醇(诸如乙二醇)、其等离子体活化的氧自由基、以及其混合物;
·该反应物是H2O;
·该反应物是O2
·该反应物是等离子体处理的O2
·该反应物是O3
·该反应物选自下组,该组由以下各项组成:NH3,肼和取代的肼,胺,诸如伯胺(甲胺、乙胺、异丙胺、叔丁胺)、仲胺(诸如二甲胺、二乙胺、乙基甲基胺、二-异丙胺、吡咯烷)、或叔胺(诸如三乙胺(TEA)、三甲胺(TMA));
·该反应物是NH3
·该反应物是肼或取代的肼;
·该反应物是伯胺,诸如甲胺、乙胺、异丙胺、叔丁胺;
·该反应物是仲胺,诸如二甲胺、二乙胺、乙基甲基胺、二-异丙胺、吡咯烷;
·该反应物是叔胺,诸如TEA、TMA;
·该反应物是含Si前体;
·该含Si前体选自下组,该组由以下各项组成:SiH4、Si2H6、Si4H8、三甲硅烷基胺(TSA)、以及取代的TSA(由烷基、二烷基胺、卤化物取代的);
·该含Si前体是TSA;
·将该形成含Ti膜的组合物和该反应物同时引入到反应器中;
·该反应器被配置用于化学气相沉积;
·该反应器被配置用于等离子体增强化学气相沉积;
·将该形成含Ti膜的组合物和该反应物顺序地引入到室中;
·该反应器被配置用于原子层沉积;
·该反应器被配置用于等离子体增强原子层沉积;
·该反应器被配置用于空间原子层沉积;
·从该含卤化Ti的前体中释放加合物A;
·该释放的加合物A形成封阻剂;
·将封阻剂引入到该反应器中;
·该封阻剂是自组装单层;
·该封阻剂是抑制剂;
·该含Ti膜是氧化钛(TinOm,其中n和m各自是在范围从1至6(包括端点)的整数);
·该含Ti膜是TiO2
·该含Ti膜是TiN;
·该含Ti膜是TiSiN;
·该含Ti膜是TiMiOx,其中i范围是从0至1;x范围是从1至6;并且M是来自周期表的任何元素;
·该含Ti膜是TiMiOx,其中i范围是从0至1;x范围是从1至6;并且M是Si、Al、或Ge;
·该含Ti膜是TiMiNy,其中i范围是从0至1;y范围是从0.5至6;并且M是来自周期表的任何元素;
·该含Ti膜是TiMiNy,其中i范围是从0至1;y范围是从0.5至6;并且M是Si、Al、或Ge;
·该含Ti膜是TiCN;
·该含Ti膜是TiAl;
·该含Ti膜是TiAlN;
·该含Ti膜是TiMiNyOx,其中i范围是从0至1;x和y范围是从1至6;并且M是来自周期表的任何元素;
·该含Ti膜是TiMiNyOx,其中i范围是从0至1;x和y范围是从1至6;并且M是Si、Al、或Ge;
·该含Ti膜具有范围是从大约0at%至5at%的C浓度;
·该含Ti膜具有范围是从大约0at%至40at%的O浓度;
·该含Ti膜具有范围是从大约0at%至2at%的S浓度;
·该含Ti膜具有范围是从大约0at%至2at%的Se浓度;
·该含Ti膜具有范围是从大约0at%至2at%的Te浓度;
·该含Ti膜具有范围是从大约0at%至2at%的P浓度;
·该含TiN膜形成电容器结构中的电极;
·该含TiN膜形成CMOS晶体管或闪速存储器中的金属栅极;
·该含TiN膜形成埋入式字线;
·该含Ti膜是CMOS晶体管或闪速存储器中的导电金属插塞与下面的掺杂硅层之间的硅化钛接触层;
·选择性地将该含Ti膜沉积到掺杂的硅层上但不沉积到导电金属插塞上;或
·该含Ti膜是选择性地沉积在钨层上的氮化钛层以形成埋入式字线。
符号和命名法
某些缩写、符号以及术语贯穿以下说明书和权利要求书使用,并且包括:
如在此所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如在此所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如在此所使用,当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。进一步地,应理解,除非另外确切地指明,否则当用于不同式中时,R基团的值彼此独立。
如在此所使用,术语“加合物”意指通过其方式为存在连接性但不损失原子而直接组合两个单独的分子实体形成的分子实体;术语“路易斯酸”意指作为电子对受体的分子实体;术语“路易斯碱”意指能够提供一对电子并因此与路易斯酸配位的分子实体;并且术语“路易斯加合物”意指在路易斯酸与路易斯碱之间形成的加合物。
如在此所使用,术语“烃基”是指含有碳和氢的官能团;术语“烷基”是指仅仅含有碳和氢原子的饱和官能团。烃基可以是饱和的或不饱和的。这两个术语中的任何一个是指直链的、支链的、或环状基团。直链烷基的实例包括但不限于甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环烷基的实例包括但不限于,环丙基、环戊基、环己基等。
如在此所使用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指丙基;缩写“nPr”是指“正”或直链丙基;缩写“iPr”是指异丙基;缩写“Bu”是指丁基;缩写“nBu”是指“正”或直链丁基;缩写“tBu”是指叔丁基,也称为1,1-二甲基乙基;缩写“sBu”是指仲丁基,也称为1-甲基丙基;缩写“iBu”是指异丁基,也称为2-甲基丙基;术语“amyl”是指戊基(amyl)或戊基(pentyl group)(即,C5烷基);术语“tAmyl”是指叔戊基,也称为1,1-二甲基丙基;术语“卤离子”是指卤素阴离子F-、Cl-、Br-、以及I-;并且缩写“TMS”是指三甲基甲硅烷基或–SiMe3
如在此所使用,缩写“NR,R’R”-amd”或NR R”-amd(当R=R’时)是指脒化物(amidinate)配体[R-N-C(R”)=N-R’],其中R、R’和R”是限定的烷基,诸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu;缩写“NR,R’-fmd”或NR-fmd(当R=R’时)是指脒醚配体[R-N-C(H)=N-R’],其中R和R’是限定的烷基,诸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu;缩写“NR,R’、NR”,R’”-gnd”或NR、NR”-gnd(当R=R’并且R”=R’”时)是指胍基物配体[R-N-C(NR”R’”)=NR’],其中R、R’、R”和R”’是限定的烷基,诸如Me、Et、nPr、iPr、nBu、iBi、sBu或tBu。虽然这里描述为在配体主链的C与N之间具有双键,但本领域普通技术人员将认识到脒化物、脒醚和胍基物配体不含有固定的双键。相反,一个电子在N-C-N链中离域。
本文中使用元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Ti是指钛,Br是指溴,C是指碳等)。附加地,第3族是指周期表的第3族(即Sc、Y、La或Ac)并且第5族是指周期表的第5族(即V、Nb或Ta)。
在此列举的任何及所有范围包括其端点(即,x=1至4或x范围从1至4,包括x=1、x=4及x=其间的任何数),不论是否使用术语“包括端点”。
如在此所使用,术语“选择性的”或“选择性地”意指在一种类型的基板上沉积膜而不在第二类型的基板上沉积膜或者在一种类型的基板上比在第二类型的基板上优选地更快生长膜。例如,基板可以含有由掺杂的二氧化硅包围的钨插塞或通道。所披露的形成含Ti膜的组合物可以在钨上沉积含Ti膜,但不在周围的二氧化硅上沉积,或反之亦然。可替代地,在相同的暴露周期期间,所披露的形成含Ti膜的组合物可以在一种类型的基板上比在另一种类型的基板上形成更厚的膜。较厚的膜可能是由于更快的生长速率或更短的诱导时间。作为结果,与第二基板相比,所披露的形成含Ti膜的组合物在一个基板上选择性地沉积含Ti膜。
请注意,所沉积的膜或层(诸如氧化钛或氮化钛)可以在整个说明书及权利要求书中在不提及其适当化学计量(即TiO2、Ti3N4)的情况下列举。这些层可以包括但不限于纯(Ti)层、碳化物(TioCp)层、氮化物(TikNl)层、氧化物(TinOm)层或其混合物,其中k、l、m、n、o和p范围从1至6(包括端点)。例如,氧化钛为TinOm,其中n范围从0.5至1.5并且m范围从1.5至3.5。更优选地,氧化钛层是TiO2。这些膜还可含有氢,典型地从0at%至15at%。然而,因为不是常规测量的,所以给出的任何膜组合物都忽略它们的H含量,除非另外明确地指明。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,其中:
图1为液体形成含Ti膜的组合物递送装置1的一个实施例的侧视图;
图2为形成含Ti膜的组合物递送装置1的第二实施例的侧视图;
图3是用于使固体形成含Ti膜的组合物升华的固体前体升华器100的示例性实施例;
图4是实例1中生产的TiBr4:S(nPr)2前体的1H NMR谱;
图5是阐明TiBr4:S(nPr)2在温度增加时的重量损失的百分比(TGA)或温度差(DTA)的热重分析/差热分析(TGA/DTA)曲线图;
图6是实例2中生产的TiBr4:SEtPr前体的1H NMR谱;
图7是阐明TiBr4:SEtPr在温度增加时的重量损失的百分比的TGA曲线图;
图8是示出了实例3的工艺的流程图;
图9是由图8的步骤1生产的NH2封端的基板的示意性侧视图;
图10是图8的步骤2开始时的基板的示意性侧视图;
图11是与基板的反应以及由图8的步骤2产生的反应副产物的示意性侧视图;
图12是由图8的步骤3生产的基板的示意性侧视图;
图13是在图8的步骤4期间基板的示意性侧视图;并且
图14是示出使用TiBr4:S(nPr)2前体的每个ALD循环的氮化钛膜生长速率和所得氮化钛膜厚度的曲线图。
具体实施方式
披露了形成含Ti膜的组合物。这些形成含Ti膜的组合物包含具有下式之一的含卤化Ti的前体:
TiXb:Ac
Ti(NR2)y(X)z
Ti(-N-R”-N-)y(X)z
其中当c=3时,b=3;当c=1或2时,b=4;y=1-3;z=1-3;y+z=4;X=Br或I;A=SR2、SeR2、TeR2、或PR3;每个R独立地是H、C1-C5烃或SiR’3,其中每个R’独立地是H或C1-C5烃;并且R”=C1-C5烃。优选地,b=4并且c=1或2。然而,在某些实施例中,八面体TiX3:A3是最稳定的实施例。
具有式TiX4:Ac(其中c=1或2并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:SR2、TiX4:(SR2)2、TiX4:SeR2、TiX4:(SeR2)2、TiX4:TeR2、或TiX4:(TeR2)2,其中每个R独立地是C1-C5烃。当使用不同的R时(例如SEtPr),该含卤化Ti的前体可以是液体。不同的R基团可以降低分子间力,导致比具有相同R基团的分子更低的熔点和粘度(即,SEtPr可以具有比SEt2和/或SPr2更低的熔点和粘度)。两个R基团也可以连接形成环状结构。当c=2时,由于位阻,每个R优选地是较小的烃配体。例如,当c=2时,每个R可以独立地为C1-2烃。相比之下,当c=1时,前体享有较小的位阻并且每个R可独立地为C3-C5烃。
当X=Br并且A=SR2时,示例性TiX4:Ac前体包括TiBr4:SEtPr、TiBr4:SPr2、TiBr4:S(nPr)2、TiBr4:S(iPr)2、TiBr4:SBu2、TiBr4:S(nBu)2、TiBr4:S(tBu)2、TiBr4:S(iBu)2、TiBr4:S(sBu)2、TiBr4:(SMe2)2、TiBr4:(SEt2)2、TiBr4:(SMeEt)2、或TiBr4:(四氢噻吩)2
TiBr4:S(iBu)2、TiBr4:S(sBu)2、TiBr4:(SMe2)2、TiBr4:(SEt2)2、TiBr4:(SMeEt)2、或TiBr4:(四氢噻吩)2
当X=I并且A=SR2时,示例性TiX4:Ac前体包括TiI4:SEtPr、TiI4:S(nPr)2、TiI4:S(iPr)2、TiI4:SBu2、TiI4:S(nBu)2、TiI4:S(tBu)2、TiI4:S(iBu)2、TiI4:S(sBu)2、TiI4:(SEt2)2、TiI4:(SMe2)2、TiI4:(SMeEt)2、或TiI4:(四氢噻吩)2
示例性TiX4:(SeR2)c前体包括TiBr4:SeMePr、TiBr4:SePr2、TiBr4:SeBu2、TiBr4:(SeMe2)2、TiBr4:(SeEt2)2、TiBr4:(SeMeEt)2、或TiBr4:(四氢硒酚)2
示例性TiX4:(TeR2)c前体包括TiBr4:TeMePr、TiBr4:TePr2、TiBr4:TeBu2、TiBr4:(TeMe2)2、TiBr4:(TeEt2)2、TiBr4:(TeMeEt)2、或TiBr4:(四氢碲吩)2
这些前体可以通过在任何溶剂中使卤化Ti与过量配体直接反应来制备。参见例如,Fowles等人,Journal of the less common metals[稀有金属杂志],8,1965,第47-50页。卤化物起始材料是可商购的。SR2、SeR2和TeR2起始材料可以是可商购的和/或通过文献中已知的方法合成。在下面的实例中提供了包含进一步细节的示例性合成方法。
具有式TiXb:Ac(其中b=3或4,c=1-3,并且X=Br或I)的示例性含卤化Ti的前体包括TiXb:(PR3)c,其中每个R独立地是H或C1-C5烃。A可以是PRR’R”,其中R不等于R’和R”。相邻的R基团也可以连接形成环状结构。当c=2时,由于位阻,每个R优选地是较小的烃配体。例如,当c=2时,每个R可以独立地为H或C1-2烃。相比之下,当c=1时,前体享有较小的位阻并且每个R可独立地为C3-C10烃。示例性TiXb:(PR3)c前体包括TiBr4:PH3、TiBr4:(PH3)2、或TiBr3:(PH3)3。这些前体可以通过使卤化Ti与过量PR3直接反应来制备。参见例如,R.Zeitschrift fuer Anorganische und Allgemeine Chemie,1930,190,第241-256页。
另一种示例性含卤化Ti的前体具有式TiX4:(R2P-(CH2)n-PR2)或TiX3:(R2P-(CH2)n-PR2),其中每个R独立地是C1-5烃并且n=1-4。这些前体可以通过使卤化Ti与过量R2P-CH2-PR2直接反应来合成。参见例如,Fowles等人,Journal of the less common metals[稀有金属杂志],8,1965,第47-50页。本领域普通技术人员将认识到R2P-CH2-PR2配体可以将Ti(IV)还原成Ti(III)。作为结果,这些形成含Ti膜的组合物可包括TiX4:(R2P-(CH2)n-PR2)和TiX3:(R2P-(CH2)n-PR2)前体两者的组合。
当X=Br时,示例性TiX4:(R2P-(CH2)n-PR2)前体包括TiBr4:(Me2P-(CH2)n-PMe2)、TiBr4:(EtMeP-(CH2)n-PMeEt)、TiBr4:(Et2P-(CH2)n-PEt2)、TiBr4:(iPr2P-(CH2)n-PiPr2)、TiBr4:(HiPrP-(CH2)n-PHiPr)、TiBr4:(tBu2P-(CH2)n-PtBu2)、TiBr4:(tBuHP-(CH2)n-PHtBu)、TiBr4:(tAmHP-(CH2)n-PHtAm)、TiBr4:(Me2P-(CH2)-PMe2)、TiBr4:(EtMeP-(CH2)-PMeEt)、TiBr4:(Et2P-(CH2)-PEt2)、TiBr4:(iPr2P-(CH2)-PiPr2)、TiBr4:(HiPrP-(CH2)-PHiPr)、TiBr4:(tBu2P-(CH2)-PtBu2)、TiBr4:(tBuHP-(CH2)-PHtBu)、TiBr4:(tAmHP-(CH2)-PHtAm)、TiBr4:(Me2P-(CH2)2-PMe2)、TiBr4:(EtMeP-(CH2)2-PMeEt)、TiBr4:(Et2P-(CH2)2-PEt2)、TiBr4:(iPr2P-(CH2)2-PiPr2)、TiBr4:(HiPrP-(CH2)2-PHiPr)、TiBr4:(tBu2P-(CH2)2-PtBu2)、TiBr4:(tBuHP-(CH2)2-PHtBu)、或TiBr4:(tAmHP-(CH2)2-PHtAm)。
示例性TiX3:(R2P-(CH2)n-PR2)前体包括TiBr3:(Me2P-(CH2)n-PMe2)、TiBr3:(EtMeP-(CH2)n-PMeEt)、TiBr3:(Et2P-(CH2)n-PEt2)、TiBr3:(iPr2P-(CH2)n-PiPr2)、TiBr3:(HiPrP-(CH2)n-PHiPr)、TiBr3:(tBu2P-(CH2)n-PtBu2)、TiBr3:(tBuHP-(CH2)n-PHtBu)、TiBr3:(tAmHP-(CH2)n-PHtAm)、TiBr3:(Me2P-(CH2)-PMe2)、TiBr3:(EtMeP-(CH2)-PMeEt)、TiBr3:(Et2P-(CH2)-PEt2)、TiBr3:(iPr2P-(CH2)-PiPr2)、TiBr3:(HiPrP-(CH2)-PHiPr)、TiBr3:(tBu2P-(CH2)-PtBu2)、TiBr3:(tBuHP-(CH2)-PHtBu)、TiBr3:(tAmHP-(CH2)-PHtAm)、TiBr3:(Me2P-(CH2)2-PMe2)、TiBr3:(EtMeP-(CH2)2-PMeEt)、TiBr3:(Et2P-(CH2)2-PEt2)、TiBr3:(iPr2P-(CH2)2-PiPr2)、TiBr3:(HiPrP-(CH2)2-PHiPr)、TiBr3:(tBu2P-(CH2)2-PtBu2)、TiBr3:(tBuHP-(CH2)2-PHtBu)、或TiBr3:(tAmHP-(CH2)2-PHtAm)。
当X=I时,示例性TiX4:(R2P-(CH2)n-PR2)前体包括TiI4:(Me2P-(CH2)n-PMe2)、TiI4:(EtMeP-(CH2)n-PMeEt)、TiI4:(Et2P-(CH2)n-PEt2)、TiI4:(iPr2P-(CH2)n-PiPr2)、TiI4:(HiPrP-(CH2)n-PHiPr)、TiI4:(tBu2P-(CH2)n-PtBu2)、TiI4:(tBuHP-(CH2)n-PHtBu)、TiI4:(tAmHP-(CH2)n-PHtAm)、TiI4:(Me2P-(CH2)-PMe2)、TiI4:(EtMeP-(CH2)-PMeEt)、TiI4:(Et2P-(CH2)-PEt2)、TiI4:(iPr2P-(CH2)-PiPr2)、TiI4:(HiPrP-(CH2)-PHiPr)、TiI4:(tBu2P-(CH2)-PtBu2)、TiI4:(tBuHP-(CH2)-PHtBu)、TiI4:(tAmHP-(CH2)-PHtAm)、TiI4:(Me2P-(CH2)2-PMe2)、TiI4:(EtMeP-(CH2)2-PMeEt)、TiI4:(Et2P-(CH2)2-PEt2)、TiI4:(iPr2P-(CH2)2-PiPr2)、TiI4:(HiPrP-(CH2)2-PHiPr)、TiI4:(tBu2P-(CH2)2-PtBu2)、TiI4:(tBuHP-(CH2)2-PHtBu)、或TiI4:(tAmHP-(CH2)2-PHtAm)。
示例性TiX3:(R2P-(CH2)n-PR2)前体包括TiI3:(Me2P-(CH2)n-PMe2)、TiI3:(EtMeP-(CH2)n-PMeEt)、TiI3:(Et2P-(CH2)n-PEt2)、TiI3:(iPr2P-(CH2)n-PiPr2)、TiI3:(HiPrP-(CH2)n-PHiPr)、TiI3:(tBu2P-(CH2)n-PtBu2)、TiI3:(tBuHP-(CH2)n-PHtBu)、TiI3:(tAmHP-(CH2)n-PHtAm)、TiI3:(Me2P-(CH2)-PMe2)、TiI3:(EtMeP-(CH2)-PMeEt)、TiI3:(Et2P-(CH2)-PEt2)、TiI3:(iPr2P-(CH2)-PiPr2)、TiI3:(HiPrP-(CH2)-PHiPr)、TiI3:(tBu2P-(CH2)-PtBu2)、TiI3:(tBuHP-(CH2)-PHtBu)、TiI3:(tAmHP-(CH2)-PHtAm)、TiI3:(Me2P-(CH2)2-PMe2)、TiI3:(EtMeP-(CH2)2-PMeEt)、TiI3:(Et2P-(CH2)2-PEt2)、TiI3:(iPr2P-(CH2)2-PiPr2)、TiI3:(HiPrP-(CH2)2-PHiPr)、TiI3:(tBu2P-(CH2)2-PtBu2)、TiI3:(tBuHP-(CH2)2-PHtBu)、或TiI3:(tAmHP-(CH2)2-PHtAm)。
具有式TiX4:Ac(其中c=1并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:(R(=O)Cl),其中R是C2-C6烃。示例性TiX4:(R(=O)Cl)前体包括TiBr4:(Me-C(=O)Cl)、TiBr4:(Ph-C(=O)Cl)、或TiI4:(Me-C(=O)Cl)。这些前体可以通过没有溶剂或在CCl4、苯、甲苯中使卤化Ti与过量配体直接反应来制备。参见例如,Emeléus等人,Complexes ofTitanium and Zirconium Halides with Organic Ligands[钛和锆的卤化物与有机配体的络合物],J.Chemical Society(Resumed)[化学学会杂志(续)],1958,第4245-50页。
具有式TiX4:Ac(其中c=1并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:(RNO2),其中R是C1-C10烃。示例性TiX4:(RNO2)前体包括TiBr4:(MeNO2)、TiI4:(MeNO2)、TiBr4:(EtNO2)、TiBr4:(PrNO2)、或TiBr4:(PhNO2)。这些前体可以通过没有溶剂或在CCl4、苯、甲苯中使卤化Ti与过量配体直接反应来制备。参见例如,Emeléus等人,Complexes ofTitanium and Zirconium Halides with Organic Ligands[钛和锆的卤化物与有机配体的络合物],J.Chemical Society(Resumed)[化学学会杂志(续)],1958,第4245-50页。
具有式TiX4:Ac(其中c=2并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:(R≡N)2,其中R是C2-C10烃。示例性TiX4:(R≡N)c前体包括TiBr4:(Me-C≡N)2、TiBr4:(Et-C≡N)2、TiBr4:(Pr-C≡N)2、TiBr4:(Bu-C≡N)2、或TiBr4:(Ph-C≡N)2。这些前体可以通过没有溶剂或在CCl4、苯、甲苯中使卤化Ti与过量配体直接反应来制备。参见例如,Emeléus等人,Complexes of Titanium and Zirconium Halides with Organic Ligands[钛和锆的卤化物与有机配体的络合物],J.Chemical Society(Resumed)[化学学会杂志(续)],1958,第4245-50页。
具有式TiX4:Ac(其中c=1或2并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:(吡啶)c。示例性TiX4:(吡啶)c前体包括TiBr4:吡啶。这些前体可以通过没有溶剂或在CCl4、苯、甲苯中使卤化Ti与过量配体直接反应来制备。参见例如,Emeléus等人,Complexes ofTitanium and Zirconium Halides with Organic Ligands[钛和锆的卤化物与有机配体的络合物],J.Chemical Society(Resumed)[化学学会杂志(续)],1958,第4245-50页。
具有式TiX4:Ac(其中c=1或2并且X=Br或I)的示例性含卤化Ti的前体包括TiX4:(哌啶)c。示例性TiX4:(哌啶)c前体包括TiBr4:哌啶或TiBr4:2,2,6,6-四甲基哌啶。这些前体可以通过在苯或甲苯中使卤化Ti与过量配体直接反应来合成。参见例如Dermer等人,inZeitschrift fuer Anorganishce und Allgemeine Chemie(1934)221,第83-96页。
具有式Ti(NR2)y(X)z(其中y=1-3,z=1-3,y+z=4,X=Br或I,并且每个R独立地是H、C1-C10烃、或SiR’3,其中每个R’独立地是H或C1-C10烃)的示例性含卤化Ti的前体包括TiX3(NR2)、TiX2(NR2)2、或TiX(NR2)3。两个R基团可以连接形成环状结构。
示例性TiX3(NR2)前体包括TiBr3(NR2)和TiI3(NR2),诸如TiBr3(NEt2)、TiBr3(吡咯烷)、TiBr3(吡啶)、或TiBr3(哌啶)。这些前体可以通过TiX4与Me3Si(NR2)反应来合成,如通过Buerger等人,Zeitschrift fuer Anorganische und Allgemeine Chemie,370(5-6),1969,第275-282页描述的。
示例性TiX2(NR2)2前体包括TiBr2(NR2)2和TiI2(NR2)2,诸如TiBr2(NMe2)2。这些前体可以通过TiX4与Ti(NR2)4复分解来合成,如通过Buerger等人,Zeitschrift fuerAnorganische und Allgemeine Chemie,370(5-6),1969,第275-282页描述的。
示例性TiX(NR2)3前体包括TiBr(NR2)3和TiI(NR2)3。这些前体可以通过TiX4与Ti(NR2)4反应来合成,如通过Buerger等人,Zeitschrift fuer Anorganische undAllgemeine Chemie,370(5-6),1969,第275-282页描述的。
具有式Ti(-N-R”-N-)y(X)z(其中y=1-3,z=1-3,y+z=4,X=Br或I,并且R”是C1-C10烃)的示例性含卤化Ti的前体包括TiBr3(NiPr-fmd)、TiBr3(NiPr Me-amd)、或TiBr2(-N(R)-C2H4-N(R)-)2。这些前体可以通过使TiBr4或TiI4和脒化物配体的三甲基甲硅烷基衍生物(例如TiBr4和TMS-NiPr Me-amd)反应来合成。在D.Fenske等人,Z.Naturforsch.43b,1611-1615(1988);D.Liguori等人,Macromolecules[大分子]2003,36,5451-5458中描述了用于氯化钛络合物的示例性合成方法。
本领域普通技术人员将认识到用于实践所披露的合成方法的设备的来源。基于所希望的温度范围、压力范围、当地法规等可以要求这些部件的某一水平的定制化。示例性设备供应商包括步琪玻璃乌斯特市公司(Buchi Glass Uster AG)、山东凯斯达机械制造有限公司(Shandong ChemSta Machinery Manufacturing Co.Ltd.)、江苏沙家浜化工设备有限公司(Jiangsu Shajabang Chemical Equipment Co.Ltd)等。
为了确保工艺可靠性,这些形成含Ti膜的组合物可在使用前通过连续或分级分批蒸馏或升华纯化至纯度范围为从大约93%w/w至大约100%w/w,优选范围为从大约99%w/w至大约100%w/w。这些形成含Ti膜的组合物可含有下列任何杂质:不期望的同类物质;过量的加合物;卤化氢(HX);溶剂;卤化的金属化合物(TiX);或其他反应产物。在一个替代方案中,这些杂质的总量是低于0.1%w/w。
通过使用高纯度反应物可以获得高纯度产物。例如,SR2加合物可含有痕量的SR’2,其中R≠R’。优选地,该形成含Ti膜的组合物包含在大约0%w/w与0.2%w/w之间的TiXb:(SR’2)c,其中R’≠R。可以使用GC和/或NMR在起始材料或成品中分析SR’2水平。
这些形成含Ti膜的组合物应该不含水,因为这些分子将水解(例如,在大约0%w/w与5ppm之间的H2O)。存在于这些形成含Ti膜的组合物中的任何水可导致形成所不希望的卤氧化物(TiBr2(=O)或TiI2(=O))、羟基卤化物(TiBr3(OH)或TiI3(OH))、以及氧化物(TiO2)。该形成含Ti膜的组合物中的这三种杂质的组合的总量应该小于0.2%w/w,并且优选地小于0.1%w/w。可以使用NMR、FTIR、TGA或其组合检测这些杂质。
卤化氢(即HBr或HI)反应副产物的量也应该最小化,因为它可以与递送线和沉积室中的组分反应。HX也可能对下面的基板有害。这些形成含Ti膜的组合物应该含有小于0.1%w/w并且优选地小于0.01%w/w的任何HX副产物。可以使用FTIR和/或GC检测这些杂质。
己烷、戊烷、二甲醚或苯甲醚在经纯化的形成含Ti膜的组合物中的各自浓度范围可以是从大约0%w/w至大约5%w/w,优选地从大约0%w/w至大约0.1%w/w。溶剂可以用于该组合物的合成中。如果溶剂和前体二者都具有相似的沸点,则从前体中分离溶剂可能是困难的。冷却混合物可在液体溶剂中产生可通过过滤分离的固体前体。还可以使用真空蒸馏,只要该前体反应产物不在大约其分解点以上加热。
在一个替代方案中,所披露的形成含Ti膜的组合物含有小于5%v/v、优选小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的其所不希望的同族物种、反应物、或其他反应产物中的任一种。此替代方案可提供更好的方法可重复性。此替代方案可通过含Ti前体的蒸馏产生。
在另一个替代方案中,所披露的形成含Ti膜的组合物可含有在5%v/v与50%v/v之间的一种或多种同族含卤化Ti的前体、反应物、或其他反应产物,特别是当混合物提供改进的工艺参数或分离目标化合物过于困难或昂贵时。例如,两种含卤化Ti的前体的混合物(诸如TiBr4:(iPr2P-(CH2)-PiPr2)和TiBr3:(iPr2P-(CH2)-PiPr2))可产生适合于气相沉积的稳定的液体混合物。
这些经纯化的形成含Ti膜的组合物中的痕量金属和类金属的浓度范围可以各自在从大约0ppm至大约5ppm、优选从大约0ppm至大约1ppm、并且更优选从大约0ppb至大约500ppb。这些金属杂质包括但不限于铝(Al)、银(Ag)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、铀(U)、钒(V)、锌(Zn)、以及锆(Zr)。
当与它们的TiX4类似物相比时,所披露的前体的益处是降低的熔点。对于含碘化钛的前体,含卤化Ti的前体可具有在大约-50℃与大约150℃之间(在标准温度和压力下)、优选地在大约-50℃与大约30℃之间(在标准温度和压力下)的熔点。对于含溴化钛的前体,含卤化Ti的前体可具有在大约-50℃与大约39℃之间(在标准温度和压力下)的熔点。优选地,含卤化Ti的前体在标准温度和压力下是液体,因为来自固体前体的可再现和稳定的蒸气产生充其量具挑战性。固体前体可以溶解在溶剂中并且溶液蒸发,但是这可能从溶剂中将不允许的污染问题引入到所得到的膜中。可替代地,升华器可用于直接从固体材料产生蒸汽,但是晶粒尺寸、升华器中的固体分布以及固体本身的蒸汽压力使得很难向半导体工艺提供一致且可再现的蒸汽浓度。
申请人还预期Ti-加合物键将在沉积温度下断裂。作为结果,由在含卤化Ti的前体中包含加合物,预期没有膜污染。如此,这些前体应表现为TiBr4和TiI4,但由于其较低的熔点而更易于处理和使用。由于较低的沉积温度并且不存在高度腐蚀的Cl,所披露的含卤化Ti的前体也比TiCl4更好。
最后,申请人相信所披露的形成含Ti膜的组合物可比含类似氯化物的组合物更稳定且更不可水解。所披露的形成含Ti膜的组合物还可以比含类似氯化物的组合物展示了对基板和反应器更少的蚀刻损害。使用TiBr4-S(nPr)2分子进行测试,并且在300℃、350℃、400℃或450℃下对Al2O3、HfO2、Nb2O5、SiO2、或ZrO2膜没有明显的基板损害。这有点出人意料,因为HBr比HCl更具酸性(pKa HCl=-7,pKa HBr=-9,并且pKa HI=-10)。
形成含Ti膜的组合物可展示了(i)足够的挥发性以提供从储存它们的器皿中快速且可再现地递送到反应室中,(ii)高的热稳定性以避免在储存期间在罐中的分解并且在高温(对于介电膜典型地>150℃并且对于导电膜>275℃)下使ALD模式的自限制生长成为可能,(iii)对于基板末端官能团的适当反应性以及与反应气体的适当反应性以易于转化成所希望的膜,以及(iv)高纯度以获得具有低杂质的膜。
还披露了用于使用气相沉积工艺在基板上形成含Ti层的方法。该方法可以在制造半导体、光伏、LCD-TFT、或平板型装置中是有用的。所披露的形成含Ti膜的组合物可使用本领域技术人员已知的任何沉积方法用于沉积含Ti薄膜。合适的气相沉积方法的实例包括化学气相沉积(CVD)或原子层沉积(ALD)。示例性CVD方法包括热CVD、等离子体增强的CVD(PECVD)、脉冲CVD(PCVD)、低压CVD(LPCVD)、低于大气压的CVD(SACVD)或大气压CVD(APCVD)、热丝CVD(HWCVD,还被称为cat-CVD,其中热丝充当用于沉积工艺的能源),自由基结合的CVD、以及其组合。示例性ALD方法包括热ALD、等离子体增强的ALD(PEALD)、空间隔离ALD、热丝ALD(HWALD)、自由基结合的ALD、以及其组合。也可以使用超临界流体沉积。沉积方法优选地是ALD、空间ALD、或PE-ALD,以提供适合的阶梯覆盖和膜厚度控制。所披露的形成含Ti膜的组合物特别适用于ALD工艺,因为它们的热稳定性使完美的自限制生长成为可能。
所披露的形成含Ti膜的组合物可以纯净的供应,或者可以进一步包含合适的溶剂,诸如C1-C16烃、C1-C16卤化烃、酮、醚、乙二醇二甲醚、酯、四氢呋喃、草酸二甲酯(DMO)、及其组合。C1-C16烃和C1-C16卤化烃可以是饱和的或不饱和的。示例性溶剂包括但不限于四氢呋喃、DMO、乙苯、二甲苯、均三甲苯、癸烷和/或十二烷。当经由直接液体注入将该形成含Ti膜的组合物引入到反应器中时,加合物还可用作溶剂。本领域普通技术人员将认识到,加合物不是鼓泡器的合适溶剂,因为它在含卤化Ti的前体的蒸发之前将蒸发(即,由于在含卤化Ti的前体与加合物溶剂之间的蒸气压力的差异,当经由鼓泡器引入到反应器中时,在加合物溶剂的蒸汽中将没有含卤化Ti的前体的蒸汽)。所披露的含卤化Ti的前体可以是以变化的浓度存在于该溶剂中。在含卤化Ti的前体的沸点与溶剂的沸点之间的差范围应该从大约0℃至大约80℃。
虽然前体理想地是液体并且在鼓泡器或直接液体注入系统中蒸发,但是使用升华器(如Xu等人的PCT公开WO2009/087609中披露的那种)对于ALD和CVD前体蒸发使用固体前体还是可能的。可替代地,可以将固体前体混合或溶解在溶剂中以达到可用的熔点和粘度用于通过直接液体注入系统使用。
通过常规手段如管道系统和/或流量计将纯净的或共混的形成含Ti膜的组合物以蒸气形式引入至反应器内。蒸气形式可以通过以下方式产生:通过常规蒸发步骤(如直接蒸发、蒸馏)、或通过鼓泡、或通过使用升华器(如Xu等人的PCT公开WO2009/087609中披露的那种)蒸发该纯净的或共混的组合物。组合物可以液态进料到气化器中,在那里使其气化,随后将其引入反应器中。可替代地,可通过将载气传送至含有该化合物的容器中或通过将载气鼓泡进该化合物中使该组合物汽化。该载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡还可移除这些纯净的或共混的化合物溶液中存在的任何溶解氧。然后将该载气和蒸气形式的组合物作为蒸气引入到反应器中。
如果必要,该容器可以被加热到允许组合物处于其液相并具有足够的蒸气压的温度。可以将该容器维持在例如大约50℃至大约180℃的范围内的温度下。本领域技术人员认识到可以按已知方式调整该容器的温度以控制蒸发的组合物的量。优选地,将容器维持在导致具有范围是从大约1至大约50cps、优选地在大约1至大约20cps之间的粘度的形成含Ti膜的组合物的温度下。这样的粘度使得形成含Ti膜的组合物适合用于使用直接液体注入引入到反应器中。
这些形成含Ti膜的组合物可通过所披露的形成含Ti膜的组合物的递送装置递送至半导体加工工具中。图1及图2展示所披露的递送装置1的两个实施例。
图1为形成含Ti膜的组合物递送装置1的一个实施例的侧视图。在图1中,所披露的形成含Ti膜的组合物11被包含在具有至少两个导管(入口导管3和出口导管4)的容器2内。在前体领域中的普通技术人员将认识到,容器2、入口导管3及出口导管4制造为防止形成含Ti膜的组合物11的气态形式的逸出,即使在高温和高压下。
适合的阀包括弹簧加载阀或束缚隔膜阀。阀可进一步包含限流孔(RFO)。递送装置1应连接至气体歧管并且在罩壳中。气体歧管应允许当替换递送装置1时可能暴露于空气的管道被安全抽真空并且吹扫,使得任何残余量的材料不发生反应。
当关闭时,递送装置1必须防泄漏且装备有不允许即使微小量的物质逸出的阀。递送装置1经由阀6和7流体地连接到半导体加工工具的其他部件,例如以上披露的气柜。优选地,容器2、入口导管3、阀6、出口导管4和阀7典型地由316L EP不锈钢制成。
在图1中,入口导管3的端部8位于形成含Ti膜的组合物11的表面之上,而出口导管4的端部9位于形成含Ti膜的组合物11的表面之下。在此实施例中,形成含Ti膜的组合物11优选呈液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体可被引入至入口导管3中。惰性气体对容器2加压以迫使液体的形成含Ti膜的组合物11穿过出口导管4且进入半导体加工工具中的部件(未示出)中。半导体加工工具可包括汽化器,其使用或不使用载气(诸如氦气、氩气、氮气或其混合物)将液体的形成含Ti膜的组合物11转变成蒸气,以便将该蒸气递送至其中定位有待修复晶片且处理以气相发生的室中。可替代地,液体形成含Ti膜的组合物11可以作为射流或气溶胶被直接递送至晶片表面上。
图2为形成含Ti膜的组合物递送装置1的第二实施例的侧视图。在图2中,入口导管3的端部8位于形成含Ti膜的组合物11的表面之下,而出口导管4的端部9位于形成含Ti膜的组合物11的表面之上。图2还包括任选的加热元件14,其可提高形成含Ti膜的组合物11的温度。形成含Ti膜的组合物11可呈固体或液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体被引入至入口导管3中。惰性气体流经形成含Ti膜的组合物11并且将惰性气体与形成含Ti膜的气化组合物11的混合物携载至出口导管4和半导体加工工具中的部件。
图1和图2二者都包括阀6和7。本领域普通技术人员将认识到,阀6和7可以被置于打开或关闭位置,以分别允许流过导管3和4。在另一个替代方案中,入口导管3和出口导管4两者可位于形成含Ti膜的组合物11的表面的上方,而不脱离在此的披露内容。此外,入口导管3可以是填充端口。
在另一个替代方案中,如果形成含Ti膜的组合物11是呈蒸气形式或如果足够的蒸气压存在于固相/液相上方,则可以使用图1或图2中的递送装置1或者具有在存在的任何固体或液体表面上方终止的单个导管的更简单的递送装置。在此情况下,通过分别打开图1中的阀6或图2中的阀7,使形成含Ti膜的组合物11以蒸气形式通过导管3或4递送。递送装置1可维持于合适温度以向待以蒸气形式递送的形成含Ti膜的组合物11提供足够的蒸气压,例如通过使用任选的加热元件14来维持。
当这些形成含Ti膜的组合物是固体时,可以使用升华器将它们的蒸气递送到反应器中。图3示出了合适的升华器100的一个实施例。升华器100包括容器33。容器33可以是圆柱形容器,或可替代地,可以是但不限于任何形状。容器33由以下材料构造,这些材料如但不限于不锈钢、镍及其合金、石英、玻璃、以及其他化学上相容的材料。在某些情况下,容器33由另一种金属或金属合金构造(没有限制)。在某些情况下,容器33具有从约8厘米至约55厘米的内径,并且可替代地,从约8厘米至约30厘米的内径。如由本领域技术人员理解的,替代配置可以具有不同的尺寸。
容器33包括可密封的顶部15、密封件18、以及垫片20。可密封的顶部15被配置成密封容器33远离外部环境。可密封的顶部15被配置成允许进入容器33。附加地,可密封的顶部15被配置成供导管进入容器33中。可替代地,可密封的顶部15被配置成允许流体流动到容器33中。可密封的顶部15被配置成接收并且穿过包括浸入管92的导管以保持与容器33处于流体接触。具有控制阀90和配件95的浸入管92被配置成用于使载气流动到容器33中。在某些情况下,浸入管92沿着容器33的中心轴向下延伸。进一步地,可密封的顶部15被配置成接收并且穿过包括出口导管12的导管。将载气以及形成含Ti膜的组合物的蒸气通过出口导管12从容器33中移除。出口导管12包括控制阀10和配件5。在某些情况下,将出口导管12流体联接至气体输送歧管,用于将载气从升华器100引导至膜沉积室。
容器33和可密封的顶部15被至少两个密封件18,可替代地,被至少约四个密封件密封。在某些情况下,可密封的顶部15被至少约八个密封件18密封到容器33上。如由本领域技术人员理解的,密封件18可释放地将可密封的顶部15联接到容器33上,并且与垫片20形成耐受气体的密封。密封件18可以包括对于本领域技术人员已知的用于密封容器33的任何合适的器件。在某些情况下,密封件18包括翼形螺钉。
如图3中所示,容器33进一步包括至少一个布置在其中的盘。该盘包括用于固体材料的搁架或水平支承件。在某些实施例中,内部盘30被环状地布置在容器33内,使得盘30包括小于容器33的内径或周长的外径或周长,形成开口31。外部盘86被周向地布置在容器33内,使得盘86包括与容器33的内径相同、大约相同、或总体上一致的外径或周长。外部盘86形成布置在该盘的中心处的开口87。多个盘被布置在容器33内。这些盘以交替方式堆叠,其中内部盘30、34、36、44与交替的外部盘62、78、82、86在该容器内竖直地堆叠。在实施例中,内部盘30、34、36、44环状地向外延伸,并且外部盘62、78、82、86环状地朝向容器33的中心延伸。如图3的实施例中所示,内部盘30、34、36、44不与外部盘62、78、82、86处于物理接触。
组装的升华器100包括内部盘30、34、36、44,这些内部盘包括对齐且联接的支承脚50,内部通道51,壁40、41、42,以及环状槽缝47、48、49。内部盘30、34、36、44竖直地堆叠,并且围绕浸入管92环状地定向。附加地,该升华器包括外部盘62、78、82、86。如图3中所示,外部盘62、78、82、86应该紧密地配合到容器33中用于良好接触以将热量从容器33传导至盘62、78、82、86。优选地,外部盘62、78、82、86被联接至容器33的内壁,或者处于与该内壁物理接触。
如所示,外部盘62、78、82、86和内部盘30、34、36、44堆叠在容器33内部。当在容器33中组装以形成升华器100时,内部盘30、34、36、44在组装的外部盘62、78、82、86之间形成外部气体通道31、35、37、45。进一步地,外部盘62、78、82、86与内部盘30、34、36、44的支承脚形成内部气体通道56、79、83、87。内部盘30、34、36、44的同心壁40、41、42形成用于保持固体前体的带凹槽的槽缝。外部盘62、78、82、86包括用于保持固体前体的壁68、69、70。在组装期间,将这些固体前体装载到内部盘30、34、36、44的同心槽缝47、48、49以及外部盘62、78、82、86的环形槽缝64、65、66中。
虽然图3披露了能够将任何固体形成含Ti膜的组合物的蒸气递送至该反应器的升华器的一个实施例,但本领域普通技术人员将认识到,其他升华器设计也是合适的,而不脱离在此的传授内容。最后,本领域技术人员将认识到,可使用其他递送装置(诸如Jurcik等人的WO 2006/059187中所披露的安瓿)将所披露的形成含Ti膜的组合物11递送至半导体加工工具中而不背离本文中的传授内容。
反应室可以是其中发生沉积方法的装置的任何围隔或室,例如但不限于平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器或其他此类类型的沉积系统。所有这些示例性反应室都能够用作ALD反应室。可以将该反应室维持在范围是从约0.5毫托至约20托、优选在约0.1托与约5托之间的压力下。此外,该反应室内的温度范围可以是从约50℃至约600℃。本领域普通技术人员将认识到,可以实验性地确定每种含卤化Ti的前体的最佳沉积温度范围以实现所希望的结果。
反应器含有一个或多个上面沉积有薄膜的基板。基板一般定义为在其上进行方法的材料。基板可为任何适用于制造半导体、光伏材料、平板或LCD-TFT器件的基板。合适的基板的实例包括晶片,诸如硅、SiGe、二氧化硅、玻璃、或Ge。也可使用塑料基板诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。从先前的制造步骤,该基板还可具有在其上已经沉积的一个或多个不同材料层。例如,这些晶片可包括硅层(结晶、非晶形、多孔等)、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂的氧化硅(SiCOH)层或其组合。附加地,这些晶片可以包括铜、钴、钌、钨和/或其他金属的层(例如铂、钯、镍、钌、或金)。晶片可以包括阻挡层或电极,诸如钽、氮化钽等。也可以使用塑料层,例如聚(3,4-亚乙基二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。基板可以是有机图案化光致抗蚀剂膜。该基板可以包括被用作MIM、DRAM、或FeRam技术中的介电材料(例如,ZrO2基材料、HfO2基材料、TiO2基材料、稀土氧化物基材料、三元氧化物基材料等)或者来自被用作电极的氮化物基膜(例如,TaN、TiN、NbN)的氧化物层。所披露的工艺可直接在晶片上或直接在晶片顶部的一个或多于一个(当图案化层形成该基板时)层上沉积该含Ti层。此外,本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指放置或铺展在表面上的一些材料的厚度并且该表面可为沟槽或线。贯穿本说明书和权利要求书,晶片和其上的任何相关层称为基板。所利用的实际基板还可取决于所利用的特定前体实施例。然而,在许多情况下,所用的优选基板将是由TiCl4中氯的存在而遭受损害的那些,诸如氧化钛、钨金属或GeSbTe层。
所披露的工艺可以选择性地沉积含Ti膜,特别是当形成含Ti膜的组合物暴露于由多种不同材料制成的基板时。例如,封阻剂诸如自组装单层(SAM)可以防止含卤化Ti的前体在一部分基板上的吸附。SAM防止含Ti膜在特定区域或类型的基板上的生长。可替代地或此外,在沉积工艺期间可以添加游离抑制剂以防止含卤化Ti的前体吸附在基板的一部分上。在一些情况下,从该形成含Ti膜的组合物中释放的加合物可能沉积在某些表面上并抑制含Ti膜在此类表面上的生长。例如,含S的加合物可以与铜结合并防止含Ti膜在铜上生长。在其他情况下,TiX4可蚀刻某些金属表面,诸如Al。作为结果,该含Ti膜可能不在这些表面上生长。选择性沉积工艺也可以由这些物理现象的任何组合产生。作为结果,本领域普通技术人员将认识到,特定的形成含Ti膜的组合物将具有与不同基板不同的再活化。
反应器内的温度和压力保持在适用于蒸气沉积的条件下。换言之,在将该蒸发的组合物引入至该室内之后,该室内的条件是使得将该蒸发的含卤化Ti的前体的至少一部分沉积到该基板上以形成含Ti膜。例如,根据每个沉积参数所要求的,反应器中的压力可以保持在约1Pa与约105Pa之间,更优选在约25Pa与约103Pa之间。同样,反应器中的温度可以保持在约100℃与约500℃之间,优选在约200℃与约450℃之间。本领域普通技术人员将认识到,“使该蒸发的含卤化Ti的前体的至少一部分沉积”意指一些或全部前体与基板反应或粘附至基板。
可通过控制基板固持器的温度或控制反应器壁的温度来控制反应器的温度。用于加热基板的装置是本领域中已知的。可以将该反应器壁加热至足够温度以便以足够生长速率和所希望的物理状态和组成获得所希望的膜。非限制性示例性温度范围(可以将该反应器壁加热到该温度范围)包括从大约100℃至大约500℃。当使用等离子体沉积工艺时,沉积温度可以在从大约50℃至大约400℃的范围内。可替代地,当进行热处理时,沉积温度可以在从大约200℃至大约450℃的范围内。
除所披露的形成含Ti膜的组合物之外,还可将反应物引入到反应器中。该反应物可以是含氧气体,诸如O2、O3、H2O、H2O2、NO、N2O、NO2之一,醇(诸如乙醇或甲醇),二醇(诸如乙二醇或水合的六氟丙酮),含氧自由基诸如O·或OH·,NO,NO2,羧酸,甲酸,乙酸,丙酸,及其混合物。优选地,氧化气体选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基(诸如O·或OH·)、及其混合物。
可替代地,该反应物可以是H2、NH3、肼(诸如N2H4、MeHNNH2、Me2NNH2、MeHNNHMe、苯肼)、有机胺(诸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH、环胺像吡咯烷或嘧啶)、腈(诸如乙腈)、二胺(诸如乙二胺、二甲基亚乙基二胺、四甲基亚乙基二胺)、氨基醇(诸如乙醇胺[HO-CH2-CH2-NH2]、二乙醇胺[HN(C2H5OH)2]或三乙醇胺[N(C2H5OH)3])、吡唑啉、吡啶、其自由基、或其混合物。优选地,该反应物是H2、NH3、其自由基、或其混合物。
在另一个替代方案中,该反应物可以是N(SiH3)3;N(SiHxR3-x)3,其中每个x独立地是1-3并且每个R独立地是烷基或NR’2,其中每个R’独立地是H或C1-C4烷基(诸如(H3Si)2N(SiH2NEt2)、(H3Si)2N(SiH2NiPr2)、或(H3Si)2N(SiH2iPr));R3Si-NH-SiR3,其中每个R独立地是H、Cl、Br、I、或C1-C4烷基(诸如H3Si-NH-SiH3、H2ISi-NH-SiH3、或Me3Si-NH-SiMe3);氢化硅烷(诸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12);氯硅烷和氯聚硅烷(诸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8);溴硅烷和溴聚硅烷(诸如SiHBr3、SiH2Br2、SiH3Br、Si2Br6、Si2HBr5、Si3Br8);碘硅烷和碘聚硅烷(诸如SiHI3、SiH2I2、SiH3I、Si2I6、Si2HI5、Si3I8);烷基硅烷(诸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3);以及氨基硅烷(诸如三(二甲基氨基)硅烷、二(二乙基氨基)硅烷、二-异丙基氨基硅烷以及其他单氨基硅烷、二氨基硅烷或三氨基硅烷);其自由基;或其混合物。优选地,该反应物是(SiH3)3N或氨基硅烷,诸如二(二乙基氨基)硅烷。
反应物可通过等离子体处理,以便使反应物分解成其自由基形式。当用等离子体处理时,N2还可以被用作还原气体。例如,可以以范围从约50W至约2500W、优选从约100W至约400W的功率产生等离子体。可以在反应器自身内产生或存在等离子体。可替代地,等离子体通常可以位于远离反应器的位置,例如,在远程定位的等离子体系统中。本领域技术人员将认识到适合于这种等离子体处理的方法和设备。
例如,反应物可以被引入到直接等离子体反应器(该反应器在反应室中产生等离子体)中,以在该反应室中产生等离子体处理过的反应物。示例性的直接等离子体反应器包括由垂恩技术公司(Trion Technologies)生产的TitanTMPECVD系统。反应物可以在等离子体加工之前被引入并保持在反应室中。可替代地,等离子体加工可以与引入反应物同时发生。原位等离子体典型地是在喷淋头与基板固持器之间产生的13.56MHz RF电感耦合等离子体。根据是否发生正离子碰撞,基板或喷淋头可以是被供电电极。原位等离子体产生器中的典型施加功率为从大约30W至大约1000W。优选地,在所披露的方法中使用从大约30W至大约600W的功率。更优选地,功率的范围是从大约100W至大约500W。使用原位等离子体的反应物的解离典型地小于对于相同功率输入使用远程等离子体源实现的,并且因此在反应物解离中不如远程等离子体系统有效,这可能有利于将含Ti膜沉积在容易被等离子体损坏的基板上。
可替代地,等离子体处理过的反应物可以在反应室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应室之前处理反应物。在2.45GHz、7kW等离子体功率和范围从大约0.5托至大约10托的压力下操作,反应物O2可分解成两个O·自由基。优选地,可以用范围从约1kW至约10kW、更优选地从约2.5kW至约7.5kW的功率产生远程等离子体。
室内的气相沉积条件允许所披露的形成含Ti膜的组合物和反应物反应并在基板上形成含Ti膜。在一些实施例中,申请人认为等离子体处理反应物可以向反应物提供与所披露的组合物反应所需的能量。
根据希望沉积哪种类型的膜,可以将另外的前体化合物引入到反应器中。该前体可用于向含Ti膜提供附加的元素。附加元素可包括镧系元素(例如,镱、铒、镝、钆、镨、铈、镧、钇)、锗、硅、铝、硼、磷、铪、锆、第3族元素(即Sc、Y、La、或Ac)、或第5族元素(即V、Nb或Ta)、或这些的混合物。当使用另外的前体化合物时,所得的沉积在基板上的膜含有与至少一种另外的元素组合的Ti。
当所得膜含有Al时,合适的反应物包括三烷基铝(例如AlMe3、AlEt3等)、二烷基铝卤化物(例如AlMe2Br、AlEt2Br等)、烷基铝二卤化物(例如AlMeBr2、AlEtBr2等)、铝的烷基氨基或烷氧基衍生物(例如,Al(NEt2)3、Al(OtBu)3等)、铝烷、胺加合的铝烷(例如,Al:NEt3)、以及其混合物。所得无定形TiAl膜可用于互补金属氧化物半导体(CMOS)中的微镜阵列。Schmidt等人,J.of Micro/Nanolithography[微米/纳米光刻],MEMS,和MOEMS,7(2)2008。无定形TiAl膜的气相沉积提供了比通过溅射产生的那些更好的保形性、表面光滑度、组成均匀性、以及通常更少的缺陷。
可以将形成含Ti膜的组合物和反应物同时(化学气相沉积)、顺序地(原子层沉积)或其不同组合引入到反应器中。可以在引入组合物与引入反应物之间用惰性气体吹扫反应器。可替代地,反应物和组合物可以混合在一起以形成反应物/化合物混合物,并且然后以混合物形式引入到反应器中。另一个实例是连续引入反应物并通过脉冲(脉冲化学气相沉积)引入形成含Ti膜的组合物。
蒸发的组合物和反应物可以顺序地或同时地(例如脉冲CVD)脉冲进入反应器。组合物的每次脉冲可持续范围从约0.01秒至约100秒、可替代地从约0.3秒至约30秒、可替代地从约0.5秒至约10秒的一段时间。反应物也可以脉冲进入反应器。在此类实施例中,每种气体的脉冲可持续从约0.01秒至约100秒、可替代地从约0.3秒至约30秒、可替代地从约0.5秒至约10秒。在另一个替代方案中,可以同时地从喷淋头喷射该汽化的组合物和一种或多种反应物,保持若干晶片的基座在该喷淋头下旋转(空间ALD)。
根据具体的工艺参数,沉积可能进行不同的时间长度。通常,可使沉积继续所希望或所必需长度的时间以产生具有必需特性的膜。根据具体的沉积工艺,典型的膜厚度可以从几埃到几百微米变化。沉积工艺也可以进行获得所希望的膜所必需的很多次。
在一个非限制性的示例性CVD型工艺中,将蒸气相的所披露的形成含Ti膜的组合物和反应物同时引入到反应器中。两者反应以形成所得的含Ti薄膜。当在此示例性CVD工艺中的反应物用等离子体处理时,示例性CVD工艺变成示例性的PECVD工艺。反应物可以在引入到室中之前或之后用等离子体处理。
在一个非限制性的示例性ALD型工艺中,将蒸气相的所披露的形成含Ti膜的组合物引入到反应器中,其中该含卤化Ti的前体物理吸附或化学吸附在基板上。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的组合物。将所希望的气体(例如,O3)引入到反应器中,在该反应器中使其以自限制的方式与物理吸附或化学吸附的前体反应。通过吹扫和/或排空该反应器从该反应器中去除任何过量的还原气体。如果所希望的膜是Ti金属膜,则此两步工艺可提供所希望的膜厚度或可被重复直至获得具有必需厚度的膜。
可替代地,如果所希望的膜含有Ti金属和第二元素,则可以在以上两步工艺之后将另外的前体化合物的蒸气引入到反应器中。将基于沉积的Ti金属膜的性质来选择另外的前体化合物。在引入到反应器中之后,使该另外的前体化合物与基板接触。通过吹扫和/或排空该反应器从该反应器中去除任何过量的前体化合物。再次,可以将所希望的气体引入到反应器中以与前体化合物反应。通过吹扫和/或排空该反应器从该反应器中去除过量气体。如果已经实现了所希望的膜厚度,则可终止该工艺。然而,如果较厚的膜是所希望的,则可重复整个四步骤工艺。通过交替提供含Ti化合物、另外的前体化合物和反应物,可以沉积具有所希望的组成和厚度的膜。
当在此示例性ALD工艺中的反应物用等离子体处理时,示例性ALD工艺变成示例性的PEALD工艺。反应物可以在引入到室中之前或之后用等离子体处理。
在第二非限制性示例性ALD型工艺中,将所披露的含卤化Ti的前体之一(例如TiBr4:S(nPr)2)的蒸气相引入反应器,在该反应器中使其与TiO基板接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的含卤化Ti的前体。将所希望的气体(例如,NH3)引入到反应器中,在该反应器中使其以自限制的方式与吸收的含卤化Ti的前体反应以形成TiN膜。通过吹扫和/或排空该反应器从该反应器中去除任何过量的含N气体。可以重复这两个步骤,直到TiN膜获得所需厚度。
由以上讨论的工艺得到的含Ti膜可以包括氧化钛(TinOm,其中n和m各自是范围从1至6(包括端点)的整数),诸如TiO2;氮化钛,诸如TiN或TiSiN;含有另一种元素M的氧化钛(TiMiOx,其中i范围是从0.1至1;x范围是从1至6;并且M选自锆、铪、第3族元素、第5族元素、镧系元素、Si、Al、B、P或Ge);或氮氧化钛(TiM’iNyOx,其中i范围是从0至1;x和y范围是从1至6;并且M选自铪、锆、第3族元素、第5族元素、镧系元素、Si、Al、B、P或Ge)。本领域普通技术人员将认识到,通过适当的所披露的化合物、任选的前体化合物以及反应物物种的明断选择,可以获得所希望的膜组成。
形成含Ti膜的组合物可用于在硅层上沉积Ti并退火以形成TiSi2层。可替代地,形成含Ti膜的组合物和含Si反应物诸如TSA可用于形成TiSi2层。在任一替代方案中,形成的TiSi2层优选地展示了C54多晶型以及在大约10uΩcm与大约20uΩcm之间、优选地在大约13uΩcm与大约16uΩcm之间的电阻率。可替代地,如果较高的电阻率是所希望的,可以形成C49多晶型TiSi2层。C49多晶型TiSi2层具有在大约60uΩcm与大约70uΩcm之间的电阻率。可以使用XRD确定多晶型相。
由以上讨论的工艺得到的含Ti膜含有在大约0原子%至大约5原子%之间的C;在大约0原子%至大约40原子%之间的O;在大约0原子%至大约2原子%之间的S;在大约0原子%至大约2原子%之间的Se;在大约0原子%至大约2原子%之间的Te;或在大约0原子%至大约2原子%之间的P杂质(取决于加合物组成)。
一经获得所希望的膜厚度,可以使该膜经受另外的加工,如热退火、炉退火、快速热退火、UV或电子束固化、和/或等离子体气体暴露。本领域技术人员认识到用于执行这些附加处理步骤的系统和方法。例如,可以使该含Ti膜暴露于在惰性气氛、含H气氛、含N气氛、含O气氛、或其组合下范围是从大约200℃与大约1000℃的温度持续范围是从大约0.1秒至大约7200秒的时间。最优选地,在含H气氛或含O气氛下,温度为400℃持续3600秒。所得到的膜可含有较少的杂质,并且因此可具有改善的密度,导致改善的泄漏电流。退火步骤可以在进行该沉积工艺的同一反应室中进行。可替代地,可以从该反应室中移除该基板,其中在单独的设备中进行退火/快速退火工艺。已经发现任何以上后处理方法、但尤其是热退火有效地减少该含Ti膜的碳和氮污染。这进而倾向于改善膜的电阻率。
实例
以下实例说明结合在此的披露内容进行的实验。这些实例不旨在包括所有情况并且并不旨在限制在此所描述的披露的范围。
由于其吸湿性,TiX4反应物和TiXn:Ly加合物均在干燥的惰性气氛下的手套箱中处理。将各种路易斯碱配体在氩气下使用标准干燥技术(诸如分子筛或其他干燥剂处理)干燥并且存储。
实例1:TiBr4:S(nPr)2的合成
在手套箱中使0.5g固体TiBr4与1摩尔当量的S(nPr)2反应。观察到放热以及到暗红色的立即变色。几乎没有留下固体颗粒。15分钟后,使用注射器插塞过滤器过滤混合物以产生澄清的暗红色液体。根据Baker等人,所得产物是单取代的并且采用五配位的三角双锥体几何形状:
图4是所得产物在C6D6中的1H-NMR谱。纯净的光谱显示没有杂质。α-Ti1H分裂表明两个丙基的磁性不等价,这可能是由于配体的限制构象。
图5是阐明在Al2O3盘中的TiBr4:S(nPr)2在温度增加时在1大气压下的重量损失的百分比(TGA)或温度差(DTA)的热重分析/差热分析(TGA/DTA)曲线图。TGA结果表明纯净蒸发(<0.5%残余物)。当在减压(约12托)下进行TGA分析时,没有获得残余物。
实例2:TiBr4:SEtPr的合成
在手套箱中使0.5g固体TiBr4与1摩尔当量的SEtPr反应。观察到放热反应以及由橙色到暗红色的立即变色。几乎没有留下固体颗粒。搅拌15分钟后,使用注射器插塞过滤器过滤混合物以产生澄清的暗红色液体。
图6是所得产物在C6D6中的1H-NMR谱。纯净的光谱显示没有杂质。
图7是阐明在Al2O3盘中的TiBr4:S(nPr)2在温度增加时在1大气压下的重量损失的百分比(TGA)或温度差(DTA)的TGA/DTA曲线图。TGA结果表明纯净蒸发(<0.5%残余物)。当在减压(约12托)下进行TGA分析时,没有获得残余物。
实例3:TiBr4:S(nPr)2的原子层沉积(ALD)
使用实例1中制备的液体TiBr4:S(nPr)2进行TiN的ALD。图8是示出ALD工艺的流程图。在步骤1中,将3秒的NH3脉冲引入到含有SiO2基板的反应室(未示出)中并与基板反应以产生图9的NH2-封端的基板。将反应器在1托下维持在200℃、300℃和400℃下。在3秒的NH3脉冲之后是10秒的Ar吹扫脉冲以去除任何过量的NH3或反应副产物。
在图8的步骤2中,将蒸汽形式的TiBr4:S(nPr)2前体的6秒脉冲引入到反应室中。将实例1的液体TiBr4:S(nPr)2前体放置于加热并维持在72℃的器皿中以产生蒸气形式。器皿利用交叉流动配置,其中入口导管和出口导管的端部都位于该形成含Ti膜的组合物的表面上方。图10是步骤2开始时的基板的示意性侧视图。图11是在TiBr4:S(nPr)2前体与基板之间的反应以及反应副产物(诸如HBr和S(nPr)2)的示意性侧视图。通过从TiBr4:S(nPr)2前体裂解S(nPr)2加合物产生S(nPr)2反应副产物。HBr反应副产物通过在–NH2基板表面与TiBr4:S(nPr)2前体的一个Br之间的反应产生。
在图8的步骤3中,十秒氩气脉冲吹扫任何过量的TiBr4:S(nPr)2前体和来自反应室的反应副产物以产生图12的基板。
在图8的步骤4中,如果通过引入步骤1的3秒NH3脉冲尚未获得所希望的膜厚度,则可以重复该工艺。图13是在图12的基板与图8的步骤1的NH3反应物之间的反应以及反应副产物(诸如HBr)的示意性侧视图。HBr反应副产物是通过TiBr3基板的一个Br与NH3反应物的一个H的反应产生的。在3秒的NH3脉冲之后是10秒的Ar吹扫脉冲以去除任何过量的NH3或反应副产物。
观察到在400℃下用/循环的生长速率在二氧化硅基板(SiO2)上的ALD饱和行为。在具有1:20纵横比的特征上300次循环后获得74%的阶梯覆盖。
图14是表明随在200℃与400℃之间的基板温度变化使用TiBr4:S(nPr)2/NH3的TiN薄膜的(a)生长速率和(b)膜厚度的曲线图。观察到线性生长。
通过XPS(X射线光电子能谱)分析一些TiN膜的化学计量。然而,这些膜含有大量的氧。氧可能是在沉积工艺完成后在大气下处理膜的结果。尽管如此,膜的Ti:N比大约为1:1。
对比实例
使用固体TiBr4进行TiN的对比ALD。将TiBr4放置于加热且维持在55℃下的器皿中。将反应器在0.5托下维持在200℃、300℃和500℃下。TiBr4引入、氩气吹扫、NH3引入和氩气吹扫的长度分别为3秒、10秒、2秒和10秒。观察到分别在300℃和500℃下用0.57和/循环的生长速率在硅晶片(Si)上ALD饱和行为。在具有1:20纵横比的特征上200次循环后获得74.5%的阶梯覆盖。
如可以看出的,使用液体TiBr4:S(nPr)2前体的ALD结果与使用固体TiBr4前体获得的结果相似。然而,液体TiBr4:S(nPr)2前体比固体TiBr4前体更容易处理。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明的原则和范围内做出在此已经描述且阐明以解释本发明的本质的细节、材料、步骤和零件安排上的许多附加的改变。因此,本发明不意图限于上面给出的实例和/或附图中的特定实施例。

Claims (20)

1.一种形成含钛膜的组合物,该组合物包含具有下式的含卤化钛的前体:
TiXb:Ac
其中b=3或4;c=1-3;X=Br或I;A=SRR’、SeRR’、或TeRR’,并且R和R’独立地是H或C1-C5烃;
其中该含卤化钛的前体在标准温度和压力下是液体。
2.如权利要求1所述的形成含钛膜的组合物,进一步包含在0%w/w与0.2%w/w之间的TiX2(=O)、TiX3(OH)、以及TiO2的混合物;其中X=Br或I。
3.如权利要求2所述的形成含钛膜的组合物,进一步包含在0%w/w与0.1%w/w之间的TiX2(=O)、TiX3(OH)、以及TiO2的混合物;其中X=Br或I。
4.如权利要求1所述的形成含钛膜的组合物,进一步包含在0%w/w与0.1%w/w之间的HX;其中X=Br或I。
5.如权利要求1所述的形成含钛膜的组合物,进一步包含在0%w/w与5%w/w之间的烃溶剂。
6.如权利要求1所述的形成含钛膜的组合物,进一步包含在0%w/w与5ppmw之间的H2O。
7.如权利要求1所述的形成含钛膜的组合物,其中X是Br。
8.如权利要求1所述的形成含钛膜的组合物,其中X是I。
9.如权利要求1所述的形成含钛膜的组合物,其中A是SRR’。
10.如权利要求1所述的形成含钛膜的组合物,其中A是SeRR’。
11.如权利要求1所述的形成含钛膜的组合物,其中A是TeRR’。
12.如权利要求8所述的形成含钛膜的组合物,其中该含卤化钛的前体是TiBr4:S(nPr)2
13.如权利要求8所述的形成含钛膜的组合物,其中R≠R’。
14.如权利要求12所述的形成含钛膜的组合物,其中该含卤化钛的前体是TiBr4:SEt(nPr)。
15.一种在基板上沉积含钛膜的方法,该方法包括将如权利要求1所述的形成含钛膜的组合物引入到含有该基板的反应器中并将该含卤化钛的前体的至少一部分沉积到该基板上以形成该含钛膜。
16.如权利要求15所述的方法,进一步包括将反应物引入到该反应器中。
17.如权利要求15所述的方法,其中选择性地将该含钛膜沉积到该基板上。
18.如权利要求15所述的方法,其中该形成含钛膜的组合物包含具有式TiBr4:(SRR’)2的含卤化钛的前体。
19.如权利要求18所述的方法,其中该形成含钛膜的组合物包含具有式TiBr4:S(nPr)2的含卤化钛的前体。
20.如权利要求15所述的方法,其中该形成含钛膜的组合物包含具有式TiBr4:SEt(nPr)的含卤化钛的前体。
CN201880076908.7A 2017-11-30 2018-10-30 用于气相沉积含钛膜的形成含钛膜的组合物 Active CN111386592B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/827,783 2017-11-30
US15/827,783 US10584039B2 (en) 2017-11-30 2017-11-30 Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US15/968,099 2018-05-01
US15/968,099 US10689405B2 (en) 2017-11-30 2018-05-01 Titanium-containing film forming compositions for vapor deposition of titanium-containing films
PCT/US2018/058084 WO2019108330A1 (en) 2017-11-30 2018-10-30 Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Publications (2)

Publication Number Publication Date
CN111386592A CN111386592A (zh) 2020-07-07
CN111386592B true CN111386592B (zh) 2024-01-05

Family

ID=66634900

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880076908.7A Active CN111386592B (zh) 2017-11-30 2018-10-30 用于气相沉积含钛膜的形成含钛膜的组合物

Country Status (7)

Country Link
US (2) US10689405B2 (zh)
JP (1) JP6920556B2 (zh)
KR (1) KR102425882B1 (zh)
CN (1) CN111386592B (zh)
SG (1) SG11202004730PA (zh)
TW (1) TWI784098B (zh)
WO (1) WO2019108330A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR20230003695A (ko) 2021-06-29 2023-01-06 삼성전자주식회사 반도체 소자가 포함하는 컨택의 형성 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5558365A (en) * 1978-10-27 1980-05-01 Hitachi Metals Ltd Coating method for titanium compound
US5425966A (en) * 1994-10-27 1995-06-20 Wayne State University Process for coating with single source precursors
CA2202387A1 (en) * 1994-10-11 1996-04-25 Barry C. Arkles Conformal titanium-based films and method for their preparation
US6090709A (en) * 1994-10-11 2000-07-18 Gelest, Inc. Methods for chemical vapor deposition and preparation of conformal titanium-based films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5656338A (en) 1994-12-13 1997-08-12 Gordon; Roy G. Liquid solution of TiBr4 in Br2 used as a precursor for the chemical vapor deposition of titanium or titanium nitride
US5700519A (en) * 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
TW466593B (en) * 1999-04-27 2001-12-01 Tokyo Electron Ltd CVD TiN plug formation from titanium halide precursors
US6833058B1 (en) * 2000-10-24 2004-12-21 Honeywell International Inc. Titanium-based and zirconium-based mixed materials and sputtering targets
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
FR2871292B1 (fr) 2004-06-03 2006-07-28 Air Liquide Procede pour deposer un film a haute constante dielectrique utilisant du tetrakis(ehtylamino)silane
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US20100104755A1 (en) 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
WO2009106433A1 (en) * 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9187511B2 (en) * 2012-05-01 2015-11-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules
JP2014148450A (ja) * 2013-02-01 2014-08-21 Hitachi Chemical Co Ltd ルチル型酸化チタンナノロッドの製造方法及びルチル型酸化チタンナノロッド
JP6204570B2 (ja) * 2014-03-28 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9478438B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
WO2017037927A1 (ja) * 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5558365A (en) * 1978-10-27 1980-05-01 Hitachi Metals Ltd Coating method for titanium compound
CA2202387A1 (en) * 1994-10-11 1996-04-25 Barry C. Arkles Conformal titanium-based films and method for their preparation
US6090709A (en) * 1994-10-11 2000-07-18 Gelest, Inc. Methods for chemical vapor deposition and preparation of conformal titanium-based films
US5425966A (en) * 1994-10-27 1995-06-20 Wayne State University Process for coating with single source precursors

Also Published As

Publication number Publication date
US11168099B2 (en) 2021-11-09
US20190161507A1 (en) 2019-05-30
KR102425882B1 (ko) 2022-07-27
US10689405B2 (en) 2020-06-23
WO2019108330A1 (en) 2019-06-06
KR20200087212A (ko) 2020-07-20
TWI784098B (zh) 2022-11-21
US20200277315A1 (en) 2020-09-03
JP2021504962A (ja) 2021-02-15
JP6920556B2 (ja) 2021-08-18
TW201925515A (zh) 2019-07-01
SG11202004730PA (en) 2020-06-29
CN111386592A (zh) 2020-07-07

Similar Documents

Publication Publication Date Title
CN110073474B (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN110785513B (zh) 形成含铌膜的组合物和含铌膜的气相沉积
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
CN110088357B (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
JP2021523983A (ja) 6族遷移金属含有膜の気相成長のための6族遷移金属含有組成物
KR20190094436A (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
CN110121571B (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
JP6956086B2 (ja) コバルト含有フィルム形成組成物、それらの合成およびフィルム析出における使用
JP6941610B2 (ja) マンガン含有フィルム形成組成物、マンガン含有フィルム形成組成物の合成およびフィルム析出における使用
JP6941670B2 (ja) 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物
WO2017116667A1 (en) Iron-containing film forming compositions, their synthesis, and use in film deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant