KR102425882B1 - 티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물 - Google Patents

티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물 Download PDF

Info

Publication number
KR102425882B1
KR102425882B1 KR1020207016964A KR20207016964A KR102425882B1 KR 102425882 B1 KR102425882 B1 KR 102425882B1 KR 1020207016964 A KR1020207016964 A KR 1020207016964A KR 20207016964 A KR20207016964 A KR 20207016964A KR 102425882 B1 KR102425882 B1 KR 102425882B1
Authority
KR
South Korea
Prior art keywords
halide
tibr
containing precursor
tii
film forming
Prior art date
Application number
KR1020207016964A
Other languages
English (en)
Other versions
KR20200087212A (ko
Inventor
안토니오 산체스
진-마크 기라드
그리고리 니키포로브
니콜라스 블라스코
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/827,783 external-priority patent/US10584039B2/en
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20200087212A publication Critical patent/KR20200087212A/ko
Application granted granted Critical
Publication of KR102425882B1 publication Critical patent/KR102425882B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

티타늄 할라이드-함유 전구체를 포함하는 티타늄-함유 필름 형성 조성물이 개시된다. 또한, 기상 증착 공정을 통해 하나 이상의 기판 상에 티타늄-함유 필름을 증착시키기 위해, 개시된 전구체를 합성하고 사용하는 방법이 개시된다.

Description

티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물
관련 출원에 대한 상호 참조
본 출원은 미국 특허출원 제15/827,783호(2017년 11월 30일)의 부분 계속 출원인, 미국 가출원 제15/968,099호(2018년 5월 1일)의 우선권을 주장하며, 그 전문은 모든 목적을 위해 본 명세서에 참조로 포함된다.
기술 분야
티타늄 할라이드-함유 전구체를 포함하는 Ti-함유 필름 형성 조성물이 개시된다. 또한, 기상 증착 공정을 통해 하나 이상의 기판 상에 티타늄-함유 필름을 증착시키기 위해, 개시된 전구체를 합성하고 사용하는 방법이 개시된다.
반도체 장치의 소형화에 따라, 높은 유전상수를 가진 새로운 재료가 요구된다. 화학 기상 증착(CVD) 및 원자층 증착(ALD)은 이러한 박막의 주요 증착 기술이 되었다. CVD 및 ALD는 미세하게 정의된 두께 및 높은 스텝 커버리지를 갖는 여러 가지 필름(금속, 산화물, 질화물 등)을 제공할 수 있다. CVD 및 ALD에서, 전구체 분자는 높은 등각성(conformality) 및 낮은 불순물을 갖는 고품질의 필름을 얻는 데 중요한 역할을 한다.
고유전율 유전체(high-k dielectrics) 중에서, 티타늄계 재료, 예컨대 TiO2는 순수한 또는 혼합된 산화물로서 또는 라미네이트로 사용되는지의 여부와 관계없이 매우 유망하다. TiN은 전극 및/또는 Cu 확산 장벽 응용에 사용될 수 있다. 티타늄 산화물은 또한 리소그래피(lithography) 응용에서 내에칭 특성을 위해, 예컨대 하드 마스크 또는 스페이서-기반 다중 패터닝 응용을 위해 사용될 수 있다. 티타늄 실리사이드는 전도성 플러그와 하부 도핑된 규소층 사이의 접촉 역할을 할 수 있다.
다양한 티타늄 할라이드 루이스(Lewis) 부가물의 합성 및 특성화가 알려져 있다. 예를 들어, 다음 문헌 참조: Ruff et al., New titanium compounds, Berichte der Deutschen Chemischen Gesellschaft, 1912, 45, pp.1364-1373;
R. Hoeltje, Zeitschrift fuer Anorganische und Allgemeine Chemie, 1930, 190, pp 241-256;
Emeleus et al., Complexes of Titanium and Zirconium Halides with Organic Ligands, J. Chemical Society (Resumed), 1958, pp.4245-50;
Fowles et al., Journal of Chemical Society (Resumed), 1959 pp. 990-997;
G.W.A. Fowles et al., The Reaction of Titanium Halides with Tertiary Amines, Journal of Chemical Society (Resumed), 1963, pp. 33-38;
Baker et al., Sulphur Complexes of Quadrivalent Titanium, Journal of the Less-Common Metals, 1964, pp. 47-50;
Eric Turin et al., Adducts of Titanium Tetrahalides with Neutral Lewis Bases. Part I. Structure and Stability: a Vibrational and Multinuclear NMR Study, Inorganica Chimica Acta, 134 (1987) pp. 67-78;
Gordon의 미국 특허 제5,656,338호는 브롬 중 티타늄 테트라브로마이드의 액체 용액을 형성하고, 이 용액을 기화시키고, 기판 부근에서 이 증기 혼합물을 플라즈마와 접촉시킴에 의한 티타늄 금속의 화학 기상 증착을 개시함;
Leskela 등의 미국 특허 제6,706,115호는, 금속 질화물 박층의 제조 방법을 개시하며, 이는 금속 및 질소 공급원 재료의 교번하는 표면 반응을 포함하는 원자층 증착 공정을 통해 낮은 저항성을 가짐; 및
Dussarrat 등의 미국 특허출원 공보 제2010/0104755호는 금속-C 결합 또는 금속-N-C들 결합을 함유하지 않은 금속 공급원, 규소 전구체, 질소 전구체, 탄소 공급원 및 환원제를 CVD 챔버 내로 도입하고, 이를 기판의 표면에서 반응시켜 단일 단계로 금속 함유 필름을 생성함으로써 금속-함유 필름의 생성 방법을 개시함.
또한 다양한 혼합 티타늄 할로 알킬아미노 유도체의 합성 및 특성화가 알려져 있다. 예를 들어, 다음 문헌 참조: Von Hans Buerger et al., Dialkylamino-titanbromide, Zeitschrift fuer anorganishce und allgemeine Chemie, Band 370, 1969, pp. 275-282;
Von Hans Buerger et al., Dialkylamido-titaniodide, Zeitschrift fuer anorganishce und allgemeine Chemie, Band 381, 1971, pp. 198-204;
Roder 등의 미국 특허출원 공보 제2005/0042888호는 화학식(R1R2N)a-bMXb의 유기금속 전구체를 개시하며, 식에서 M은 전구체 금속 중심으로, Ta, Ti, W, Nb, Si, Al, 및 B의 군으로부터 선택되고; a는 M의 원자가와 같은 수이고; 1≤b≤(a-1)이고; R1 및 R2는 서로 동일하거나 상이할 수 있으며, H, C1-C4 알킬, C3-C6 시클로알킬, 및 R0 3 Si(식에서 각각의 R0은 동일하거나 상이할 수 있고, 각각의 R0는 독립적으로 H 및 C1-C4 알킬로부터 선택됨)의 군으로부터 각각 독립적으로 선택되고; X는 염소, 플루오린, 브롬 및 요오드의 군으로부터 선택됨.
Dussarrat의 프랑스 특허출원 공보 제2871292호는, 규소 공급원의 반응성을 개선시키는 온도 및 압력 조건 하에서 화학식 MX4 또는 MX5(식에서, M은 바람직하게는 Hf임)를 갖는 금속 전구체, 산화제 및 테트라키스(에틸아미노)실란의 주입을 개시한다.
열적으로 안정하며, 휘발성이고, 바람직하게는 고온에서 기상 증착 동안 제어된 필름 두께를 제공할 수 있는 액체 Ti-함유 전구체에 대한 필요가 있다.
다음 화학식 중 하나를 갖는 Ti 할라이드-함유 전구체를 포함하는 Ti-함유 필름 형성 조성물이 개시된다:
TiXb:Ac
(식에서, b=3 또는 4이고; c=1~3이고; X = Br 또는 I이고; A= SR2, SeR2, TeR2, 또는 PR3(식에서 각각의 R은 독립적으로 H 또는 C1-C10 탄화수소임)임).
또한, 다음 화학식 중 하나를 갖는 Ti 할라이드-함유 전구체를 포함하는 Ti-함유 필름 형성 조성물이 개시된다:
Ti(NR'2)y(X)z
Ti(-N-R"-N-)y(X)z
(식에서, y=1~3; z=1~3; y+z=4이고; X = Br 또는 I이고; 각각의 R'는 독립적으로 C1-C5 탄화수소 또는 SiR'"3(각각의 R'"는 독립적으로 H 또는 C1-C5 탄화수소임)이고; R"= C1-C5 탄화수소임).
임의의 개시된 Ti-함유 필름 형성 조성물은 다음 양태 중 하나 이상을 더 포함할 수 있다:
· 각각의 R은 독립적으로 C1-C5 탄화수소임;
· 각각의 R은 각각 상이한 C1-C5 탄화수소임;
· A= SRR', SeRR', TeRR', 또는 PRR'R"(식에서 각각의 R, R', 및 R" = H 또는 C1-C10 탄화수소이며, 단 R은 R' 또는 R"와 동일하지 않음)임;
· c=1 또는 2인 경우, b=4임;
· c=3인 경우, b=3임;
· Ti 할라이드-함유 전구체는 유사 TiX4 화합물의 융점보다 낮은 융점을 가짐;
· X는 Br임;
· Ti 할라이드-함유 전구체는 표준 압력에서 약 -50℃ 내지 약 39℃의 융점을 가짐;
· X는 I임;
· Ti 할라이드-함유 전구체는 표준 압력에서 약 -50℃ 내지 약 150℃의 융점을 가짐;
· Ti 할라이드-함유 전구체는 표준 압력에서 약 -50℃ 내지 약 30℃의 융점을 가짐;
· Ti 할라이드-함유 전구체는 표준 온도 및 압력에서 액체임;
· A는 SR2(식에서 각각의 R 독립적으로 C1-C5 탄화수소)임;
· A는 SRR'(식에서 R 및 R' 독립적으로 C1-C5 탄화수소, 단 R은 R'와 동일하지 않음)임;
· A는 SPr2임;
· A는 SBu2임;
· A는 SEtPr임;
· A는 테트라하이드로티오펜임;
· A=SR2이고, c=1이고, 각각의 R 독립적으로 C3-C5 탄화수소;
· A=SR2이고, c=2이고, 각각의 R 독립적으로 C1-2 탄화수소;
· A=테트라하이드로티오펜이고, c=2임;
· Ti 할라이드-함유 전구체는 TiBr4:SEt(nPr)임;
· Ti 할라이드-함유 전구체는 TiBr4:S(nPr)2임;
· Ti 할라이드-함유 전구체는 TiBr4:S(iPr)2임;
· Ti 할라이드-함유 전구체는 TiBr4:SBu2임;
· Ti 할라이드-함유 전구체는 TiBr4:S(nBu)2임;
· Ti 할라이드-함유 전구체는 TiBr4:S(tBu)2임;
· Ti 할라이드-함유 전구체는 TiBr4:S(iBu)2임;
· Ti 할라이드-함유 전구체는 TiBr4:S(sBu)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SEt2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SMe2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SMeEt)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(테트라하이드로티오펜)2임;
· Ti 할라이드-함유 전구체는 TiI4:SEt(nPr)임;
· Ti 할라이드-함유 전구체는 TiI4:S(nPr)2임;
· Ti 할라이드-함유 전구체는 TiI4:S(iPr)2임;
· Ti 할라이드-함유 전구체는 TiI4:SBu2임;
· Ti 할라이드-함유 전구체는 TiI4:S(nBu)2임;
· Ti 할라이드-함유 전구체는 TiI4:S(tBu)2임;
· Ti 할라이드-함유 전구체는 TiI4:S(iBu)2임;
· Ti 할라이드-함유 전구체는 TiI4:S(sBu)2임;
· Ti 할라이드-함유 전구체는 TiI4:(SEt2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(SMe2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(SMeEt)2임;
· Ti 할라이드-함유 전구체는 TiI4:(테트라하이드로티오펜)2임;
· A는 SeR2(식에서 각각의 R 독립적으로 C1-C5 탄화수소)임;
· A는 SeR2(식에서 각각의 R은 상이한 C1-C5 탄화수소임)임;
· A는 SePr2임;
· A는 SeBu2임;
· A는 SeEtPr임;
· A는 테트라하이드로셀레노펜임;
· A=SeR2이고, c=1이고, 각각의 R 독립적으로 C3-C5 탄화수소;
· A=SeR2이고, c=2이고, 각각의 R 독립적으로 C1-2 탄화수소;
· A=테트라하이드로셀레노펜이고, c=2임;
· Ti 할라이드-함유 전구체는 TiBr4:SeEtPr임;
· Ti 할라이드-함유 전구체는 TiBr4:SePr2임;
· Ti 할라이드-함유 전구체는 TiBr4:SeBu2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SeMe2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SeEt2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(SeMeEt)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(테트라하이드로셀레노펜)2임;
· Ti 할라이드-함유 전구체는 TiI4:SeEtPr임;
· Ti 할라이드-함유 전구체는 TiI4:SePr2임;
· Ti 할라이드-함유 전구체는 TiI4:SeBu2임;
· Ti 할라이드-함유 전구체는 TiI4:(SeMe2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(SeEt2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(SeMeEt)2임;
· Ti 할라이드-함유 전구체는 TiI4:(테트라하이드로셀레노펜)2임;
· L은 TeR2(식에서 각각의 R 독립적으로 C1-C5 탄화수소)임;
· L은 TeR2(식에서 각각의 R은 상이한 C1-C5 탄화수소임)임;
· A는 TePr2임;
· A는 TeBu2임;
· A는 EtPr임;
· A는 테트라하이드로텔룰로펜임;
· A=TeR2이고, c=1이고, 각각의 R 독립적으로 C3-C5 탄화수소;
· A=TeR2이고, c=2이고, 각각의 R 독립적으로 C1-2 탄화수소;
· A= 테트라하이드로텔룰로펜이고, c=2임;
· Ti 할라이드-함유 전구체는 TiBr4:TeEtPr임;
· Ti 할라이드-함유 전구체는 TiBr4:TePr2임;
· Ti 할라이드-함유 전구체는 TiBr4:TeBu2임;
· Ti 할라이드-함유 전구체는 TiBr4:(TeMe2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(TeEt2)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(TeMeEt)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(테트라하이드로텔룰로펜)2임;
· Ti 할라이드-함유 전구체는 TiI4:TeEtPr임;
· Ti 할라이드-함유 전구체는 TiI4:TePr2임;
· Ti 할라이드-함유 전구체는 TiI4:TeBu2임;
· Ti 할라이드-함유 전구체는 TiI4:(TeMe2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(TeEt2)2임;
· Ti 할라이드-함유 전구체는 TiI4:(TeMeEt)2임;
· Ti 할라이드-함유 전구체는 TiI4:(테트라하이드로텔룰로펜)2임;
· A는 PR3(식에서 R 독립적으로 H 또는 C1-C5 탄화수소)임;
· A는 PRR'R"(식에서 R, R', 및 R" H 또는 C1-C5 탄화수소, 단 R은 R' 또는 R"이 아님)임;
· Ti 할라이드-함유 전구체는 TiBr4:PR3(식에서 각각의 R 독립적으로 H 또는 C3-C10 탄화수소)임;
· Ti 할라이드-함유 전구체는 TiBr4:PH3임;
· Ti 할라이드-함유 전구체는 TiBr4:(PR3)2(식에서 각각의 R 독립적으로 H 또는 C1-2 탄화수소)임;
· Ti 할라이드-함유 전구체는 TiBr4:(PH3)2임;
· Ti 할라이드-함유 전구체는 TiBr3:(PR3)3(식에서 각각의 R 독립적으로 H 또는 C1-2 탄화수소)임;
· Ti 할라이드-함유 전구체는 TiBr3:(PH3)3임;
· Ti 할라이드-함유 전구체는 TiBr4:(R2P-(CH2)n-PR2)(식에서 각각의 R 독립적으로 C1-5 탄화수소, n=1~4임)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Me2P-(CH2)n-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(EtMeP-(CH2)n-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Et2P-(CH2)n-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(iPr2P-(CH2)n-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(HiPrP-(CH2)n-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBu2P-(CH2)n-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBuHP-(CH2)n-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tAmHP-(CH2)n-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Me2P-(CH2)-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(EtMeP-(CH2)-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Et2P-(CH2)-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(iPr2P-(CH2)-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(HiPrP-(CH2)-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBu2P-(CH2)-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBuHP-(CH2)-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tAmHP-(CH2)-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Me2P-(CH2)2-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(EtMeP-(CH2)2-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Et2P-(CH2)2-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(iPr2P-(CH2)2-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(HiPrP-(CH2)2-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBu2P-(CH2)2-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tBuHP-(CH2)2-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr4:(tAmHP-(CH2)2-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI4:(Me2P-(CH2)n-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI4:(EtMeP-(CH2)n-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI4:(Et2P-(CH2)n-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI4:(iPr2P-(CH2)n-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI4:(HiPrP-(CH2)n-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBu2P-(CH2)n-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBuHP-(CH2)n-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI4:(tAmHP-(CH2)n-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI4:(Me2P-(CH2)-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI4:(EtMeP-(CH2)-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI4:(Et2P-(CH2)-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI4:(iPr2P-(CH2)-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI4:(HiPrP-(CH2)-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBu2P-(CH2)-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBuHP-(CH2)-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI4:(tAmHP-(CH2)-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI4:(Me2P-(CH2)2-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI4:(EtMeP-(CH2)2-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI4:(Et2P-(CH2)2-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI4:(iPr2P-(CH2)2-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI4:(HiPrP-(CH2)2-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBu2P-(CH2)2-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI4:(tBuHP-(CH2)2-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI4:(tAmHP-(CH2)2-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiBr3:(R2P-(CH2)n-PR2)(식에서 각각의 R 독립적으로 C1-5 탄화수소, n=1~4임)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Me2P-(CH2)n-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(EtMeP-(CH2)n-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Et2P-(CH2)n-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(iPr2P-(CH2)n-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(HiPrP-(CH2)n-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBu2P-(CH2)n-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBuHP-(CH2)n-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tAmHP-(CH2)n-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Me2P-(CH2)-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(EtMeP-(CH2)-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Et2P-(CH2)-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(iPr2P-(CH2)-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(HiPrP-(CH2)-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBu2P-(CH2)-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBuHP-(CH2)-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tAmHP-(CH2)-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Me2P-(CH2)2-PMe2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(EtMeP-(CH2)2-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiBr3:(Et2P-(CH2)2-PEt2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(iPr2P-(CH2)2-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(HiPrP-(CH2)2-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBu2P-(CH2)2-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tBuHP-(CH2)2-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiBr3:(tAmHP-(CH2)2-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI3:(Me2P-(CH2)n-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI3:(EtMeP-(CH2)n-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI3:(Et2P-(CH2)n-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI3:(iPr2P-(CH2)n-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI3:(HiPrP-(CH2)n-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBu2P-(CH2)n-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBuHP-(CH2)n-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI3:(tAmHP-(CH2)n-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI3:(Me2P-(CH2)-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI3:(EtMeP-(CH2)-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI3:(Et2P-(CH2)-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI3:(iPr2P-(CH2)-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI3:(HiPrP-(CH2)-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBu2P-(CH2)-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBuHP-(CH2)-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI3:(tAmHP-(CH2)-PHtAm)임;
· Ti 할라이드-함유 전구체는 TiI3:(Me2P-(CH2)2-PMe2)임;
· Ti 할라이드-함유 전구체는 TiI3:(EtMeP-(CH2)2-PMeEt)임;
· Ti 할라이드-함유 전구체는 TiI3:(Et2P-(CH2)2-PEt2)임;
· Ti 할라이드-함유 전구체는 TiI3:(iPr2P-(CH2)2-PiPr2)임;
· Ti 할라이드-함유 전구체는 TiI3:(HiPrP-(CH2)2-PHiPr)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBu2P-(CH2)2-PtBu2)임;
· Ti 할라이드-함유 전구체는 TiI3:(tBuHP-(CH2)2-PHtBu)임;
· Ti 할라이드-함유 전구체는 TiI3:(tAmHP-(CH2)2-PHtAm)임;
· A는 R(=O)Cl(식에서 R은 C2-C4 탄화수소임)임;
· Ti 할라이드-함유 전구체는 TiBr4:R(=O)Cl(식에서 R은 C2-C10 탄화수소임)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Me-C(=O)Cl)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Ph-C(=O)Cl)임;
· Ti 할라이드-함유 전구체는 TiI4:(Me-C(=O)Cl)임;
· A는 RNO2(식에서 R은 C1-C5 탄화수소임)임;
· Ti 할라이드-함유 전구체는 TiBr4:(MeNO2)임;
· Ti 할라이드-함유 전구체는 TiI4:(MeNO2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(EtNO2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(PrNO2)임;
· Ti 할라이드-함유 전구체는 TiBr4:(PhNO2)임;
· A는 R≡N(식에서 R은 C2-C6 탄화수소임)임;
· Ti 할라이드-함유 전구체는 TiBr4:(Me-C≡N)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(Et-C≡N)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(Pr-C≡N)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(Bu-C≡N)2임;
· Ti 할라이드-함유 전구체는 TiBr4:(Ph-C≡N)2임;
· A는 피리딘임;
· A는 피페리딘임;
· Ti 할라이드-함유 전구체는 TiBr4:피리딘임;
· Ti 할라이드-함유 전구체는 TiBr4:피페리딘임;
· Ti 할라이드-함유 전구체는 TiBr4:2,2,6,6-테트라메틸피페리딘임;
· Ti 할라이드-함유 전구체는 TiX3(NR2)임;
· Ti 할라이드-함유 전구체는 TiBr3(NR2)임;
· Ti 할라이드-함유 전구체는 TiBr3(NEt2)임;
· Ti 할라이드-함유 전구체는 TiBr3(피롤리딘)임;
· Ti 할라이드-함유 전구체는 TiBr3(피리딘)임;
· Ti 할라이드-함유 전구체는 TiBr3(피페리딘)임;
· Ti 할라이드-함유 전구체는 TiI3(NR2)임;
· Ti 할라이드-함유 전구체는 TiX2(NR2)2임;
· Ti 할라이드-함유 전구체는 TiBr2(NR2)2임;
· Ti 할라이드-함유 전구체는 TiBr2(NMe2)2임;
· Ti 할라이드-함유 전구체는 TiI2(NR2)2임;
· Ti 할라이드-함유 전구체는 TiX(NR2)3임;
· Ti 할라이드-함유 전구체는 TiBr(NR2)3임;
· Ti 할라이드-함유 전구체는 TiI(NR2)3임;
· Ti 할라이드-함유 전구체는 TiX3(NR, R'-fmd)이며, R 및 R'는 독립적으로 C1-C5 탄화수소임;
· Ti 할라이드-함유 전구체는 TiBr3(NiPr-fmd)임;
· Ti 할라이드-함유 전구체는 TiI3(NiPr-fmd)임;
· Ti 할라이드-함유 전구체는 TiX3(NR,R' R"-amd)이며, R, R', 및 R"는 독립적으로 C1-C5 탄화수소임;
· Ti 할라이드-함유 전구체는 TiBr3(NiPr Me-amd)임;
· Ti 할라이드-함유 전구체는 TiI3(NiPr Me-amd)임;
· Ti 할라이드-함유 전구체는 TiBr2(-N(R)-C2H4-N(R)-)(식에서 각각의 R은 독립적으로 C1-C5 탄화수소임)임;
· Ti 할라이드-함유 전구체는 TiIBr2(-N(R)-C2H4-N(R)-)(식에서 각각의 R은 독립적으로 C1-C5 탄화수소임)임;
· Ti-함유 필름 형성 조성물은 약 0.1 몰% 내지 약 50 몰%의 티타늄 할라이드-함유 전구체를 포함함;
· Ti-함유 필름 형성 조성물은 약 1 내지 약 50 cps의 점도를 가짐;
· Ti-함유 필름 형성 조성물은 약 1 내지 약 20 cps의 점도를 가짐;
· Ti-함유 필름 형성 조성물은 약 95% w/w 내지 약 100% w/w의 티타늄 할라이드-함유 전구체를 포함함;
· Ti-함유 필름 형성 조성물은 약 99% w/w 내지 약 100% w/w의 티타늄 할라이드-함유 전구체를 포함함;
· Ti-함유 필름 형성 조성물은 용매를 더 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 10% w/w의 탄화수소 용매 또는 유리 부가물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 5% w/w의 탄화수소 용매 또는 유리 부가물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 5 ppm의 H2O를 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.2% w/w의, 옥시브로마이드(TiBr2(=O)), 히드록시브로마이드(TiBr3(OH)), 및 산화물(TiO2)의 혼합물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.1% w/w의, 옥시브로마이드(TiBr2(=O)), 히드록시브로마이드(TiBr3(OH)), 및 산화물(TiO2)의 혼합물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.2% w/w의, 옥시요오다이드(TiI2(=O)), 히드록시요오다이드(TiI3(OH)), 및 산화물(TiO2)의 혼합물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 및 0.1% w/w의, 옥시요오다이드(TiI2(=O)), 히드록시요오다이드(TiI3(OH)), 및 산화물(TiO2)의 혼합물을 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.1% w/w의 브롬화수소(HBr)를 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.1% w/w의 요오드화수소(HI)를 포함함;
· Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.2% w/w의 TiX4:SR'2(식에서 R'≠R임)를 포함함;
· 용매는, 포화 또는 불포화, 케톤, 에테르, 글라임, 에스테르, 테트라하이드로푸란(THF), 디메틸 옥살레이트(DMO), 및 이들의 조합과 관계없이, C1-C16 탄화수소로 이루어진 군으로부터 선택됨;
· 용매는 C1-C16 탄화수소임;
· 용매는 C1-C16 할로겐화 탄화수소임;
· 용매는 테트라하이드로푸란(THF)임;
· 용매는 DMO임;
· 용매는 에테르임;
· 용매는 글라임임; 또는
· Ti 할라이드-함유 전구체와 용매간의 비점의 차이는 100℃ 미만임.
또한, 유입 도관 및 배출 도관을 갖고, 상기 개시된 Ti-함유 필름 형성 조성물 중 임의의 것을 함유하는 캐니스터(canister)를 포함하는 Ti-함유 필름 형성 조성물 전달 장치가 개시된다. 개시된 전달 장치는 다음 양태 중 하나 이상을 포함할 수 있다:
· 금속 오염물의 총 농도가 10 ppmw 미만인 Ti-함유 필름 형성 조성물;
· Ti-함유 필름 형성 조성물의 표면 위에 위치한 유입 도관의 말단 및 Ti-함유 필름 형성 조성물의 표면 위에 위치한 배출 도관의 말단;
· Ti-함유 필름 형성 조성물의 표면 위에 위치한 유입 도관의 말단 및 Ti-함유 필름 형성 조성물의 표면 아래에 위치한 배출 도관의 말단;
· Ti-함유 필름 형성 조성물의 표면 아래에 위치한 유입 도관의 말단 및 Ti-함유 필름 형성 조성물의 표면 위에 위치한 배출 도관의 말단; 또는
· TiBr4:S(nPr)2인 티타늄 할라이드-함유 전구체.
또한, 하나 이상의 기판 상에 Ti-함유 필름의 증착을 위한 공정이 개시된다. 상기 개시된 적어도 하나의 Ti-함유 필름 형성 조성물은 적어도 하나의 기판이 그 안에 배치된 반응기에 도입된다. 티타늄 할라이드-함유 전구체의 적어도 일부는 기판(들) 상에 증착되어 Ti-함유 필름을 형성한다. 개시된 공정은 다음 양태 중 하나 이상을 더 포함할 수 있다:
· 적어도 하나의 반응물을 반응기에 도입하는 단계;
· 반응물은 플라즈마 처리됨;
· 반응물은 원격 플라즈마 처리됨;
· 반응물은 플라즈마 처리되지 않음;
· 반응물은 H2, NH3, 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, 환형 아민, 예컨대 피롤리딘 또는 피리미딘), 니트릴(예컨대, 아세토니트릴), 디아민(예컨대, 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민), 아미노알코올(예컨대, 에탄올아민[HO-CH2-CH2-NH2], 비스에탄올아민[HN(C2H5OH)2] 또는 트리스에탄올아민[N(C2H5OH)3]), 피라졸린, 및 피리딘으로 이루어진 군으로부터 선택됨;
· 반응물은 (SiH3)3N; N(SiHxR3-x)3(식에서 각각의 x는 독립적으로 1~3이고, 각각의 R은 독립적으로 알킬 또는 NR'2(식에서 각각의 R'는 독립적으로 H 또는 C1-C4 알킬임)임)(예컨대, (H3Si)2N(SiH2NEt2), (H3Si)2N(SiH2NiPr2), 또는 (H3Si)2N(SiH2iPr)); R3Si-NH-SiR3(식에서 각각의 R은 독립적으로 H, Cl, Br, I, 또는 C1-C4 알킬기임)(예컨대, H3Si-NH-SiH3, H2ISi-NH-SiH3, 또는 Me3Si-NH-SiMe3); 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12); 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8); 브로모실란 및 브로모폴리실란(예컨대, SiHBr3, SiH2Br2, SiH3Br, Si2Br6, Si2HBr5, Si3Br8); 요오도실란 및 요오도폴리실란(예컨대, SiHI3, SiH2I2, SiH3I, Si2I6, Si2HI5, Si3I8); 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3); 및 아미노실란(예컨대, 트리스(디메틸아미노)실란, 비스(디에틸아미노)실란, 디-이소프로필아미노실란 및 기타 모노, 비스 또는 트리스 아미노실란); 이들의 라디칼; 또는 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 반응물은 NH3, N(SiH3)3, 아미노실란, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 반응물은 트리알킬알루미늄, 디알킬알루미늄 할라이드, 알킬알루미늄 할라이드, 알루미늄의 알킬아미노 및 알콕시 유도체, 알란, 아미노-부가된 알란, 및 이들의 혼합물임;
· 반응물은 NH3임;
· 반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 알코올, 디올(예컨대, 에틸렌 글리콜), 이들의 플라즈마 활성화된 산소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 반응물은 H2O임;
· 반응물은 O2임;
· 반응물은 플라즈마 처리된 O2임;
· 반응물은 O3임;
· 반응물은 NH3, 히드라진 및 치환된 히드라진, 아민류, 예컨대 1급 아민(메틸아민, 에틸아민, 이소프로필아민, tert부틸아민), 2급 아민(예컨대, 디메틸아민, 디에틸아민, 에틸메틸아민, 디-이소프로필아민, 피롤리딘), 또는 3급 아민(예컨대, 트리에틸아민(TEA), 트리메틸아민(TMA))으로 이루어진 군으로부터 선택됨;
· 반응물은 NH3임;
· 반응물은 히드라진 또는 치환된 히드라진임;
· 반응물은 1급 아민, 예컨대 메틸아민, 에틸아민, 이소프로필아민, tert부틸아민임;
· 반응물은 2급 아민, 예컨대 디메틸아민, 디에틸아민, 에틸메틸아민, 비스-이소프로필아민, 피롤리딘임;
· 반응물은 3급 아민, 예컨대 TEA, TMA임;
· 반응물은 Si-함유 전구체임;
· Si-함유 전구체는 SiH4, Si2H6, Si4H8, 트리실릴아민(TSA), 및 치환된 TSA(알킬, 디알킬아민, 할라이드에 의해 치환됨)로 이루어진 군으로부터 선택됨;
· Si-함유 전구체는 TSA임;
· Ti-함유 필름 형성 조성물 및 반응물은 반응기에 동시에 도입됨;
· 반응기는 화학 기상 증착을 위해 구성됨;
· 반응기는 플라즈마 강화 화학 기상 증착을 위해 구성됨;
· Ti-함유 필름 형성 조성물 및 반응물이 순차적으로 챔버에 도입됨;
· 반응기는 원자층 증착을 위해 구성됨;
· 반응기는 플라즈마 강화 원자층 증착을 위해 구성됨;
· 반응기는 공간 원자층 증착을 위해 구성됨;
· Ti 할라이드-함유 전구체로부터 부가물 A를 유리시키는 단계;
· 유리된 부가물 A는 차단제를 형성함;
· 차단제를 반응기 내로 도입하는 단계;
· 차단제는 자가-조립 단일층임;
· 차단제는 저해제임;
· Ti-함유 필름은 산화티타늄(TinOm, 식에서 각각의 n 및 m은 1 이상 6 이하의 범위의 정수임)임;
· Ti-함유 필름은 TiO2임;
· Ti-함유 필름은 TiN임;
· Ti-함유 필름은 TiSiN임;
· Ti-함유 필름은 TiMiOx(식에서 i는 0 내지 1의 범위이고; x는 1 내지 6의 범위이고; M은 주기율표로부터의 임의의 원소임)임;
· Ti-함유 필름은 TiMiOx(식에서 i는 0 내지 1의 범위이고; x는 1 내지 6의 범위이고; M은 Si, Al, 또는 Ge임)임;
· Ti-함유 필름은 TiMiNy(식에서 i는 0 내지 1의 범위이고; y는 0.5 내지 6의 범위이고; M은 주기율표로부터의 임의의 원소임)임;
· Ti-함유 필름은 TiMiNy(식에서 i는 0 내지 1의 범위이고; y는 0.5 내지 6의 범위이고; M은 Si, Al, 또는 Ge임)임;
· Ti-함유 필름은 TiCN임;
· Ti-함유 필름은 TiAl임;
· Ti-함유 필름은 TiAlN임;
· Ti-함유 필름은 TiMiNyOx(식에서 i는 0 내지 1의 범위이고; x 및 y는 1 내지 6의 범위이고; M은 주기율표로부터의 임의의 원소임)임;
· Ti-함유 필름은 TiMiNyOx(식에서 i는 0 내지 1의 범위이고; x 및 y는 1 내지 6의 범위이고; M은 Si, Al, 또는 Ge임)임;
· Ti-함유 필름의 C 농도는 약 0 at% 내지 5 at%의 범위임;
· Ti-함유 필름의 O 농도는 약 0 at% 내지 40 at%의 범위임;
· Ti-함유 필름의 S 농도는 약 0 at% 내지 2 at%의 범위임;
· Ti-함유 필름의 Se 농도는 약 0 at% 내지 2 at%의 범위임;
· Ti-함유 필름의 Te 농도는 약 0 at% 내지 2 at%의 범위임;
· Ti-함유 필름의 P 농도는 약 0 at% 내지 2 at%의 범위임;
· TiN-함유 필름은 커패시터 구조에서 전극을 형성함;
· TiN-함유 필름은 CMOS 트랜지스터 또는 플래시 메모리에서 금속 게이트를 형성함;
· TiN-함유 필름은 매립된 워드 라인(buried word line)을 형성함;
· Ti-함유 필름은 CMOS 트랜지스터 또는 플래시 메모리에서 전도성 금속 플러그와 하부 도핑된 규소 층 사이의 티타늄 실리사이드 접촉 층임;
· Ti-함유 필름은 전도성 금속 플러그는 아닌, 도핑된 규소 층 위에 선택적으로 증착됨; 또는
· Ti-함유 필름은 질화티타늄 층을 텅스텐 층 상에 선택적으로 증착시켜 매립된 워드 라인을 형성함.
표기법 및 명칭
특정 약어, 기호, 및 용어는 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다:
본원에서 사용되는 단수형은 하나 이상을 의미한다.
본원에서 사용되는 용어 "대략" 또는 "약"은 명시된 값의 ± 10%를 의미한다.
본원에서 사용되는 "독립적으로"라는 용어가 R기를 설명하는 문맥에서 사용되는 경우, 이는 대상 R기가 동일하거나 상이한 아래 첨자 또는 위 첨자를 갖는 다른 R기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R기의 임의의 추가 종에 대해 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, x가 2 또는 3인 화학식 MR1 x (NR2R3)(4-x)에서, 2개 또는 3개의 R1기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만 그럴 필요는 없다. 또한, 특별히 명시하지 않는 한, 상이한 화학식에서 사용되는 경우 R기의 값은 서로 독립적인 것으로 이해될 것이다.
본 명세서에 사용되는 용어 "부가물"은 2개의 별개의 분자 독립체가 원자의 손실없이 연결되는 방식으로, 직접적인 조합에 의해 형성되는 분자 독립체를 의미하고; 용어 "루이스 산"은 전자쌍 수용체인 분자 독립체를 의미하고; 용어 "루이스 염기"는 전자쌍을 제공하여 루이스 산에 배위할 수 있는 분자 독립체를 의미하고; 용어 "루이스 부가물"은 루이스 산과 루이스 염기 사이에 형성된 부가물을 의미한다.
본원에서 사용되는 용어 "히드로카빌기"는 탄소 및 수소를 함유하는 작용기를 의미하고; 용어 "알킬기"는 탄소 및 수소 원자만을 함유하는 포화된 작용기를 의미한다. 히드로카빌기는 포화되거나 포화되지 않을 수 있다. 두 용어 모두 선형, 분지형, 또는 환형 기를 의미한다. 선형 알킬기의 예는 메틸기, 에틸기, 프로필기, 부틸기 등을 제한 없이 포함한다. 분지형 알킬기의 예는 t-부틸을 제한 없이 포함한다. 환형 알킬기의 예는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 제한 없이 포함한다.
본 명세서에 사용되는 약어 "Me"는 메틸기를 지칭하고; 약어 "Et"는 에틸기를 지칭하고; 약어 "Pr"은 프로필기를 지칭하고; 약어 "nPr"은 "노르말" 또는 선형 프로필기를 지칭하고; 약어 "iPr"은 이소프로필기를 지칭하고; 약어 "Bu"는 부틸기를 지칭하고; 약어 "nBu"는 "노르말" 또는 선형 부틸기를 지칭하고; 약어 "tBu"는, 1,1-디메틸에틸로도 알려진, tert-부틸기를 지칭하고; 약어 "sBu"는, 1-메틸프로필로도 알려진, sec-부틸기를 지칭하고; 약어 "iBu"는, 2-메틸프로필로도 알려진, 이소-부틸기를 지칭하고; 용어 "아밀"은 아밀 또는 펜틸기(즉, C5 알킬기)를 지칭하고; 용어 "tAmyl"은, 1,1-디메틸프로필로도 알려진, tert-아밀기를 지칭하고; 용어 "할라이드"는 할로겐 음이온 F-, Cl-, Br-, 및 I-을 지칭하고; 및 약어 "TMS"는 트리메틸실릴 또는 -SiMe3을 지칭한다.
본원에서 사용되는 바와 같이, R = R'인 경우의 약어 "NR, R' R"-amd" 또는 NR R"-amd는 아미디네이트 리간드 [R-N-C(R")=N-R']이고, R, R' 및 R"은 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu와 같은 한정된 알킬기이고; R = R'인 경우의 약어 "NR, R'-fmd" 또는 NR-fmd는 포르미디네이트 리간드 [R-N-C(H)=N-R']이고, R 및 R'은 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu와 같은 한정된 알킬기이고; R = R'이고 R"=R'"인 경우의 약어 "NR, R', NR'', R'''-gnd" 또는 NR, NR"-gnd는 구아니디네이트 리간드 [R-N-C(NR"R'")=NR']이고, R, R', R" 및 R'"는 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu와 같은 한정된 알킬기이다. 본원에서 리간드 백본의 C와 N 사이에 이중 결합을 갖는 것으로 기술되었지만, 당업자는 아미디네이트, 포르미디네이트 및 구아니디네이트 리간드가 고정된 이중 결합을 함유하지 않는다는 것을 인식할 것이다. 대신, 하나의 전자가 N-C-N 사슬 사이에서 비편재화된다.
Figure 112020060588148-pct00001
원소 주기율표로부터의 원소의 표준 약어가 본 명세서에 사용된다. 원소가 이들 약어로 지칭될 수 있음이 이해되어야 한다(예를 들어, Ti는 티타늄을 지칭하고, Br은 브롬을 지칭하고, C는 탄소를 지칭하는 등임). 추가로, 3족은 주기율표의 3족(즉, Sc, Y, La, 또는 Ac)을 지칭하고, 5족은 주기율표의 5족(즉, V, Nb, 또는 Ta)을 지칭한다.
본 명세서에 언급된 임의의 모든 범위는, 용어 "포괄적으로(inclusive)"라는 용어의 사용 여부에 관계없이 그의 종점을 포함한다(즉, x=1 내지 4 또는 x는 1 내지 4의 범위는, x=1, x=4, 및 x=그 사이의 임의의 수를 포함함).
본 명세서에 사용된 바와 같은, 용어 "선택적인" 또는 "선택적으로"는 제1 유형의 기판 상에 필름을 증착시키는 한편, 제2 유형의 기판 상에는 필름을 증착시키지 않는 것을 의미하거나, 제2 유형의 기판 상보다는 제1 유형의 기판 상에서 필름이 우선적으로 더 신속히 성장함을 의미한다. 예를 들어, 기판은 도핑된 이산화규소에 의해 둘러싸인 텅스텐 플러그 또는 채널을 함유할 수 있다. 개시된 Ti-함유 필름 형성 조성물은 Ti-함유 필름을 텅스텐 상에 증착시킬 수 있지만, 주위의 이산화규소 상에는 증착시킬 수 없으며, 또는 그 반대도 마찬가지이다. 대안적으로, 동일한 노출 기간 동안, 개시된 Ti-함유 필름 형성 조성물은 다른 유형의 기판 상에서보다 제1 유형의 기판 상에서 더 두꺼운 필름을 형성할 수 있다. 더 두꺼운 필름은 더 신속한 성장 속도 또는 더 짧은 유도 시간에 기인할 수 있다. 결과적으로, 개시된 Ti-함유 필름 형성 조성물은 제2 기판에 비해, 제1 기판 상에 Ti-함유 필름을 선택적으로 증착시킨다.
산화티타늄 또는 질화티타늄과 같은 증착된 필름 또는 층은 적절한 화학량론(즉, TiO2, Ti3N4)의 참조 없이 명세서 및 청구범위 전체에 걸쳐 열거될 수 있음에 유의해야 한다. 층은 순수한 (Ti) 층, 탄화물(TioCp) 층, 질화물(TikNl) 층, 산화물(TinOm) 층, 또는 이들의 혼합물을 포함할 수 있으나 이에 한정되지 않으며, k, l, m, n, o, 및 p는 1 이상 6 이하의 범위이다. 예를 들어, 산화티타늄은 TinOm이며, 여기에서 n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 보다 바람직하게는, 산화티타늄 층은 TiO2이다. 이들 필름은 일반적으로 0 at% 내지 15 at%의 수소를 함유할 수도 있다. 그러나, 통상적으로 측정되지 않기 때문에, 달리 명시되지 않는 한, 주어진 임의의 필름 조성은 H 함량을 무시한다.
본 발명의 본질 및 목적에 대한 추가적인 이해를 위해, 첨부 도면과 함께 다음의 상세한 설명이 참조되어야 한다.
도 1은 액체 Ti 함유 필름 형성 조성물 전달 장치(1)의 일 구현예의 측면도이고;
도 2는 Ti 함유 필름 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이고;
도 3은 고체 Ti 함유 필름 형성 조성물을 승화시키기 위한 고체 전구체 승화기(100)의 예시적인 구현예이고;
도 4는 실시예 1에서 생성된 TiBr4:S(nPr)2 전구체의 1H NMR 스펙트럼이고;
도 5는 온도 상승에 따른 TiBr4:S(nPr)2의 중량 손실 백분율(TGA) 또는 차동 온도(DTA)를 나타내는 열중량분석/시차열분석(TGA/DTA) 그래프이고;
도 6은 실시예 2에서 생성된 TiBr4:SEtPr 전구체의 1H NMR 스펙트럼이고;
도 7은 온도 증가에 따른 TiBr4:SEtPr의 중량 손실 백분율을 나타내는 TGA 그래프이고;
도 8은 실시예 3의 공정을 나타내는 플로 차트이고;
도 9도 8의 단계 1에 의해 생성된 NH2 말단화된 기판의 측면도이고;
도 10은 도 8의 단계 2의 출발에서 기판의 측면도이고;
도 11은 기판과의 반응 및 도 8의 단계 2에 의해 생성된 반응 부산물과의 반응의 측면도이고;
도 12는 도 8의 단계 3에 의해 생성된 기판의 측면도이고;
도 13은 도 8의 단계 4 동안 기판의 측면도이고; 및
도 14는 TiBr4:S(nPr)2 전구체를 사용하여 ALD 사이클 횟수 당 질화티타늄 필름 성장 속도 및 생성된 질화티타늄 필름 두께를 나타내는 그래프이다.
Ti-함유 필름 형성 조성물이 개시된다. Ti-함유 필름 형성 조성물은 다음 화학식 중 하나를 갖는 Ti 할라이드-함유 전구체를 포함한다:
TiXb:Ac
Ti(NR2)y(X)z
Ti(-N-R"-N-)y(X)z
(식에서, c=3인 경우 b=3이고; c=1 또는 2인 경우, b=4; y=1~3; z=1~3; y+z=4이고; X = Br 또는 I이고; A= SR2, SeR2, TeR2, 또는 PR3이고; 각각의 R은 독립적으로 H, C1-C5 탄화수소이고, 또는 SiR'3(식에서 각각의 R'는 독립적으로 H 또는 C1-C5 탄화수소임)이고; R" = C1-C5 탄화수소임). 바람직하게는, b=4이고, c=1 또는 2이다. 그러나, 특정 구현예에서, 8면체 TiX3:A3가 가장 안정한 구현예이다.
화학식 TiX4:Ac(식에서 c=1 또는 2 및 X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:SR2, TiX4:(SR2)2, TiX4:SeR2, TiX4:(SeR2)2, TiX4:TeR2, 또는 TiX4:(TeR2)2(식에서 각각의 R은 독립적으로 C1-C5 탄화수소임)를 포함한다. Ti 할라이드-함유 전구체는, 상이한 R들이 사용되는 경우(예를 들어, SEtPr) 액체일 수 있다. 상이한 R기들은 분자간 힘을 감소시킬 수 있고, 동일한 R기들을 갖는 분자보다 더 낮은 융점 및 점도를 생성한다(즉, SEtPr는 SEt2 및/또는 SPr2보다 더 낮은 융점 및 점도를 가질 수 있음). 2개의 R기는 연결되어 환형 구조를 형성할 수도 있다. c=2인 경우, 각각의 R은 바람직하게는 입체 장애로 인하여 더 작은 탄화수소 리간드일 수 있다. 예를 들어, c=2인 경우, 각각의 R은 독립적으로 C1-2 탄화수소일 수 있다. 대조적으로, c=1인 경우, 전구체는 입체 장애가 적으며, 각각의 R은 독립적으로 C3-C5 탄화수소일 수 있다.
X=Br 및 A=SR2인 경우, 예시적인 TiX4:Ac 전구체는 TiBr4:SEtPr, TiBr4:SPr2, TiBr4:S(nPr)2, TiBr4:S(iPr)2, TiBr4:SBu2, TiBr4:S(nBu)2, TiBr4:S(tBu)2, TiBr4:S(iBu)2, TiBr4:S(sBu)2, TiBr4:(SMe2)2, TiBr4:(SEt2)2, TiBr4:(SMeEt)2, 또는 TiBr4:(테트라하이드로티오펜)2를 포함한다.
TiBr4:S(iBu)2, TiBr4:S(sBu)2, TiBr4:(SMe2)2, TiBr4:(SEt2)2, TiBr4:(SMeEt)2, 또는 TiBr4:(테트라하이드로티오펜)2.
X=I 및 A=SR2인 경우, 예시적인 TiX4:Ac 전구체는 TiI4:SEtPr, TiI4:S(nPr)2, TiI4:S(iPr)2, TiI4:SBu2, TiI4:S(nBu)2, TiI4:S(tBu)2, TiI4:S(iBu)2, TiI4:S(sBu)2, TiI4:(SEt2)2, TiI4:(SMe2)2, TiI4:(SMeEt)2, 또는 TiI4:(테트라하이드로티오펜)2를 포함한다.
예시적인 TiX4:(SeR2)c 전구체는 TiBr4:SeMePr, TiBr4:SePr2, TiBr4:SeBu2, TiBr4:(SeMe2)2, TiBr4:(SeEt2)2, TiBr4:(SeMeEt)2, 또는 TiBr4:(테트라하이드로셀레노펜)2를 포함한다.
예시적인 TiX4:(TeR2)c 전구체는 TiBr4:TeMePr, TiBr4:TePr2, TiBr4:TeBu2, TiBr4:(TeMe2)2, TiBr4:(TeEt2)2, TiBr4:(TeMeEt)2, 또는 TiBr4:(테트라하이드로텔룰로펜)2를 포함한다.
이들 전구체는 임의의 용매 중 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어, 문헌[Fowles et al., Journal of the less common metals, 8, 1965, pp. 47-50] 참조. 할라이드 출발 재료는 구매 가능하다. SR2, SeR2, 및 TeR2 출발 재료는 구매 가능하고/가능하거나 문헌에 알려진 방법들에 의해 합성될 수 있다. 추가의 상세한 내용을 포함하는 예시적인 합성 방법이 아래 실시예에 제공된다.
화학식 TiXb:Ac(식에서, b=3 또는 4이고, c=1~3이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는, TiXb:(PR3)c(식에서, 각각의 R은 독립적으로 H 또는 C1-C5 탄화수소임)를 포함한다. A는 PRR'R"(식에서, R은 R' 및 R"와 동일하지 않음)일 수 있다. 인접한 R기들은 연결되어 환형 구조를 형성할 수도 있다. c=2인 경우, 각각의 R은 입체 장애 때문에 바람직하게는 더 작은 탄화수소 리간드이다. 예를 들어, c=2의 경우, 각각의 R은 독립적으로 H 또는 C1-2 탄화수소일 수 있다. 대조적으로, c=1의 경우, 전구체는 입체 장애가 더 적으며, 각각의 R은 독립적으로 C3-C10 탄화수소일 수 있다. 예시적인 TiXb:(PR3)c 전구체는 TiBr4:PH3, TiBr4:(PH3)2 또는 TiBr3:(PH3)3을 포함한다. 이들 전구체는 과량의 PR3과 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어, 문헌[R. Hoeltje, Zeitschrift fuer Anorganische und Allgemeine Chemie, 1930, 190, pp 241-256] 참조.
또 다른 예시적인 Ti 할라이드-함유 전구체는 화학식 TiX4:(R2P-(CH2)n-PR2) 또는 TiX3:(R2P-(CH2)n-PR2)(식에서, 각각의 R은 독립적으로 C1-5 탄화수소이고, n=1~4임)를 갖는다. 이들 전구체는 과량의 R2P-CH2-PR2와 Ti 할라이드의 직접 반응에 의해 합성될 수 있다. 예를 들어, 문헌[Fowles et al., Journal of the less common metals, 8, 1965, pp. 47-50] 참조. 당업자는 R2P-CH2-PR2 리간드가 Ti(IV) 내지 Ti(III)을 환원시킬 수 있음을 인식할 것이다. 결과적으로, Ti-함유 필름 형성 조성물은 TiX4:(R2P-(CH2)n-PR2) 및 TiX3:(R2P-(CH2)n-PR2) 전구체 둘 모두의 조합을 포함할 수 있다.
X=Br인 경우, 예시적인 TiX4:(R2P-(CH2)n-PR2) 전구체는 TiBr4:(Me2P-(CH2)n-PMe2), TiBr4:(EtMeP-(CH2)n-PMeEt), TiBr4:(Et2P-(CH2)n-PEt2), TiBr4:(iPr2P-(CH2)n-PiPr2), TiBr4:(HiPrP-(CH2)n-PHiPr), TiBr4:(tBu2P-(CH2)n-PtBu2), TiBr4:(tBuHP-(CH2)n-PHtBu), TiBr4:(tAmHP-(CH2)n-PHtAm), TiBr4:(Me2P-(CH2)-PMe2), TiBr4:(EtMeP-(CH2)-PMeEt), TiBr4:(Et2P-(CH2)-PEt2), TiBr4:(iPr2P-(CH2)-PiPr2), TiBr4:(HiPrP-(CH2)-PHiPr), TiBr4:(tBu2P-(CH2)-PtBu2), TiBr4:(tBuHP-(CH2)-PHtBu), TiBr4:(tAmHP-(CH2)-PHtAm), TiBr4:(Me2P-(CH2)2-PMe2), TiBr4:(EtMeP-(CH2)2-PMeEt), TiBr4:(Et2P-(CH2)2-PEt2), TiBr4:(iPr2P-(CH2)2-PiPr2), TiBr4:(HiPrP-(CH2)2-PHiPr), TiBr4:(tBu2P-(CH2)2-PtBu2), TiBr4:(tBuHP-(CH2)2-PHtBu), 또는 TiBr4:(tAmHP-(CH2)2-PHtAm)을 포함한다.
예시적인 TiX3:(R2P-(CH2)n-PR2) 전구체는 TiBr3:(Me2P-(CH2)n-PMe2), TiBr3:(EtMeP-(CH2)n-PMeEt), TiBr3:(Et2P-(CH2)n-PEt2), TiBr3:(iPr2P-(CH2)n-PiPr2), TiBr3:(HiPrP-(CH2)n-PHiPr), TiBr3:(tBu2P-(CH2)n-PtBu2), TiBr3:(tBuHP-(CH2)n-PHtBu), TiBr3:(tAmHP-(CH2)n-PHtAm), TiBr3:(Me2P-(CH2)-PMe2), TiBr3:(EtMeP-(CH2)-PMeEt), TiBr3:(Et2P-(CH2)-PEt2), TiBr3:(iPr2P-(CH2)-PiPr2), TiBr3:(HiPrP-(CH2)-PHiPr), TiBr3:(tBu2P-(CH2)-PtBu2), TiBr3:(tBuHP-(CH2)-PHtBu), TiBr3:(tAmHP-(CH2)-PHtAm), TiBr3:(Me2P-(CH2)2-PMe2), TiBr3:(EtMeP-(CH2)2-PMeEt), TiBr3:(Et2P-(CH2)2-PEt2), TiBr3:(iPr2P-(CH2)2-PiPr2), TiBr3:(HiPrP-(CH2)2-PHiPr), TiBr3:(tBu2P-(CH2)2-PtBu2), TiBr3:(tBuHP-(CH2)2-PHtBu), 또는 TiBr3:(tAmHP-(CH2)2-PHtAm)을 포함한다.
X=I인 경우, 예시적인 TiX4:(R2P-(CH2)n-PR2) 전구체는 TiI4:(Me2P-(CH2)n-PMe2), TiI4:(EtMeP-(CH2)n-PMeEt), TiI4:(Et2P-(CH2)n-PEt2), TiI4:(iPr2P-(CH2)n-PiPr2), TiI4:(HiPrP-(CH2)n-PHiPr), TiI4:(tBu2P-(CH2)n-PtBu2), TiI4:(tBuHP-(CH2)n-PHtBu), TiI4:(tAmHP-(CH2)n-PHtAm), TiI4:(Me2P-(CH2)-PMe2), TiI4:(EtMeP-(CH2)-PMeEt), TiI4:(Et2P-(CH2)-PEt2), TiI4:(iPr2P-(CH2)-PiPr2), TiI4:(HiPrP-(CH2)-PHiPr), TiI4:(tBu2P-(CH2)-PtBu2), TiI4:(tBuHP-(CH2)-PHtBu), TiI4:(tAmHP-(CH2)-PHtAm), TiI4:(Me2P-(CH2)2-PMe2), TiI4:(EtMeP-(CH2)2-PMeEt), TiI4:(Et2P-(CH2)2-PEt2), TiI4:(iPr2P-(CH2)2-PiPr2), TiI4:(HiPrP-(CH2)2-PHiPr), TiI4:(tBu2P-(CH2)2-PtBu2), TiI4:(tBuHP-(CH2)2-PHtBu), 또는 TiI4:(tAmHP-(CH2)2-PHtAm)을 포함한다.
예시적인 TiX3:(R2P-(CH2)n-PR2) 전구체는 TiI3:(Me2P-(CH2)n-PMe2), TiI3:(EtMeP-(CH2)n-PMeEt), TiI3:(Et2P-(CH2)n-PEt2), TiI3:(iPr2P-(CH2)n-PiPr2), TiI3:(HiPrP-(CH2)n-PHiPr), TiI3:(tBu2P-(CH2)n-PtBu2), TiI3:(tBuHP-(CH2)n-PHtBu), TiI3:(tAmHP-(CH2)n-PHtAm), TiI3:(Me2P-(CH2)-PMe2), TiI3:(EtMeP-(CH2)-PMeEt), TiI3:(Et2P-(CH2)-PEt2), TiI3:(iPr2P-(CH2)-PiPr2), TiI3:(HiPrP-(CH2)-PHiPr), TiI3:(tBu2P-(CH2)-PtBu2), TiI3:(tBuHP-(CH2)-PHtBu), TiI3:(tAmHP-(CH2)-PHtAm), TiI3:(Me2P-(CH2)2-PMe2), TiI3:(EtMeP-(CH2)2-PMeEt), TiI3:(Et2P-(CH2)2-PEt2), TiI3:(iPr2P-(CH2)2-PiPr2), TiI3:(HiPrP-(CH2)2-PHiPr), TiI3:(tBu2P-(CH2)2-PtBu2), TiI3:(tBuHP-(CH2)2-PHtBu), 또는 TiI3:(tAmHP-(CH2)2-PHtAm)을 포함한다.
화학식 TiX4:Ac(식에서, c=1이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:(R(=O)Cl)(식에서, R은 C2-C6 탄화수소임)를 포함한다. 예시적인 TiX4:(R(=O)Cl) 전구체는 TiBr4:(Me-C(=O)Cl), TiBr4:(Ph-C(=O)Cl), 또는 TiI4:(Me-C(=O)Cl)를 포함한다. 이들 전구체는 용매 없이 또는 CCl4, 벤젠, 톨루엔 중에서 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어 문헌[Emeleus et al., Complexes of Titanium and Zirconium Halides with Organic Ligands, J. Chemical Society (Resumed), 1958, pp.4245-50] 참조.
화학식 TiX4:Ac(식에서, c=1이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:(RNO2)(식에서, R은 C1-C10 탄화수소임)를 포함한다. 예시적인 TiX4:(RNO2) 전구체는 TiBr4:(MeNO2), TiI4:(MeNO2), TiBr4:(EtNO2), TiBr4:(PrNO2), 또는 TiBr4:(PhNO2)를 포함한다. 이들 전구체는 용매 없이 또는 CCl4, 벤젠, 톨루엔 중에서 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어 문헌[Emeleus et al., Complexes of Titanium and Zirconium Halides with Organic Ligands, J. Chemical Society (Resumed), 1958, pp.4245-50] 참조.
화학식 TiX4:Ac(식에서, c=2이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:(R≡N)2(식에서, R은 C2-C10 탄화수소임)를 포함한다. 예시적인 TiX4:(R≡N)c 전구체는 TiBr4:(Me-C≡N)2, TiBr4:(Et-C≡N)2, TiBr4:(Pr-C≡N)2, TiBr4:(Bu-C≡N)2, 또는 TiBr4:(Ph-C≡N)2를 포함한다. 이들 전구체는 용매 없이 또는 CCl4, 벤젠, 톨루엔 중에서 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어, 문헌[Emeleus et al., Complexes of Titanium and Zirconium Halides with Organic Ligands, J. Chemical Society (Resumed), 1958, pp.4245-50] 참조.
화학식 TiX4:Ac(식에서, c=1 또는 2이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:(피리딘)c를 포함한다. 예시적인 TiX4:(피리딘)c 전구체는 TiBr4:피리딘을 포함한다. 이들 전구체는 용매 없이 또는 CCl4, 벤젠, 톨루엔 중에서 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 제조될 수 있다. 예를 들어, 문헌[Emeleus et al., Complexes of Titanium and Zirconium Halides with Organic Ligands, J. Chemical Society (Resumed), 1958, pp.4245-50] 참조.
화학식 TiX4:Ac(식에서, c=1 또는 2이고, X = Br 또는 I임)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX4:(피페리딘)c를 포함한다. 예시적인 TiX4:(피페리딘)c 전구체는 TiBr4:피페리딘 또는 TiBr4:2,2,6,6-테트라메틸피페리딘을 포함한다. 이들 전구체는 벤젠 또는 톨루엔 중 과량의 리간드와 Ti 할라이드의 직접 반응에 의해 합성될 수 있다. 예를 들어, 문헌[Dermer et al. in Zeitschrift fuer Anorganishce und Allgemeine Chemie (1934) 221 pp. 83-96] 참조.
화학식 Ti(NR2)y(X)z(식에서, y=1~3, z=1~3, y+z=4이고, X = Br 또는 I이고, 각각의 R은 독립적으로 H, C1-C10 탄화수소, 또는 SiR'3(식에서, 각각의 R'는 독립적으로 H 또는 C1-C10 탄화수소임)을 갖는 예시적인 Ti 할라이드-함유 전구체는 TiX3(NR2), TiX2(NR2)2, 또는 TiX(NR2)3을 포함한다. 2개의 R기는 연결되어 환형 구조를 형성할 수 있다.
예시적인 TiX3(NR2) 전구체는 TiBr3(NR2) 및 TiI3(NR2), 예컨대 TiBr3(NEt2), TiBr3(피롤리딘), TiBr3(피리딘), 또는 TiBr3(피페리딘)을 포함한다. 이들 전구체는 문헌[Buerger et al., Zeitschrift fuer Anorganische und Allgemeine CHemie, 370 (5-6), 1969, pp. 275-282]에 기재된 바와 같이, TiX4와 Me3Si(NR2)의 반응에 의해 합성될 수 있다.
예시적인 TiX2(NR2)2 전구체는 TiBr2(NR2)2 및 TiI2(NR2)2, 예컨대 TiBr2(NMe2)2를 포함한다. 이들 전구체는 문헌[Buerger et al., Zeitschrift fuer Anorganische und Allgemeine CHemie, 370 (5-6), 1969, pp. 275-282]에 기재된 바와 같이, Ti(NR2)4와 TiX4의 복분해에 의해 합성될 수 있다.
예시적인 TiX(NR2)3 전구체는 TiBr(NR2)3 및 TiI(NR2)3을 포함한다. 이들 전구체는 문헌[Buerger et al., Zeitschrift fuer Anorganische und Allgemeine CHemie, 370 (5-6), 1969, pp. 275-282]에 기재된 바와 같이, Ti(NR2)4와 TiX4의 반응에 의해 합성될 수 있다.
화학식 Ti(-N-R"-N-)y(X)z(식에서, y=1~3, z=1~3, y+z=4이고, X = Br 또는 I이고, 및 R" C1-C10 탄화수소)를 갖는 예시적인 Ti 할라이드-함유 전구체는 TiBr3(NiPr-fmd), TiBr3(NiPr Me-amd), 또는 TiBr2(-N(R)-C2H4-N(R)-)2를 포함한다. 이들 전구체는 TiBr4 또는 TiI4 및 아미디네이트 리간드의 트리메틸실릴 유도체(예를 들어, TiBr4 및 TMS-NiPr Me-amd)의 반응에 의해 합성될 수 있다. 염화티타늄 복합체에 대한 예시적인 합성 방법이 문헌[D. Fenske et al. Z. Naturforsch. 43b, 1611-1615 (1988); D. Liguori et al., Macromolecules 2003, 36, 5451-5458]에 기재되어 있다.
당업자는 개시된 합성 방법을 실시하는 데 사용되는 장비에 대한 공급원을 인식할 것이다. 원하는 온도 범위, 압력 범위, 현지 규정 등에 기초하여, 구성 요소의 일정 수준의 사용자 맞춤화가 필요할 수 있다. 대표적인 장비 공급자는 Buchi Glass Uster AG, Shandong ChemSta Machinery Manufacturing Co. Ltd., Jiangsu Shajiabang Chemical Equipment Co. Ltd 등을 포함한다.
공정의 신뢰성을 보장하기 위해, Ti-함유 필름 형성 조성물은 사용 전에 약 93% w/w 내지 약 100% w/w 범위, 바람직하게는 약 99% w/w 내지 약 100% w/w의 범위의 순도로 연속 또는 분획 배치식(batch) 증류 또는 승화에 의해 정제될 수 있다. Ti-함유 필름 형성 조성물은 다음과 같은 임의의 불순물을 함유할 수 있다: 원하지 않는 동족체; 과량의 부가물; 수소 할라이드(HX); 용매; 할로겐화 금속 화합물(TiX); 또는 기타 반응 생성물. 하나의 대안에서, 이들 불순물의 총 양은 0.1% w/w 미만이다.
고순도 생성물은 고순도 반응물을 사용하여 수득될 수 있다. 예를 들어, SR2 부가물은 소량의 SR'2(식에서 R≠R'임)를 함유할 수 있다. Ti-함유 필름 형성 조성물은 약 0% w/w 내지 0.2% w/w의 TiXb:(SR'2)c(식에서 R'≠R임)를 포함한다. SR'2 수준은 GC 및/또는 NMR을 사용하여 출발 재료 또는 최종 생성물 중 어느 하나에서 분석될 수 있다.
Ti-함유 필름 형성 조성물은, 분자가 가수분해될 것이기 때문에 물을 함유하지 않아야 한다(예를 들어, 약 0% w/w 내지 5 ppm의 H2O). Ti-함유 필름 형성 조성물에 존재하는 임의의 물은 원하지 않는 옥시할라이드(TiBr2(=O) 또는 TiI2(=O)), 히드록시할라이드(TiBr3(OH) 또는 TiI3(OH)), 및 산화물(TiO2)을 형성할 수 있다. Ti-함유 필름 형성 조성물 내 이들 3개의 불순물들의 조합의 총 양은 0.2% w/w 미만, 바람직하게는 0.1% w/w 미만이어야 한다. 이들 불순물은 NMR, FTIR, TGA, 또는 이들의 조합을 사용하여 검출할 수 있다.
또한 수소 할라이드(즉, HBr 또는 HI) 반응 부산물의 양이 최소화되어야 하는데, 이는 전달 라인 및 증착 챔버 내에서 성분들과 반응할 수 있기 때문이다. 또한 HX는 하부 기판에 해로울 수 있다. Ti-함유 필름 형성 조성물은 0.1% w/w 미만 및 바람직하게는 0.01% w/w 미만의 임의의 HX 부산물을 함유하여야 한다. 이들 불순물은 FTIR 및/또는 GC를 사용하여 검출할 수 있다.
정제된 Ti 함유 필름 형성 조성물 중의 헥산, 펜탄, 디메틸에테르, 또는 아니솔 각각의 농도는 약 0% w/w 내지 약 5% w/w의 범위, 바람직하게는 약 0% w/w 내지 약 0.1% w/w의 범위일 수 있다. 조성물의 합성에 용매가 사용될 수 있다. 전구체와 용매가 서로 유사한 비등점을 갖는다면, 전구체로부터 용매를 분리하기 어려울 수 있다. 혼합물을 냉각시키면 액체 용매에 고체 전구체가 생성될 수 있고, 이는 여과에 의해 분리될 수 있다. 전구체 반응 생성물이 대략 분해점보다 높게 가열되지 않으면, 진공 증류가 사용될 수도 있다.
하나의 대안에서, 개시된 Ti 함유 필름 형성 조성물은, 5 부피% 미만, 바람직하게는 1 부피% 미만, 보다 바람직하게는 0.1 부피% 미만, 훨씬 더 바람직하게는 0.01 부피% 미만의 원하지 않는 동족체, 반응물, 또는 다른 반응 생성물 중 임의의 것을 포함할 수 있다. 이 대안은 더 나은 공정 반복성을 제공할 수 있다. 이 대안은 Ti 함유 전구체의 증류에 의해 생성될 수 있다.
다른 대안에서, 특히 혼합물이 공정 파라미터를 개선하거나 목표 화합물의 분리가 너무 어렵거나 비싼 경우, 개시된 Ti 함유 필름 형성 조성물은 5 부피% 내지 50 부피%의 하나 이상의 동종 Ti 할라이드-함유 전구체, 반응물, 또는 다른 반응 생성물을 함유할 수 있다. 예를 들어, 2개의 Ti 할라이드-함유 전구체의 혼합물, 예컨대 TiBr4:(iPr2P-(CH2)-PiPr2) 및 TiBr3:(iPr2P-(CH2)-PiPr2)은 기상 증착에 적합한 안정한 액체 혼합물을 생성할 수 있다.
정제된 Ti 함유 필름 형성 조성물 중 미량 금속 및 준금속의 농도는 각각 약 0 ppm 내지 약 5 ppm, 바람직하게는 약 0 ppm 내지 약 1 ppm, 보다 바람직하게는 약 0 ppb 내지 약 500 ppb의 범위일 수 있다. 이들 금속 불순물은, 알루미늄(Al), 은(Ag), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 우라늄(U), 바나듐(V), 아연(Zn) 및 지르코늄(Zr)을 포함하나, 이에 한정되는 것은 아니다.
개시된 전구체의 장점은 이들의 TiX4 유사체에 비해 감소된 융점이다. 요오드화 티타늄-함유 전구체의 경우, Ti 할라이드-함유 전구체의 융점은 표준 온도 및 압력에서 약 -50℃ 내지 약 150℃, 바람직하게는 표준 온도 및 압력에서 약 -50℃ 내지 약 30℃일 수 있다. 브롬화티타늄-함유 전구체의 경우, Ti 할라이드-함유 전구체의 융점은 표준 온도 및 압력에서 약 -50℃ 내지 약 39℃일 수 있다. 바람직하게는, Ti 할라이드-함유 전구체는 표준 온도 및 압력에서 액체인데, 고체 전구체로부터의 재현 가능하고 안정한 증기의 생성이 최선의 도전이기 때문이다. 고체 전구체는 용매 내에 용해될 수 있고, 용액은 증발될 수 있지만, 이는 용매로부터 생성되는 필름 내로의 허용할 수 없는 오염 문제를 일으킬 수 있다. 대안적으로, 승화기(sublimator)가 고체 재료로부터 직접 증기를 생성하는 데 사용될 수 있지만, 입자 크기, 승화기 내 고체 분포, 및 고체 자체의 증기압은 증기의 일정하고 재현 가능한 농도를 반도체 공정에 제공하기 매우 어렵게 만든다.
본 출원인은 또한 Ti-부가물 결합이 증착 온도에서 깨질 것으로 예상한다. 결과적으로, Ti 할라이드-함유 전구체 내 부가물의 포함으로부터 필름 오염이 예상되지 않는다. 따라서, 이들 전구체는 TiBr4 및 TiI4로서 거동하여야 하지만, 그의 더 낮은 융점으로 인하여 취급 및 사용이 더 용이하다. 또한 개시된 Ti 할라이드-함유 전구체는, 증착 온도가 더 낮고 부식성이 매우 높은 Cl이 없기 때문에 TiCl4보다 더 우수하다.
마지막으로, 본 출원인은 개시된 Ti-함유 필름 형성 조성물이 유사한 염화물 함유 조성물보다 더 안정하고 가수분해성이 더 낮을 수 있다고 생각한다. 또한 개시된 Ti-함유 필름 형성 조성물은 유사한 염화물 함유 조성물에 비해 기판 및 반응기에 대한 적은 에칭 손상을 나타낼 수 있다. 시험은 TiBr4-S(nPr)2 분자를 사용하여 수행되었으며, 기판 손상은 300℃, 350℃, 400℃, 또는 450℃에서 Al2O3, HfO2, Nb2O5, SiO2, 또는 ZrO2 필름 상에서 명백하지 않았다. 이는 HBr이 HCl보다 더 산성(pKa HCl = -7, pKa HBr= -9, 및 pKa HI=-10)이기 때문에 다소 놀라운 것이다.
Ti-함유 필름 형성 조성물은 (i) 이들이 저장된 용기로부터 반응 챔버 내로 신속하고 재현 가능한 전달을 제공하기에 충분한 휘발성, (ii) 캐니스터 내에 저장하는 동안 분해되는 것을 피하고, 고온(유전성 필름의 경우 통상적으로 >150℃이고 도전성 필름의 경우 통상적으로 >275℃)에서 ALD 모드에서 자기-제한 성장을 가능하게 하는 높은 열 안정성, (iii) 기판 말단 작용기들에 대한, 그리고 원하는 필름으로의 용이한 전환을 위한 반응 기체와의 적절한 반응성, 및 (iv) 불순물이 적은 필름을 수득하기 위한 높은 순도를 나타낼 수 있다.
또한, 기상 증착 공정을 사용하여 기판 상에 Ti 함유층을 형성하는 방법이 개시된다. 이 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 장치의 제조에 유용할 수 있다. 개시된 Ti 함유 필름 형성 조성물은 당업자에게 공지된 임의의 증착 방법을 이용하여 Ti 함유 박막을 증착시키는 데 사용될 수 있다. 적합한 기상 증착 방법의 예는 화학 기상 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 아대기압 CVD(SACVD) 또는 대기압 CVD(APCVD), 열선 CVD(cat-CVD로도 알려진 HWCVD, 열선이 증착 공정을 위한 에너지원으로 작용함), 라디칼 혼입 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 격리 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 및 이들의 조합을 포함한다. 초임계 유체 증착이 또한 사용될 수 있다. 적절한 스텝 커버리지 및 필름 두께 제어를 제공하기 위해, 증착 방법은 ALD, 공간 ALD, 또는 PE-ALD인 것이 바람직하다. 개시된 Ti 함유 필름 형성 조성물은 열 안정성으로 인해 완벽한 자기 제한적 성장을 가능하게 하기 때문에 ALD 공정에 특히 적합하다.
개시된 Ti-함유 필름 형성 조성물은 순수하게(neat) 공급되거나 적합한 용매, 예컨대 C1-C16 탄화수소, C1-C16 할로겐화 탄화수소, 케톤, 에테르, 글라임, 에스테르, 테트라하이드로푸란, 디메틸 옥살레이트(DMO), 및 이들의 조합을 더 포함할 수 있다. C1-C16 탄화수소 및 C1-C16 할로겐화 탄화수소는 포화 또는 불포화될 수 있다. 예시적인 용매는 테트라하이드로푸란, DMO, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 및/또는 도데칸을 포함하지만 이에 제한되지 않는다. Ti-함유 필름 형성 조성물이 직접 액체 주입을 통해 반응기 내로 도입되는 경우, 부가물은 용매로서 사용될 수도 있다. 당업자는, 부가물이 Ti 할라이드-함유 전구체의 기화 전에 증발할 것이기 때문에 버블러에 적합한 용매는 아님을 인식할 것이다(즉, 버블러를 통해 반응기 내로 도입되는 경우, 부가물 용매의 증기 중 Ti 할라이드-함유 전구체의 증기는, 둘 사이의 증기압에서의 차이로 인해 존재하지 않을 것임). 개시된 Ti 할라이드-함유 전구체는 용매 중 다양한 농도로 존재할 수 있다. Ti-할라이드-함유 전구체와 용매의 비점 사이의 차이는 약 0℃ 내지 약 80℃의 범위이어야 한다.
전구체는 이상적으로는 액체이며, 버블러 또는 직접 액체 주입 시스템에서 증기화되지만, ALD 및 CVD 전구체 기화를 위해 Xu 등의 PCT 공보 WO2009/087609호에 개시된 것들과 같은 승화기를 사용하여 고체 전구체를 사용할 수도 있다. 대안적으로, 고체 전구체는 용매 내에 혼합 또는 용해되어, 직접 액체 주입 시스템에 의한 사용을 위한, 이용가능한 융점 및 점도에 도달할 수 있다.
순수한 또는 혼합된 Ti 함유 필름 형성 조성물은 튜빙(tubinig) 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기에 도입된다. 증기 형태는 직접 기화, 증류와 같은 통상적인 기화 단계를 통해, 또는 버블링에 의해 순수한 또는 혼합된 조성물을 기화시키거나, Xu 등의 PCT 공보 WO2009/087609호에 개시된 바와 같은 승화기를 사용하여 생성될 수 있다. 조성물은 기화기에 액체 상태로 공급될 수 있으며, 반응기에 도입되기 전 기화기에서 기화된다. 대안적으로, 조성물은 화합물이 담겨있는 용기 내로 캐리어 가스를 통과시키거나, 화합물 내로 캐리어 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 캐리어 가스로 버블링하면 순수한 또는 혼합된 화합물 용액에 존재하는 용존 산소를 제거할 수도 있다. 이어서, 캐리어 가스와 조성물의 증기 형태가 증기로서 반응기에 도입된다.
필요한 경우, 용기는 조성물이 액상으로 존재할 수 있고 충분한 증기압을 가질 수 있는 온도로 가열될 수 있다. 용기는 예를 들어 약 50℃ 내지 약 180℃ 범위의 온도로 유지될 수 있다. 당업자는 용기의 온도가 기화된 조성물의 양을 제어하기 위해 공지된 방식으로 조절될 수 있음을 인식한다. 바람직하게는, 용기는 약 1 내지 약 50 cps, 바람직하게는 약 1 내지 약 20 cps 범위의 점도를 갖는 Ti-함유 필름 형성 조성물을 생성하는 온도에서 유지된다. 그러한 점도는 Ti-함유 필름 형성 조성물을, 직접 액체 주입을 사용하는 반응기에 도입하는 데 적합하게 만든다.
Ti 함유 필름 형성 조성물은 개시된 Ti 함유 필름 형성 조성물 전달 장치에 의해 반도체 프로세싱 툴에 전달될 수 있다. 도 1도 2는 개시된 전달 장치(1)의 두 가지 구현예를 도시한다.
도 1은 Ti 함유 필름 형성 조성물 전달 장치(1)의 일 구현예의 측면도이다. 도 1에서, 개시된 Ti 함유 필름 형성 조성물(11)은 적어도 2개의 도관, 즉 유입 도관(3) 및 배출 도관(4)을 갖는 용기(2) 내에 담겨있다. 전구체 기술 분야의 당업자는 용기(2), 유입 도관(3), 및 배출 도관(4)이 고온 및 고압에서도 Ti 함유 필름 형성 조성물(11)의 가스 형태의 유출을 방지하도록 제조된다는 것을 인식할 것이다.
적합한 밸브에는 스프링 장착형 또는 결합형 다이어프램 밸브가 포함된다. 밸브는 유량 제한 오리피스(RFO)를 추가적으로 포함할 수 있다. 전달 장치(1)는 가스 매니폴드 및 인클로저에 연결되어야 한다. 가스 매니폴드는 전달 장치(1)가 교체될 때 공기에 노출될 수 있는 배관이 안전하게 배기되고 정화되도록 함으로써 물질의 어떠한 잔여량도 반응하지 않도록 해야 한다.
전달 장치(1)는 누출이 없어야 하고, 밀폐되었을 때 미량의 물질도 유출시키지 않는 밸브가 장착되어야 한다. 전달 장치(1)는 밸브(67)를 통해, 앞서 개시된 가스 캐비넷과 같은 반도체 프로세싱 툴의 다른 구성 요소에 유체 연결된다. 용기(2), 유입 도관(3), 밸브(6), 배출 도관(4) 및 밸브(7)는 일반적으로 316L EP 스테인리스강으로 제조되는 것이 바람직하다.
도 1에서, 유입 도관(3)의 단부(8)는 Ti 함유 필름 형성 조성물(11)의 표면 위에 위치하는 반면, 배출 도관(4)의 단부(9)는 Ti 함유 필름 형성 조성물(11)의 표면 아래에 위치한다. 이 구현예에서, Ti 함유 필름 형성 조성물(11)은 바람직하게는 액체 형태이다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하되 이에 한정되지 않는 불활성 가스가 유입 도관(3)으로 도입될 수 있다. 불활성 가스는 용기(2)를 가압하여 액체 Ti 함유 필름 형성 조성물(11)이 배출 도관(4)을 통해 반도체 프로세싱 툴(미도시)의 구성 요소들로 강제 이송되도록 한다. 수리될 웨이퍼가 위치하고 기상 처리가 이루어지는 챔버에 증기를 전달하기 위해, 반도체 프로세싱 툴은, 헬륨, 아르곤, 질소, 또는 이들의 혼합물과 같은 캐리어 가스를 사용하거나 사용하지 않으면서, 액체 Ti 함유 필름 형성 조성물(11)을 증기로 변환시키는 기화기를 포함할 수 있다. 대안적으로, 액체 Ti 함유 필름 형성 조성물(11)은 제트 또는 에어로졸로서 웨이퍼 표면에 직접 전달될 수 있다.
도 2는 Ti 함유 필름 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이다. 도 2에서, 유입 도관(3)의 단부(8)는 Ti 함유 필름 형성 조성물(11)의 표면 아래에 위치하는 반면, 배출 도관(4)의 단부(9)는 Ti 함유 필름 형성 조성물(11)의 표면 위에 위치한다. 도 2는 또한 Ti 함유 필름 형성 조성물(11)의 온도를 증가시킬 수 있는 선택적 가열 요소(14)를 포함한다. Ti 함유 필름 형성 조성물(11)은 고체 형태 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하되 이에 한정되지 않는 불활성 가스가 유입 도관(3)으로 도입된다. 불활성 가스는 Ti 함유 필름 형성 조성물(11)을 통해 흐르고, 불활성 가스와 기화된 Ti 함유 필름 형성 조성물(11)의 혼합물을 배출 도관(4) 및 반도체 프로세싱 툴의 구성요소로 이송한다.
도 1도 2는 모두 밸브(67)를 포함한다. 당업자는 밸브(67)가 각각 도관(34)을 통한 유동을 허용하도록 개방 또는 폐쇄 위치에 놓일 수 있음을 인식할 것이다. 다른 대안에서, 유입 도관(3) 및 배출 도관(4) 둘 모두는, 본 명세서의 개시내용으로부터 벗어나지 않고, Ti-함유 필름 형성 조성물(11)의 표면 위에 위치될 수 있다. 또한, 유입 도관(3)은 충전 포트일 수 있다.
다른 대안에서, Ti 함유 필름 형성 조성물(11)이 증기 형태인 경우 또는 고상/액상 위에 충분한 증기압이 존재하는 경우, 도 1 또는 도 2의 전달 장치(1), 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종결되는 단일 도관을 갖는 보다 단순한 전달 장치가 사용될 수 있다. 이러한 경우, Ti 함유 필름 형성 조성물(11)은 단순히 도 1의 밸브(6) 또는 2의 밸브(7)를 각각 개방함으로써 도관(3 또는 4)을 통해 증기 형태로 전달된다. 전달 장치(1)는 Ti 함유 필름 형성 조성물(11)이 증기 형태로 전달되기에 충분한 증기압을 제공하기 위해, 예를 들어 선택적 가열 요소(14)를 사용하여, 적절한 온도로 유지될 수 있다.
Ti 함유 필름 형성 조성물이 고체인 경우, 그 증기는 승화기를 사용하여 반응기로 전달될 수 있다. 도 3은 적절한 승화기(100)의 일 구현예를 도시한다. 승화기(100)는 용기(33)를 포함한다. 용기(33)는 원통형 용기이거나, 대안적으로, 제한 없이 임의의 형상일 수 있다. 용기(33)는 제한 없이 스테인리스강, 니켈 및 그 합금, 석영, 유리, 및 다른 화학적으로 적합한 재료와 같은 재료로 구성된다. 특정 예에서, 용기(33)는 제한 없이 다른 금속 또는 금속 합금으로 구성된다. 특정 예에서, 용기(33)는 약 8 센티미터 내지 약 55 센티미터의 내경, 대안적으로는 약 8 센티미터 내지 약 30 센티미터의 내경을 갖는다. 당업자가 이해하는 바와 같이, 대안적인 구성은 상이한 치수를 가질 수 있다.
용기(33)는 밀봉 가능 상부(15), 밀봉 부재(18) 및 개스킷(20)을 포함한다. 밀봉 가능 상부(15)는 외부 환경으로부터 용기(33)를 밀봉하도록 구성된다. 밀봉 가능 상부(15)는 용기(33)에 접근할 수 있도록 구성된다. 또한, 밀봉 가능 상부(15)는 용기(33) 내로 도관이 통과하도록 구성된다. 대안적으로, 밀봉 가능 상부(15)는 용기(33) 내로의 유체 유동이 가능하도록 구성된다. 밀봉 가능 상부(15)는 용기(33)와 유체 접촉 상태를 유지하기 위해 딥 튜브(92)를 포함하는 도관을 수용하고 통과시키도록 구성된다. 제어 밸브(90) 및 피팅(95)을 갖는 딥 튜브(92)는 캐리어 가스를 용기(33) 내로 유동시키도록 구성된다. 특정 예에서, 딥 튜브(92)는 용기(33)의 중심축 아래로 연장된다. 또한, 밀봉 가능 상부(15)는 배출 튜브(12)를 포함하는 도관을 수용하고 통과시키도록 구성된다. 캐리어 가스 및 Ti 함유 필름 형성 조성물의 증기는 배출 튜브(12)를 통해 용기(33)로부터 제거된다. 배출 튜브(12)는 제어 밸브(10) 및 피팅(5)을 포함한다. 특정 예에서, 배출 튜브(12)는 캐리어 가스를 승화기(100)로부터 필름 증착 챔버로 전달하기 위한 가스 전달 매니폴드에 유체 연결된다.
용기(33) 및 밀봉 가능 상부(15)는 적어도 2개의 밀봉 부재(18)에 의해, 대안적으로는 적어도 약 4개의 밀봉 부재에 의해 밀봉된다. 특정 예에서, 밀봉 가능 상부(15)는 적어도 약 8개의 밀봉 부재(18)에 의해 용기(33)에 밀봉된다. 당업자가 이해하는 바와 같이, 밀봉 부재(18)는 밀봉 가능 상부(15)를 용기(33)에 해체 가능하게 결합시키고, 개스킷(20)과 함께 가스 저항성 밀봉을 형성한다. 밀봉 부재(18)는 용기(33)를 밀봉하기 위한 당업자에게 공지된 임의의 적절한 수단을 포함할 수 있다. 특정 예에서, 밀봉 부재(18)는 나비 나사(thumbscrew)를 포함한다.
도 3에 도시된 바와 같이, 용기(33)는 내부에 배치된 적어도 하나의 디스크를 추가적으로 포함한다. 디스크는 고체 물질용 선반 또는 수평 지지대를 포함한다. 특정 구현예에서, 디스크(30)가 개구(31)를 형성하면서 용기(33)의 내경 또는 내주보다 작은 외경 또는 외주를 포함하도록, 내부 디스크(30)가 용기(33) 내에 환형으로 배치된다. 디스크(86)가 용기(33)의 내경과 동일하거나, 거의 동일하거나, 대체로 일치하는 외경 또는 외주를 포함하도록, 외부 디스크(86)가 용기(33) 내에 원주 방향으로 배치된다. 외부 디스크(86)는 디스크의 중앙에 배치된 개구(87)를 형성한다. 용기(33) 내에 복수의 디스크가 배치된다. 디스크는, 내부 디스크(30, 34, 36, 44)가 외부 디스크(62, 78, 82, 86)와 번갈아 용기 내에 수직으로 적층되는 교번 방식으로 적층된다. 구현예에서, 내부 디스크(30, 34, 36, 44)는 환형으로 외향 연장되고, 외부 디스크(62, 78, 82, 86)는 용기(33)의 중심을 향해 환형으로 연장된다. 도 3의 구현예에 도시된 바와 같이, 내부 디스크(30, 34, 36, 44)는 외부 디스크(62, 78, 82, 86)와 물리적으로 접촉하지 않는다.
조립된 승화기(100)는 정렬 결합된 지지 레그(50), 내부 통로(51), 동심 벽(40, 41, 42), 및 동심 슬롯(47, 48, 49)을 포함하는 내부 디스크(30, 34, 36, 44)를 포함한다. 내부 디스크(30, 34, 36, 44)는 수직으로 적층되고, 딥 튜브(92) 주위에 환형으로 배향된다. 또한, 승화기는 외부 디스크(62, 78, 82, 86)를 포함한다. 도 3에 도시된 바와 같이, 외부 디스크(62, 78, 82, 86)는 용기(33)로부터 디스크(62, 78, 82, 86)로 열을 전달하기 위한 양호한 접촉을 위해 용기(33)에 단단히 장착되어야 한다. 바람직하게는, 외부 디스크(62, 78, 82, 86)는 용기(33)의 내벽에 결합되거나 물리적으로 접촉한다.
도시된 바와 같이, 외부 디스크(62, 78, 82, 86) 및 내부 디스크(30, 34, 36, 44)는 용기(33) 내부에 적층된다. 승화기(100)를 형성하기 위해 용기(33) 내에서 조립되는 경우, 내부 디스크(30, 34, 36, 44)는 조립된 외부 디스크(62, 78, 82, 86) 사이에 외부 가스 통로(31, 35, 37, 45)를 형성한다. 또한, 외부 디스크(62, 78, 82, 86)는 내부 디스크(30, 34, 36, 44)의 지지 레그와 함께 내부 가스 통로(56, 79, 83, 87)를 형성한다. 내부 디스크(30, 34, 36, 44)의 벽(40, 41, 42)은 고체 전구체를 수용하기 위한 홈이 있는 슬롯을 형성한다. 외부 디스크(62, 78, 82, 86)는 고체 전구체를 수용하기 위한 벽(68, 69, 70)을 포함한다. 조립하는 동안, 고체 전구체는 내부 디스크(30, 34, 36, 44)의 환형 슬롯(47, 48, 49) 및 외부 디스크(62, 78, 82, 86)의 환형 슬롯(64, 65, 66) 내에 로드된다.
도 3은 임의의 고체 Ti 함유 필름 형성 조성물의 증기를 반응기로 전달할 수 있는 승화기의 일 구현예를 개시하고 있지만, 당업자라면 다른 승화기 설계도 본원의 교시에서 벗어남 없이 적합할 수 있음을 인식할 것이다. 마지막으로, 당업자는 개시된 Ti 함유 필름 형성 조성물(11)이 본원의 교시에서 벗어남 없이 Jurcik 등의 WO2006/059187에 개시된 앰플과 같은 다른 전달 장치를 사용하여 반도체 프로세싱 툴에 전달될 수 있다는 것을 인식할 것이다.
반응 챔버는 평행-판형 반응기, 저온-벽체형 반응기, 고온-벽체형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 유형의 증착 시스템과 같은(제한은 없음) 증착 방법이 수행되는 장치의 임의의 인클로저 또는 챔버일 수 있다. 이들 예시적인 반응 챔버는 모두 ALD 반응 챔버로서 사용될 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr, 바람직하게는 약 0.1 Torr 내지 약 5 Torr 범위의 압력으로 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 50℃ 내지 약 600℃의 범위일 수 있다. 당업자는 각각의 Ti 할라이드-함유 전구체에 대한 최적의 증착 온도 범위가 원하는 결과를 얻기 위해 실험적으로 결정될 수 있음을 인식할 것이다.
반응기는 박막이 증착될 하나 이상의 기판을 포함한다. 기판은 일반적으로 공정이 수행되는 물질로 정의된다. 기판은 반도체, 광전지, 평면 패널, 또는 LCD-TFT 장치 제조에 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 규소, SiGe, 실리카, 유리 또는 Ge와 같은 웨이퍼를 포함한다. 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트)[PEDOT:PSS]와 같은 플라스틱 기판도 사용될 수 있다. 또한, 기판은 이전의 제조 단계로부터 이미 기판 상에 증착된 상이한 재료의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소층(결정질, 비정질, 다공성 등), 산화규소층, 질화규소층, 산질화규소층, 탄소 도핑 산화규소(SiCOH)층, 또는 이들의 조합을 포함할 수 있다. 또한, 웨이퍼는 구리, 코발트, 루테늄, 텅스텐 및/또는 다른 금속층(예를 들어, 백금, 팔라듐, 니켈, 루테늄 또는 금)을 포함할 수 있다. 웨이퍼는 배리어층 또는 전극, 예컨대 탄탈, 질화탄탈 등을 포함할 수 있다. 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트)[PEDOT:PSS]와 같은 플라스틱층도 사용될 수 있다. 층은 평면이거나 패터닝될 수 있다. 기판은 패터닝된 유기 감광 필름일 수 있다. 기판은 MIM, DRAM 또는 FeRam 기술에서 유전 물질로 사용되는 산화물(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 3원계 산화물계 물질 등)의 층, 또는 전극으로 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 개시된 공정은 Ti 함유층을 웨이퍼에 직접, 또는 (패터닝된 층이 기판을 형성할 경우) 웨이퍼 상부층 중 하나 이상의 층에 직접 증착시킬 수 있다. 또한, 당업자는 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 트렌치 또는 라인일 수 있는 표면 위에 놓여 있거나 퍼져있는 일부 재료의 두께를 의미함을 인식할 것이다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층은 기판으로 지칭된다. 사용되는 실제 기판은 이용되는 특정 전구체 구현예에 따라 달라질 수도 있다. 그러나 많은 경우에서, 사용되는 바람직한 기판은 TiCl4 내 염소의 존재로 인한 손상을 겪는 것들, 예컨대 산화 티타늄, 금속 텅스텐, 또는 GeSbTe 층일 것이다.
개시된 공정은, 특히 Ti-함유 필름 형성 조성물이 다수의 상이한 재료로 제조된 기판에 노출되는 경우, Ti-함유 필름을 선택적으로 증착시킬 수 있다. 예를 들어, 자가 조립 단일층(SAM)과 같은 차단제는, 기판의 일부 상에 Ti 할라이드-함유 전구체의 흡착을 방지할 수 있다. SAM은 기판의 특정 면적, 또는 유형 상에서 Ti-함유 필름의 성장을 방지한다. 대안적으로 또는 추가로, 기판의 일부 상에 Ti 할라이드-함유 전구체의 흡착을 방지하기 위해, 유리 저해제가 증착 공정 동안 첨가될 수 있다. 일부 경우에서, Ti-함유 필름 형성 조성물로부터 유리된 부가물은 특정 표면 상에 증착될 수 있고, 그러한 표면 상에서 Ti-함유 필름의 성장을 억제할 수 있다. 예를 들어, S-함유 부가물은 구리에 결합하여, 구리 상에서 Ti-함유 필름의 성장을 방지할 수 있다. 다른 경우에, TiX4는 특정 금속 표면, 예컨대 Al을 에칭할 수 있다. 결과적으로, Ti-함유 필름은 이들 표면 상에서 성장하지 않을 것이다. 또한 선택적 증착 공정은 이러한 물리적 현상의 임의의 조합으로부터 야기될 수 있다. 결과적으로, 당업자는 특정 Ti-함유 필름 형성 조성물이 상이한 기판에 따라 상이한 반응성을 가질 것임을 인식할 것이다.
반응기 내의 온도 및 압력은 기상 증착에 적합한 조건으로 유지된다. 환언하면, 기화된 조성물이 챔버에 도입된 후의 챔버 내의 조건은 기화된 Ti 할라이드-함유 전구체의 적어도 일부가 기판 상에 증착되어 Ti 함유 필름을 형성할 수 있는 조건이다. 예를 들어, 반응기 내의 압력은 증착 파라미터에 따라 요구되는, 약 1 Pa 내지 약 105 Pa, 보다 바람직하게는 약 25 Pa 내지 약 103 Pa로 유지될 수 있다. 마찬가지로, 반응기 내의 온도는 약 100℃ 내지 약 500℃, 바람직하게는 약 200℃ 내지 약 450℃로 유지될 수 있다. 당업자는 "기화된 Ti 할라이드-함유 전구체의 적어도 일부가 증착됨"은 전구체의 일부 또는 전부가 기판과 반응하거나 기판에 부착됨을 의미한다는 것을 인식할 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하는 데 사용되는 장치는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도로 원하는 물리적 상태 및 조성의 원하는 필름을 얻기에 충분한 온도로 가열될 수 있다. 반응기 벽이 가열될 수 있는 비한정적인 예시적인 온도 범위는 약 100℃ 내지 약 500℃를 포함한다. 플라즈마 증착 공정이 이용될 경우, 증착 온도는 약 50℃ 내지 약 400℃의 범위일 수 있다. 대안적으로, 열처리 공정이 수행될 경우, 증착 온도는 약 200℃ 내지 약 450℃의 범위일 수 있다.
개시된 Ti 함유 필름 형성 조성물 이외에도, 반응물이 반응기에 도입될 수도 있다. 반응물은 산소 함유 가스, 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 알코올(예컨대 에탄올 또는 메탄올), 디올(예컨대, 에틸렌글리콜 또는 수화 헥사플루오로아세톤), 산소 함유 라디칼(예컨대, O
Figure 112020060588148-pct00002
또는 OH
Figure 112020060588148-pct00003
), NO, NO2, 카복실산, 포름산, 아세트산, 프로피온산, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게는, 산화 가스는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼(예컨대 O
Figure 112020060588148-pct00004
또는 OH
Figure 112020060588148-pct00005
), 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
대안적으로, 반응물은 H2, NH3, 히드라진(예를 들어, N2H4, MeHNNH2, Me2NNH2, MeHNNHMe, 페닐히드라진), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH, 피롤리딘 또는 피리미딘과 같은 환형 아민), 니트릴(예를 들어, 아세토니트릴), 디아민(예를 들어, 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민), 아미노알코올(예를 들어, 에탄올아민[HO-CH2-CH2-NH2], 비스에탄올아민[HN(C2H5OH)2] 또는 트리스에탄올아민[N(C2H5OH)3]), 피라졸린, 피리딘, 이들의 라디칼, 또는 이들의 혼합물일 수 있다. 바람직하게는, 반응물은 H2, NH3, 이들의 라디칼, 또는 이들의 혼합물이다.
다른 대안에서, 반응물은 N(SiH3)3; N(SiHxR3-x)3(식에서 각각의 x 독립적으로 1~3, 각각의 R 독립적으로 알킬 또는 NR'2(식에서 각각의 R' 독립적으로 H 또는 C1-C4 알킬))(예컨대, (H3Si)2N(SiH2NEt2), (H3Si)2N(SiH2NiPr2), 또는 (H3Si)2N(SiH2iPr)); R3Si-NH-SiR3(식에서 각각의 R 독립적으로 H, Cl, Br, I, 또는 C1-C4 알킬기)(예컨대, H3Si-NH-SiH3, H2ISi-NH-SiH3 또는 Me3Si-NH-SiMe3); 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12); 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8); 브로모실란 및 브로모폴리실란(예컨대, SiHBr3, SiH2Br2, SiH3Br, Si2Br6, Si2HBr5, Si3Br8); 요오도실란 및 요오도폴리실란(예컨대, SiHI3, SiH2I2, SiH3I, Si2I6, Si2HI5, Si3I8); 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3); 및 아미노실란(예컨대, 트리스(디메틸아미노)실란, 비스(디에틸아미노)실란, 디-이소프로필아미노실란 및 기타 모노, 비스 또는 트리스 아미노실란); 이들의 라디칼; 또는 이들의 혼합물일 수 있다. 바람직하게는, 반응물은 (SiH3)3N 또는 아미노실란, 예를 들어 비스(디에틸아미노)실란이다.
반응물을 라디칼 형태로 분해하기 위해, 반응물은 플라즈마에 의해 처리될 수 있다. 플라즈마로 처리될 때 환원 가스로서 N2가 이용될 수도 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 2500 W, 바람직하게는 약 100 W 내지 약 400 W 범위의 전력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기에서 벗어난 위치, 예를 들어, 원격 위치된 플라즈마 시스템에 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.
예를 들어, 반응물은 반응 챔버에서 플라즈마를 발생시키는 직접 플라즈마 반응기에 도입되어 반응 챔버에서 플라즈마-처리 반응물을 생성할 수 있다. 예시적인 직접 플라즈마 반응기는 Trion Technologies에서 제조한 Titan™ PECVD 시스템을 포함한다. 반응물은 플라즈마 처리 이전에 반응 챔버에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 처리는 반응물의 도입과 동시에 이루어질 수 있다. 인시튜(in-situ) 플라즈마는 일반적으로, 샤워헤드와 기판 홀더 사이에 발생되는 13.56 MHz RF 유도 결합 플라즈마이다. 기판 또는 샤워헤드는 양이온 충격이 발생하는지 여부에 따라 전력 공급 전극일 수 있다. 인시튜 플라즈마 발생기의 일반적인 인가 전력은 약 30 W 내지 약 1000 W이다. 바람직하게는, 약 30 W 내지 약 600 W의 전력이 개시된 방법에 사용된다. 보다 바람직하게는, 전력은 약 100 W 내지 약 500 W의 범위이다. 인시튜 플라즈마를 이용한 반응물의 해리는 일반적으로 동일한 전력 투입에 대해 원격 플라즈마 소스를 사용하여 얻어지는 것보다 적기 때문에 반응물의 해리에 있어서 원격 플라즈마 시스템만큼은 효율적이지 못하며, 원격 플라즈마 시스템은 플라즈마에 의해 쉽게 손상되는 기판 상에 Ti 함유 필름을 증착하는 데 유리할 수 있다.
대안적으로, 플라즈마-처리 반응물은 반응 챔버의 외부에서 생성될 수 있다. 반응 챔버로 통과하기 전에 반응물을 처리하기 위해 MKS Instruments의 ASTRONi® 반응 가스 발생기가 사용될 수 있다. 2.45 GHz, 7 kW 플라즈마 전력, 및 약 0.5 Torr 내지 약 10 Torr 범위의 압력에서 작동시, 반응물 O2는 2개의 O· 라디칼로 분해될 수 있다. 바람직하게는, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 보다 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 발생될 수 있다.
챔버 내의 기상 증착 조건은 개시된 Ti 함유 필름 형성 조성물 및 반응물이 반응하여 기판 상에 Ti 함유 필름을 형성하게 한다. 일부 구현예에서, 본 출원인은 반응물을 플라즈마 처리하면 개시된 조성물과 반응하는 데 필요한 에너지를 반응물에 제공할 수 있다고 믿는다.
증착될 필름의 유형에 따라 추가 전구체 화합물이 반응기에 도입될 수 있다. 전구체는 Ti 함유 필름에 추가 원소를 제공하는 데 사용될 수 있다. 추가 원소는 란탄족(예를 들어, 이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 게르마늄, 규소, 알루미늄, 붕소, 인, 하프늄, 지르코늄, 3족 원소(즉, Sc, Y, La, 또는 Ac), 또는 5족 원소(즉, V, Nb, 또는 Ta), 또는 이들의 혼합물을 포함할 수 있다. 추가 전구체 화합물이 사용될 경우, 기판 상에 증착되어 생성된 필름은 적어도 하나의 추가 원소와 결합된 Ti를 함유한다.
생성되는 필름이 Al을 함유하는 경우, 적합한 반응물은 트리알킬알루미늄(예를 들어, AlMe3, AlEt3 등), 디알킬알루미늄 할라이드(예를 들어, AlMe2Br, AlEt2Br 등), 알킬알루미늄 디할라이드(예를 들어, AlMeBr2, AlEtBr2 등), 알루미늄의 알킬아미노 또는 알콕시 유도체(예를 들어, Al(NEt2)3, Al(OtBu)3 등), 알란, 아민-부가된 알란(예를 들어, Al:NEt3), 및 이들의 혼합물을 포함한다. 생성되는 비정질 TiAl 필름은 상보형 금속 산화물 반도체(CMOS)에서 미소반사(micromirror) 어레이에 대해 사용될 수 있다. 문헌[Schmidt et al., J. of Micro/Nanolithography, MEMS, and MOEMS, 7(2) 2008]. 비정질 TiAl 필름의 기상 증착은 스퍼터링에 의해 생성된 것들보다 더 우수한 등각성, 표면 평활성, 조성 균일성, 및 일반적으로 더 적은 결함을 제공한다.
Ti 함유 필름 형성 조성물 및 반응물은 동시에(화학 기상 증착), 순차적으로(원자층 증착), 또는 이들의 상이한 조합으로 반응기에 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 가스로 정화될 수 있다. 대안적으로, 반응물과 조성물은 서로 혼합되어 반응물/화합물 혼합물을 형성한 후, 혼합물 형태로 반응기에 도입될 수 있다. 다른 예는 반응물을 연속적으로 도입하고, Ti 함유 필름 형성 조성물을 펄스(펄스 화학 기상 증착)에 의해 도입하는 것이다.
기화된 조성물 및 반응물은 순차적으로 또는 동시에 (예를 들어, 펄스 CVD) 반응기 내로 펄싱될 수 있다. 조성물의 각각의 펄스는 약 0.01초 내지 약 100초, 대안적으로 약 0.3초 내지 약 30초, 대안적으로 약 0.5초 내지 약 10초 범위의 기간 동안 지속될 수 있다. 반응물은 또한 반응기 내로 펄싱될 수 있다. 이러한 구현예에서, 각각의 가스의 펄스는 약 0.01초 내지 약 100초, 대안적으로 약 0.3초 내지 약 30초, 대안적으로 약 0.5초 내지 약 10초 동안 지속될 수 있다. 다른 대안에서, 기화된 조성물 및 하나 이상의 반응물은, 수 개의 웨이퍼를 수용하는 서셉터(susceptor)가 아래에서 회전하는 샤워헤드로부터 동시에 분사될 수 있다(공간 ALD).
특정 공정 파라미터에 따라, 증착은 다양한 시간 동안 일어날 수 있다. 일반적으로, 증착은 필요한 특성을 갖는 필름을 제조하는 데 요구되거나 필요한 만큼 지속될 수 있다. 일반적인 필름 두께는 구체적인 증착 공정에 따라 수 옹스트롬에서 수백 미크론까지 변할 수 있다. 증착 공정은 또한 원하는 필름을 얻는 데 필요한 만큼 여러 번 수행될 수 있다.
하나의 비한정적인 예시적 CVD형 공정에서, 개시된 Ti 함유 필름 형성 조성물의 기상 및 반응물이 동시에 반응기에 도입된다. 두 물질은 반응하여 결과적인 Ti 함유 박막을 형성한다. 이 예시적인 CVD 공정에서의 반응물이 플라즈마로 처리될 경우, 예시적인 CVD 공정은 예시적인 PECVD 공정이 된다. 반응물은 챔버에 도입되기 이전 또는 이후에 플라즈마로 처리될 수 있다.
하나의 비한정적인 예시적 ALD형 공정에서, 개시된 Ti 함유 필름 형성 조성물의 기상이 반응기에 도입되고, 반응기에서 Ti 할라이드-함유 전구체가 기판 상에 물리적 또는 화학적으로 흡착된다. 이후 과량의 조성물은 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거될 수 있다. 소정의 가스(예를 들어, O3)가 반응기에 도입되고, 물리적 또는 화학적으로 흡착된 전구체와 자기 제한적 방식으로 반응한다. 임의의 과량의 환원 가스는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 원하는 필름이 Ti 금속 필름인 경우, 이러한 2-단계 공정은 원하는 필름 두께를 제공할 수 있거나, 필요한 두께를 갖는 필름이 얻어질 때까지 반복될 수 있다.
대안적으로, 원하는 필름이 Ti 금속 및 제2 원소를 함유하는 경우, 상기 2-단계 공정 이후 추가 전구체 화합물의 증기를 반응기에 도입할 수 있다. 추가 전구체 화합물은 증착되는 Ti 금속 필름의 성질에 기초하여 선택될 것이다. 반응기에 도입된 후, 추가 전구체 화합물은 기판과 접촉한다. 임의의 과량의 전구체 화합물은 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 재차적으로, 소정의 가스가 전구체 화합물과 반응하기 위해 반응기에 도입될 수 있다. 과량의 가스는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 원하는 필름 두께에 도달하면 공정이 종료될 수 있다. 그러나, 더 두꺼운 필름이 필요한 경우, 전체 4-단계 공정을 반복할 수 있다. Ti 함유 화합물, 추가 전구체 화합물, 및 반응물을 번갈아 제공함으로써, 원하는 조성 및 두께의 필름이 증착될 수 있다.
이 예시적인 ALD 공정에서의 반응물이 플라즈마로 처리될 경우, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 반응물은 챔버에 도입되기 이전 또는 이후에 플라즈마로 처리될 수 있다.
제2의 비한정적인 예시적 ALD형 공정에서, 개시된 Ti 할라이드-함유 전구체 중 하나(예를 들어 TiBr4:S(nPr)2)의 기상이 반응기로 도입되어 TiO 기판과 접촉한다. 이후 과량의 Ti 할라이드-함유 전구체는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거될 수 있다. 소정의 가스(예를 들어, NH3)가 반응기에 도입되고, 흡수된 Ti 할라이드-함유 전구체와 자기 제한적 방식으로 반응하여 TiN 필름을 형성한다. 임의의 과량의 N-함유 가스는 반응기를 퍼지하고/퍼지하거나 배기함으로써 반응기로부터 제거된다. 이들 두 단계는, TiN 필름이 바람직한 두께를 수득할 때까지 반복될 수 있다.
상기 논의된 공정들로부터 생성되는 Ti-함유 필름은 산화티타늄(TinOm, 식에서 각각의 n 및 m은 1 이상 6 이하의 범위의 정수임), 예컨대 TiO2; 질화티타늄, 예컨대 TiN 또는 TiSiN; 또 다른 성분 M을 함유하는 산화티타늄(TiMiOx, 식에서 i는 0.1 내지 1의 범위이고; x는 1 내지 6의 범위이고; M은 지르코늄, 하프늄, 3족 원소, 5족 원소, 란타나이드, Si, Al, B, P 또는 Ge로부터 선택됨); 또는 티타늄 산화질화물(TiM' iNyOx, 식에서 i는 0 내지 1의 범위이고; x 및 y는 1 내지 6의 범위이고; M'는 하프늄, 지르코늄, 3족 원소, 5족 원소, 란타나이드, Si, Al, B, P 또는 Ge으로부터 선택됨)을 포함할 수 있다. 당업자는 적절한 개시된 화합물, 선택적인 전구체 화합물, 및 반응물 화학종을 공정하게 선택하여, 원하는 필름 조성을 수득할 수 있음을 인식할 것이다.
Ti-함유 필름 형성 조성물은 규소층 상에 Ti를 증착시키는 데 사용될 수 있고, 어닐링되어 TiSi2 층을 형성할 수 있다. 대안적으로, Ti-함유 필름 형성 조성물 및 Si-함유 반응물, 예컨대 TSA는 TiSi2 층을 형성하는 데 사용될 수 있다. 다른 하나의 대안에서, 형성된 TiSi2 층은 바람직하게는 C54 동질이상 및 약 10 uΩcm 내지 약 20 uΩcm, 바람직하게는 약 13 uΩcm 내지 약 16 uΩcm의 저항성을 나타낸다. 대안적으로, 더 높은 저항성이 바람직한 경우, C49 동질이상 TiSi2 층이 형성될 수 있다. C49 동질이상 TiSi2 층은 약 60 uΩcm 내지 약 70 uΩcm의 저항성을 갖는다. 동질이상 상은 XRD를 사용하여 결정할 수 있다.
상기 논의된 공정으로부터 생성되는 Ti-함유 필름은 약 0 원자% 내지 약 5 원자%의 C; 약 0 원자% 내지 약 40 원자%의 O; 약 0 원자% 내지 약 2 원자%의 S; 약 0 원자% 내지 약 2 원자%의 Se; 약 0 원자% 내지 약 2 원자%의 Te; 또는 약 0 원자% 내지 약 2 원자%의 P 불순물(부가물 조성에 따라 달라짐)을 함유한다.
원하는 필름 두께를 얻은 후, 필름은 열처리, 노내 열처리, 급속 열처리, UV 또는 전자빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 공정을 거칠 수 있다. 당업자는 이러한 추가 공정 단계를 수행하는 데 이용되는 시스템 및 방법을 인식한다. 예를 들어, Ti 함유 필름은 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 이들의 조합 하에서 약 200℃ 내지 약 1000℃ 범위의 온도에 약 0.1초 내지 약 7200초 범위의 시간 동안 노출될 수 있다. 가장 바람직하게는, H-함유 분위기 또는 O-함유 분위기 하에서 3600초 동안 400℃의 온도이다. 생성된 필름은 더 적은 불순물을 함유할 수 있으며, 따라서 밀도가 향상되어 누설 전류가 개선될 수 있다. 열처리 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있고, 열처리/플래시 열처리 공정은 별도의 장치에서 수행된다. Ti 함유 필름의 탄소 및 질소 오염을 감소시키는 데 상기 후처리 방법 모두 효과적이지만, 특히 열처리가 효과적인 것으로 밝혀졌다. 이는 결과적으로 필름의 비저항을 향상시키는 경향이 있다.
실시예
다음의 실시예는 본 발명과 함께 수행된 실험을 예시한다. 실시예는 모든 것을 포함하고자 하는 것은 아니며, 본원에 기재된 발명의 범위를 한정하고자 하는 것은 아니다.
TiX4 반응물 및 TiXn:Ly 부가물은 흡습성 성질로 인해 글로브 박스에서 건조된 불활성 분위기 하에 처리되었다. 다양한 루이스 염기 리간드는 아르곤 하에서 표준 건조 기술, 예컨대 분자 체 또는 기타 건조제 처리를 사용하여 건조 및 저장하였다.
실시예 1: TiBr4:S(nPr)2의 합성
0.5 g의 고체 TiBr4를 글로브 박스에서 1 몰 당량의 S(nPr)2와 반응시켰다. 암적색으로의 발열 및 즉각적인 색 변화가 관찰되었다. 고체 입자는 거의 잔류하지 않았다. 15분 후, 혼합물을 주사기 플러그 필터를 사용하여 여과하여 투명한 암적색 액체를 생성하였다. Baker 등에 따르면, 생성되는 생성물은 단일치환되고 5-배위된 삼각쌍뿔 기하구조를 취한다:
Figure 112020060588148-pct00006
도 4는 C6D6에서 생성된 생성물의 1H-NMR 스펙트럼이다. 클린(clean) 스펙트럼은 불순물이 없음을 보여준다. α-Ti 1H 분할은 2개의 프로필기의 자성 불일치를 시사하며, 이는 리간드의 제한된 입체구조 때문일 수 있다.
도 5는 1 기압에서 온도 증가에 따른 Al2O3 팬에서 TiBr4:S(nPr)2의 중량 손실(TGA) 백분율 또는 차동 온도(DTA)를 나타내는 열중량분석/시차분석(TGA/DTA) 그래프이다. TGA 결과는 클린 증류(<0.5% 잔류물)를 나타낸다. TGA 분석을 감압 하에(약 12 Torr) 수행하였을 때, 잔류물은 수득되지 않았다.
실시예 2: TiBr4:SEtPr의 합성
0.5 g의 고체 TiBr4를 글로브 박스에서 1 몰 당량의 SEtPr와 반응시켰다. 오렌지색에서 암적색으로의 발열 반응 및 즉각적인 색 변화가 관찰되었다. 고체 입자는 거의 잔류하지 않았다. 15분 동안 교반한 후, 혼합물을 주사기 플러그 필터를 사용하여 여과하여 투명한 암적색 액체를 생성하였다.
Figure 112020060588148-pct00007
도 6은 C6D6에서 생성된 생성물의 1H-NMR 스펙트럼이다. 클린 스펙트럼은 불순물이 없음을 보여준다.
도 7은 1 기압에서 온도 증가에 따른 Al2O3 팬에서 TiBr4:S(nPr)2의 중량 손실(TGA)의 백분율 또는 차동 온도(DTA)를 나타내는 TGA/DTA 그래프이다. TGA 결과는 클린 증류(<0.5% 잔류물)를 나타낸다. TGA 분석을 감압 하에(약 12 Torr) 수행하였을 때, 잔류물은 수득되지 않았다.
실시예 3: TiBr4:S(nPr)2의 원자층 증착(ALD)
실시예 1에서 제조된 액체 TiBr4:S(nPr)2를 사용하여 TiN의 ALD를 수행하였다. 도 8은 ALD 공정을 보여주는 플로 차트이다. 단계 1에서, NH3의 3초 펄스(pulse)가 SiO2 기판을 담은 반응 챔버(미도시) 내로 도입되고, 이는 기판과 반응하여 도 9의 NH2-말단화된 기판을 생성한다. 반응기는 1 Torr에서 200℃, 300℃, 및 400℃로 유지되었다. 3초의 NH3 펄스 후 10초의 Ar 퍼지 펄스로 임의의 과량의 NH3 또는 반응 부산물을 제거한다.
도 8의 단계 2에서, TiBr4:S(nPr)2 전구체의 증기 형태의 6초의 펄스가 반응 챔버 내로 도입된다. 실시예 1의 액체 TiBr4:S(nPr)2 전구체를 72℃에서 가열 및 유지된 용기에 넣어 증기 형태를 생성하였다. 용기는 직교류 구성을 사용하였으며, 여기서 유입 도관 및 배출 도관의 말단 모두는 Ti-함유 필름 형성 조성물의 표면 위에 위치되었다. 도 10은 단계 2 시작에서의 기판의 측면도이다. 도 11은 TiBr4:S(nPr)2 전구체와 기판 및 반응 부산물, 예컨대 HBr 및 S(nPr)2 사이의 반응의 측면도이다. S(nPr)2 반응 부산물은 TiBr4:S(nPr)2 전구체로부터 S(nPr)2 부가물의 분열에 의해 생성된다. HBr 반응 부산물은 -NH2 기판 표면, 및 TiBr4:S(nPr)2 전구체의 하나의 Br 사이의 반응에 의해 생성된다.
도 8의 단계 3에서, 10초의 아르곤 펄스는 임의의 과량의 TiBr4:S(nPr)2 전구체 및 반응 챔버로부터의 반응 부산물을 퍼지하여 도 12의 기판을 생성한다.
도 8의 단계 4에서, 단계 1에서 3초의 NH3 펄스를 도입하는 것에 의해 원하는 필름 두께가 수득되지 않는 경우, 공정이 반복될 수 있다. 도 13도 12의 기판과 도 8의 단계 1의 NH3 반응물, 및 반응 부산물, 예컨대 HBr 사이의 반응의 측면도이다. HBr 반응 부산물은 TiBr3 기판의 하나의 Br과 NH3 반응물의 하나의 H의 반응에 의해 생성된다. 임의의 과량의 NH3 또는 반응 부산물을 제거하기 위해 3초의 NH3 펄스 다음에 10초의 Ar 퍼지 펄스가 이어진다.
400℃에서 이산화규소 기판(SiO2) 상에서 0.57 Å/사이클의 성장 속도로 ALD 포화 거동을 관찰하였다. 1:20 종횡비를 갖는 특징부 상에서 300 사이클 후 74%의 스텝 커버리지가 수득되었다.
도 14는 200℃ 내지 400℃의 기판 온도의 함수로서 TiBr4:S(nPr)2/NH3을 사용하여 TiN 박막의 (a) 성장 속도 및 (b) 필름 두께를 나타내는 그래프이다. 선형 성장이 관찰되었다.
일부 TiN 필름의 화학량론을 XPS(X선 광전자 분광법)에 의해 분석하였다. 그러나, 필름은 다량의 산소를 함유하였다. 산소는 증착 공정 완료 후에 대기 하에서 필름을 취급한 결과일 수 있다. 그럼에도 불구하고, 필름의 Ti:N 비는 약 1:1이었다.
비교예
TiN의 비교 ALD를 고체 TiBr4를 사용하여 수행하였다. TiBr4를 55℃에서 가열 및 유지시킨 용기에 넣었다. 반응기를 0.5 Torr에서 200℃, 300℃, 및 500℃로 유지하였다. TiBr4 도입, 아르곤 퍼지, NH3 도입, 및 아르곤 퍼지의 길이는 각각 3초, 10초, 2초, 및 10초였다. ALD 포화 거동은 300℃ 및 500℃에서 관찰되었으며, 규소 웨이퍼(Si) 상에서 성장 속도는 각각 0.57 및 056 Å/사이클이었다. 1:20의 종횡비를 갖는 특징부 상에서 200 사이클 후 74.5%의 스텝 커버리지가 수득되었다.
알 수 있는 바와 같이, 액체 TiBr4:S(nPr)2 전구체를 사용한 ALD 결과는 고체 TiBr4 전구체를 사용하여 수득된 것과 유사하였다. 그러나, 액체 TiBr4:S(nPr)2 전구체는 고체 TiBr4 전구체보다 취급이 훨씬 더 용이하다.
본 발명의 본질을 설명하기 위해 본 명세서에 설명되고 예시된 세부 사항, 재료, 단계, 및 부품 배열은 첨부된 청구범위에 기재된 발명의 원리 및 범위 내에서 당업자에 의해 추가적으로 다양하게 변경될 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 구현예에 한정되는 것은 아니다.

Claims (20)

  1. 다음 화학식:
    TiXb:Ac
    (식에서, b=3 또는 4이고; c=1~3이고; X = Br 또는 I이고; A= SRR', SeRR', 또는 TeRR'(식에서 R 및 R'는 독립적으로 H 또는 C1-C5 탄화수소임)임)을 갖는 티타늄-할라이드-함유 전구체를 포함하고,
    Ti 할라이드-함유 전구체는 표준 온도 및 압력에서 액체인, 티타늄-함유 필름 형성 조성물.
  2. 제1항에 있어서, 0% w/w 초과 0.2% w/w 이하, 또는 0% w/w 초과 0.1% w/w 이하의, 옥시할라이드(TiX2(=O)), 히드록시할라이드(TiX3(OH)), 및 산화물(TiO2)의 혼합물을 더 포함하는, 티타늄-함유 필름 형성 조성물.
  3. 제1항에 있어서, 0% w/w 초과 0.1% w/w 이하의 수소 할라이드(HX)를 더 포함하는, 티타늄-함유 필름 형성 조성물.
  4. 제1항에 있어서, 0% w/w 초과 내지 5% w/w 이하의 탄화수소 용매 또는 유리 부가물을 더 포함하는, 티타늄-함유 필름 형성 조성물.
  5. 제1항에 있어서, 0% w/w 초과 내지 5 ppmw 이하의 H2O를 더 포함하는, 티타늄-함유 필름 형성 조성물.
  6. 제1항에 있어서, X가 Br인, 티타늄-함유 필름 형성 조성물.
  7. 제1항에 있어서, X가 I인, 티타늄-함유 필름 형성 조성물.
  8. 제1항에 있어서, A가 SRR'인, 티타늄-함유 필름 형성 조성물.
  9. 제1항에 있어서, A가 SeRR'인, 티타늄-함유 필름 형성 조성물.
  10. 제1항에 있어서, A가 TeRR'인, 티타늄-함유 필름 형성 조성물.
  11. 제8항에 있어서, Ti 할라이드-함유 전구체는 TiBr4:S(nPr)2인, 티타늄-함유 필름 형성 조성물.
  12. 제8항에 있어서, R≠R'인, 티타늄-함유 필름 형성 조성물.
  13. 제12항에 있어서, Ti 할라이드-함유 전구체는 TiBr4:SEt(nPr)인, 티타늄-함유 필름 형성 조성물.
  14. 기판 상에 Ti-함유 필름을 증착시키는 방법으로서, 제1항의 Ti-함유 필름 형성 조성물을 기판을 포함하는 반응기 내로 도입하는 단계, 및 기판 상에 Ti 할라이드-함유 전구체의 적어도 일부를 증착하여 Ti-함유 필름을 형성하는 단계를 포함하는, 방법.
  15. 제14항에 있어서, 반응물을 반응기 내로 도입하는 단계를 더 포함하는, 방법.
  16. 제14항에 있어서, Ti-함유 필름이 기판 상에 선택적으로 증착되는, 방법.
  17. 제14항에 있어서, Ti-함유 필름 형성 조성물은 화학식 TiBr4:(SRR')2를 갖는 티타늄 할라이드-함유 전구체를 포함하는, 방법.
  18. 제17항에 있어서, Ti-함유 필름 형성 조성물은 화학식 TiBr4:S(nPr)2를 갖는 티타늄 할라이드-함유 전구체를 포함하는, 방법.
  19. 제14항에 있어서, Ti-함유 필름 형성 조성물은 화학식 TiBr4:SEt(nPr)을 갖는 티타늄 할라이드-함유 전구체를 포함하는, 방법.
  20. 삭제
KR1020207016964A 2017-11-30 2018-10-30 티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물 KR102425882B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/827,783 US10584039B2 (en) 2017-11-30 2017-11-30 Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US15/827,783 2017-11-30
US15/968,099 2018-05-01
US15/968,099 US10689405B2 (en) 2017-11-30 2018-05-01 Titanium-containing film forming compositions for vapor deposition of titanium-containing films
PCT/US2018/058084 WO2019108330A1 (en) 2017-11-30 2018-10-30 Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Publications (2)

Publication Number Publication Date
KR20200087212A KR20200087212A (ko) 2020-07-20
KR102425882B1 true KR102425882B1 (ko) 2022-07-27

Family

ID=66634900

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207016964A KR102425882B1 (ko) 2017-11-30 2018-10-30 티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물

Country Status (7)

Country Link
US (2) US10689405B2 (ko)
JP (1) JP6920556B2 (ko)
KR (1) KR102425882B1 (ko)
CN (1) CN111386592B (ko)
SG (1) SG11202004730PA (ko)
TW (1) TWI784098B (ko)
WO (1) WO2019108330A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR20230003695A (ko) 2021-06-29 2023-01-06 삼성전자주식회사 반도체 소자가 포함하는 컨택의 형성 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5558365A (en) * 1978-10-27 1980-05-01 Hitachi Metals Ltd Coating method for titanium compound
JPH10508656A (ja) * 1994-10-11 1998-08-25 ゲレスト インコーポレーテツド コンフオーマルなチタン系フイルムおよびその製造方法
CA2202387A1 (en) * 1994-10-11 1996-04-25 Barry C. Arkles Conformal titanium-based films and method for their preparation
US5425966A (en) * 1994-10-27 1995-06-20 Wayne State University Process for coating with single source precursors
US5656338A (en) 1994-12-13 1997-08-12 Gordon; Roy G. Liquid solution of TiBr4 in Br2 used as a precursor for the chemical vapor deposition of titanium or titanium nitride
US5700519A (en) * 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
JP2002543589A (ja) * 1999-04-27 2002-12-17 東京エレクトロン株式会社 ハロゲン化チタン前駆体からのCVDTiNプラグの形成
US6833058B1 (en) * 2000-10-24 2004-12-21 Honeywell International Inc. Titanium-based and zirconium-based mixed materials and sputtering targets
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
FR2871292B1 (fr) 2004-06-03 2006-07-28 Air Liquide Procede pour deposer un film a haute constante dielectrique utilisant du tetrakis(ehtylamino)silane
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US8853075B2 (en) * 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9187511B2 (en) * 2012-05-01 2015-11-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules
JP2014148450A (ja) * 2013-02-01 2014-08-21 Hitachi Chemical Co Ltd ルチル型酸化チタンナノロッドの製造方法及びルチル型酸化チタンナノロッド
WO2015145751A1 (ja) * 2014-03-28 2015-10-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9478438B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
WO2017037927A1 (ja) * 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Also Published As

Publication number Publication date
TW201925515A (zh) 2019-07-01
KR20200087212A (ko) 2020-07-20
CN111386592B (zh) 2024-01-05
US10689405B2 (en) 2020-06-23
WO2019108330A1 (en) 2019-06-06
US20200277315A1 (en) 2020-09-03
TWI784098B (zh) 2022-11-21
US11168099B2 (en) 2021-11-09
JP6920556B2 (ja) 2021-08-18
CN111386592A (zh) 2020-07-07
SG11202004730PA (en) 2020-06-29
US20190161507A1 (en) 2019-05-30
JP2021504962A (ja) 2021-02-15

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
KR102371411B1 (ko) 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
JP7022752B2 (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR102514164B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
KR102514672B1 (ko) 6족 전이 금속-함유 막의 기상 증착을 위한 6족 전이 금속-함유 조성물
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR20160124025A (ko) V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착
KR102653603B1 (ko) 코발트-함유 막 형성 조성물, 이의 합성, 및 막 증착에서의 용도
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR102398823B1 (ko) 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물
WO2017116667A1 (en) Iron-containing film forming compositions, their synthesis, and use in film deposition

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant