KR20160124025A - V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착 - Google Patents

V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착 Download PDF

Info

Publication number
KR20160124025A
KR20160124025A KR1020160046482A KR20160046482A KR20160124025A KR 20160124025 A KR20160124025 A KR 20160124025A KR 1020160046482 A KR1020160046482 A KR 1020160046482A KR 20160046482 A KR20160046482 A KR 20160046482A KR 20160124025 A KR20160124025 A KR 20160124025A
Authority
KR
South Korea
Prior art keywords
ipr
containing film
tbu
group
reactor
Prior art date
Application number
KR1020160046482A
Other languages
English (en)
Inventor
끌레망 랑살로-마트라
원태 노
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20160124025A publication Critical patent/KR20160124025A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • C09D7/1233
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/005Compounds of elements of Group 5 of the Periodic Table without metal-carbon linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/34Heterocyclic compounds having nitrogen in the ring
    • C08K5/3442Heterocyclic compounds having nitrogen in the ring having two nitrogen atoms in the ring
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/02Emulsion paints including aerosols
    • C09D5/024Emulsion paints including aerosols characterised by the additives
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Dispersion Chemistry (AREA)

Abstract

V 족-함유 필름 형성 조성물이 개시되며, 또한 이를 합성하는 방법, 및 V 족-함유 필름 형성 조성물을 사용하여 증착 공정을 통해 하나 이상의 기판 상에 V 족-함유 필름을 형성시키는 방법이 개시된다.

Description

V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착 {GROUP V-CONTAINING FILM FORMING COMPOSITIONS AND VAPOR DEPOSITION OF NIOBIUM-CONTAINING FILMS}
관련 출원의 교차 인용
본 출원은 2015 년 4 월 16 일에 제출된 미국 가출원 번호 62/148,261 에 대한 우선권을 주장하며, 그 전문은 모든 목적으로 본원에 참조 인용된다.
기술 분야
V 족-함유 필름 형성 조성물이, 그의 합성 방법 및 니오븀-함유 필름 형성 조성물을 이용한 증착 공정을 통한 하나 이상의 기판 상 V 족-함유 필름의 형성 방법과 함께 개시되어 있다.
산화니오븀 (Nb2O5) 과 같은 금속 산화물 필름은 다양한 기술 분야에서 광범위하게 활용되고 있다. 전통적으로 이들 산화물은 유전체 층의 고-k 물질로서 이용된 저항 필름으로서 적용되고 있다. 예를 들어, 두 ZrO2 유전체 층 사이의 Nb2O5 의 박막층은 전류 누출을 현저하게 감소시키고 ZrO2 의 입방체/정방 상을 안정화하는데 도움되는 것으로 기대되어, DRAM 의 전류 MTM 커패시터에서 더 높은 k 값을 제공한다. (Alumina, J. Vac. Sci. Technol A 4 (6), 1986 and Microelectronic Engineering 86 (2009) 1789-1795).
니오븀 니트라이드 (NbNx, 식 중 x 는 약 1 임) 와 같은 금속 니트라이드 필름이 각종 기술 분야에서 광범위하게 이용되어 왔다. 전통적으로 이들 니트라이드는 하드 코팅 및 장식 코팅으로서 적용되었으나, 지난 수십년간 이들은 마이크로전자 소자에서 점차적으로 확산 장벽 및 접착/글루 층으로서 이용되어 왔다 [Applied Surface Science 120 (1997) 199-212]. 예를 들어, NbCl5 는 NbNx 의 원자층 액피택시얼 성장의 니오븀 근원으로서 조사된 바 있으나, 이 방법은 환원제로서 Zn 을 요구했다 [Applied Surface Science 82/83 (1994) 468-474]. NbNx 필름은 또한 NbCl5 및 NH3 을 이용하여 원자층 침적에 의해 침적되었다 [Thin Solid Films 491 (2005) 235-241]. 500℃ 에서 침적된 필름이 거의 염소가 부재인 것처럼 염소 함량은 강한 온도 의존성을 보였지만, 침적 온도가 250℃ 만큼 낮은 경우 염소 함량은 8% 였다 (상기 문헌). NbCl5 의 고 용융점은 또한 상기 전구체를 증착 공정에서 사용하기에 곤란하게 만든다.
Gust et al. 은 피라졸라토 리간드 보유 니오븀 및 탄탈 이미도 착물의 합성, 구조 및 특징, 및 이들의 CVD 에 의한 탄탈 니트라이드 필름의 성장을 위한 잠재적 용도를 개시하고 있다. Polyhedron 20 (2001) 805-813.
Elorriaga et al. 은 아민의 촉매적 구아닐화에서 중간체로서의 비대칭 니오븀 구아니디네이트를 개시하고 있다 (Dalton Transactions, 2013, Vol. 42, Issue 23 pp. 8223-8230).
Tomson et al. 은 양이온성 Nb 및 Ta 모노메틸 착물 [(BDI)MeM(NtBu)][X] (BDI=2,6-iPr2C6H3-N-C(Me)CH-C(Me)-N(2,6-iPr2C6H3); X=MeB(C6F5)3 또는 B(C6F5)4) 의 합성 및 반응성을 개시하고 있다 (Dalton Transactions 2011 Vol. 40, Issue 30, pp. 7718-7729).
고온에서 두께 및 조성 제어와 함께 기상 필름 침착에 적합한, 신규의 액체 또는 저 용융점 (표준 압력에서 <50℃), 고열 안정성의 V 족-함유 전구체 분자 개발에 대해 여전히 요구되고 있다.
표기법 및 명명법
특정 약어, 기호, 및 용어가 하기 명세서 및 청구항 전반에 사용되고, 하기를 포함한다:
본원에서 사용되는 바와 같은, 부정관사 "하나" 또는 "한" 은 하나 이상을 의미한다.
본원에서 이용되는 바, 용어 "대략" 또는 "약"은 표시값의 +/-10% 를 의미한다.
원소의 주기율표에서의 원소의 표준 약어가 본원에서 이용된다. 원소는 이들 약어에 의해 지칭될 수 있음이 자명하다 (예, Nb 는 니오븀을 지칭하고, N 은 질소를 지칭하고, C 는 탄소를 지칭한다 등).
본원에서 사용되는 바와 같은, 용어 "독립적으로" 는 R 기를 설명하는 문맥에서 사용되는 경우 대상 R 기가 독립적으로 동일 또는 상이한 아래첨자 또는 위첨자를 가진 다른 R 기에 대해 선택될 뿐 아니라, 또한 독립적으로 동일한 R 기의 임의의 부가적인 종류에 대해 선택되는 것임을 나타내는 것으로 이해되어야 한다. 예를 들어 화학식 MR1 x (NR2R3)(4-x) (식 중 x 는 2 또는 3 임) 에서, 2 또는 3 개의 R1 기는 서로에 대해 또는 R2 또는 R3 과 서로 동일할 수 있으나, 그럴 필요는 없다.
본원에서 사용되는 바와 같은, 용어 "알킬 기" 는 오로지 탄소 및 수소 원자만을 함유하는 포화 작용기를 말한다. 추가로, 용어 "알킬 기" 는 선형, 분지형, 또는 시클릭 알킬 기를 말한다. 선형 알킬 기의 예에는 제한 없이 메틸 기, 에틸 기, 프로필 기, 부틸 기 등이 포함된다. 분지형 알킬 기의 예에는 제한 없이, t-부틸이 포함된다. 시클릭 알킬 기의 예에는 제한 없이, 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등이 포함된다.
본원에서 사용되는 바와 같은, 약어 "Me" 는 메틸 기를 말하고; 약어 "Et" 는 에틸 기를 말하고; 약어 "Pr" 은 임의의 프로필 기를 말하고; 약어 "nPr" 는 "노르말" 또는 선형 프로필기를 말하고; 약어 "iPr" 은 이소프로필기를 말하고; 약어 "Bu" 는 부틸기를 말하고; 약어 "nBu" 는 "노르말" 또는 선형 부틸기를 말하고; 약어 "tBu" 는 또한 1,1-디메틸에틸로서 공지된 tert-부틸기를 말하고; 약어 "sBu" 는 1-메틸프로필로도 공지된 sec-부틸기를 말하고; 약어 "iBu" 는 2-메틸프로필로도 공지된 이소-부틸기를 말하고; 약어 "amyl"은 아밀 또는 펜틸기를 말하고; 약어 "tAmyl" 은 1,1-디메틸프로필로도 공지된 tert-아밀기를 말한다.
본원에서 이용되는 바, 약어 "TMS" 는 트리메틸실릴 (Me3Si-) 을 말하고; 약어 "DMS" 는 디메틸실릴 (Me2HSi-) 을 말하고; 약어 "MMS" 는 모노메틸실릴 (MeH2Si-) 을 말한다.
본원에서 이용되는 바, 약어 "NR , R' R''-amd" 또는 NR R"-amd 은 R=R' 인 경우 아미디네이트 리간드 [R-N-C(R'')=N-R'] 를 지칭하고 (식 중, R, R' 및 R'' 은 정의된 알킬기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu 임); 약어 "NR , R'-fmd" 또는 NR-fmd 은 R=R' 인 경우 포르미디네이트 리간드 [R-N-C(H)=N-R'] 를 지칭하고 (식 중, R 및 R' 은 정의된 알킬기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu 임); 약어 "NR , R', NR '', R'''-gnd" 또는 NR, NR "-gnd 은 R=R' 및 R"=R'" 인 경우, 구아니디네이트 리간드 [R-N-C(NR"R''')=NR'] 를 지칭한다 (식 중, R, R', R'' 및 R''' 은 정의된 알킬기, 예컨대 Me, Et, nPr, iPr, nBu, iBi, sBu 또는 tBu 임). 여기서 리간드 백본의 C 와 N 사이의 이중 결합을 갖는 것으로서 묘사되지만, 당업자는 아미디네이트, 포르미디네이트 및 구아니디네이트 리간드는 고정된 이중 결합을 포함하지 않는 것을 인지할 것이다. 그 대신에, 하나의 전자는 N-C-N 사슬 중에서 비국소화 (delocalization) 된다.
Figure pat00001
산화규소와 같은 침적된 필름 또는 층은 명세서 및 청구항 전반에 걸쳐 그의 적절한 화학량론과 관계 없이 열거된 점에 주의한다 (즉, SiO2). 층은 순수 (Si) 층, 실리사이드 (MoSip) 층, 카르바이드 (SioCp) 층, 니트라이드 (SikNl) 층, 옥시드 (SinOm) 층, 또는 그의 혼합물을 포함할 수 있고; 이때 M 은 원소이고, k, l, m, n, o, 및 p 는 포괄적으로 1 내지 6 범위이다. 예를 들어, 코발트 실리사이드는 CokSil (식 중, k 및 l 은 각각 0.5 내지 5 범위임) 이다. 마찬가지로, 임의의 기준 층은 또한 산화규소층, SinOm (식 중, n 은 0.5 내지 1.5 범위이고, m 은 1.5 내지 3.5 범위임) 을 포함할 수 있다. 더욱 바람직하게, 산화규소층은 SiO2 또는 SiO3 이다. 산화규소층은 산화규소 기재의 유전 (dielectric) 물질, 예컨대 유기 기재 또는 산화규소 기재 저-k 유전 물질, 예컨대 Black Diamond II 또는 III 물질 (Applied Materials, Inc) 일 수 있다. 대안적으로, 임의의 기준 규소-함유 층은 순수 규소일 수 있다. 임의의 규소-함유 층은 또한 도펀트, 예컨대 B, C, P, As 및/또는 Ge 을 포함할 수도 있다.
본원에서 언급된 임의 및 모든 범위는 용어 "포괄적으로"가 사용되는지 여부와 관계 없이 그의 양쪽 끝수를 포함한다 (즉, x=1 내지 4 은, x=1, x=4, 및 x= 그 둘 사이의 임의의 수를 의미함).
개요
하기 화학식을 갖는 전구체를 포함하는 V 족-함유 필름 형성 조성물이 개시된다:
Figure pat00002
[식 중, M 은 V, Nb, 또는 Ta 이고, 각각의 R, R1, R2 및 R3 은 독립적으로 H, 알킬기, 또는 R'3Si (각 R' 은 독립적으로 H 또는 알킬기임) 임]. 개시된 V 족-함유 필름 형성 조성물은 하기 양태들 중 하나 이상을 포함할 수 있다:
* M = V;
* M = Nb;
* M = Ta;
* 각각의 R 은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me 로부터 선택됨;
* R, R1, R2 및 R3 은 각각 tBu, H, H 및 H 임;
* R, R1, R2 및 R3 은 각각 tBu, Me, H 및 H 임;
* R, R1, R2 및 R3 은 각각 tBu, Me, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 tBu, Et, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 tBu, nPr, H 및 nPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, H 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, tBu, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, tAmyl, H 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 tBu, Et, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 tBu, SiMe3, H 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 tBu, SiHMe2, H 및 SiHMe2 임;
* R, R1, R2 및 R3 은 각각 tBu, SiH2Me, H 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 tBu, H, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 tBu, Me, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 tBu, Me, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 tBu, Et, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 tBu, nPr, Me 및 nPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, Me 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, tBu, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, tAmyl, Me 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 tBu, Et, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 tBu, SiMe3, Me 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 tBu, SiHMe2, Me 및 SiHMe2 임;
* R, R1, R2 및 R3 은 각각 tBu, SiH2Me, Me 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, H, H 및 H 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Me, H 및 H 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Me, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Et, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 tAmyl, nPr, H 및 nPr 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, H 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tAmyl, tBu, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, tAmyl, H 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Et, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiMe3, H 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiHMe2, H 및 SiHMe2 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiH2Me, H 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, H, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Me, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Me, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Et, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 tAmyl, nPr, Me 및 nPr 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, Me 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tAmyl, tBu, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, tAmyl, Me 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 tAmyl, Et, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 tAmyl, iPr, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiMe3, Me 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiHMe2, Me 및 SiHMe2 임;
* R, R1, R2 및 R3 은 각각 tAmyl, SiH2Me, Me 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 iPr, H, H 및 H 임;
* R, R1, R2 및 R3 은 각각 iPr, Me, H 및 H 임;
* R, R1, R2 및 R3 은 각각 iPr, Me, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 iPr, Et, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 iPr, nPr, H 및 nPr 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, H 및 iPr 임;
* R, R1, R2 및 R3 은 각각 iPr, tBu, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, tAmyl, H 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 iPr, Et, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, H 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, H 및 Me 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, H 및 Et 임;
* R, R1, R2 및 R3 은 각각 iPr, SiMe3, H 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 iPr, SiHMe2, H 및 SiHMe2 임;
* R, R1, R2 및 R3 은 각각 iPr, SiH2Me, H 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 iPr, H, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 iPr, Me, Me 및 H 임;
* R, R1, R2 및 R3 은 각각 iPr, Me, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 iPr, Et, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 iPr, nPr, Me 및 nPr 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, Me 및 iPr 임;
* R, R1, R2 및 R3 은 각각 iPr, tBu, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, tAmyl, Me 및 tAmyl 임;
* R, R1, R2 및 R3 은 각각 iPr, Et, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, Me 및 tBu 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, Me 및 Me 임;
* R, R1, R2 및 R3 은 각각 iPr, iPr, Me 및 Et 임;
* R, R1, R2 및 R3 은 각각 iPr, SiMe3, Me 및 SiMe3 임;
* R, R1, R2 및 R3 은 각각 iPr, SiHMe2, Me 및 SiHMe 임;
* R, R1, R2 및 R3 은 각각 iPr, SiH2Me, Me 및 SiH2Me 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, Et 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, nPr 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, iPr 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, nBu 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, iBu 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, sBu 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, tBu 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, tAmyl 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, SiMe3 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, SiHMe2 및 iPr 임;
* R, R1, R2 및 R3 은 각각 tBu, iPr, SiH2Me 및 iPr 임;
또한, 상기 개시된 V 족 함유 필름 형성 조성물 중 임의의 것을 함유하고 입구 도관 및 배출구 도관을 갖는 통을 포함하는 V 족-함유 필름 형성 조성물 전달 소자가 개시된다. 개시된 소자는 하기의 양태 중 하나 이상을 포함할 수 있다:
* 총 농도 10 ppmw 미만의 금속 오염물질을 갖는 V 족-함유 필름 형성 조성물;
* V 족 함유 필름 형성 조성물의 표면 위에 위치된 입구 도관 말단의 말단부 및 V 족 함유 필름 형성 조성물의 표면 아래 위치된 배출구 도관의 말단부;
* V 족 함유 필름 형성 조성물의 표면 아래에 위치된 입구 도관 말단의 말단부 및 V 족 함유 필름 형성 조성물의 표면 위에 위치된 배출구 도관의 말단부;
* 입구 및 배출구 상 다이아프램 밸브를 추가 포함;
* V 족-함유 필름 형성 조성물은 V(=NtBu)(NiPr Me-amd)3 임;
* V 족-함유 필름 형성 조성물은 V(=NtAmyl)(NiPr Me-amd)3 임;
* V 족-함유 필름 형성 조성물은 Nb(=NtBu)(NiPr Me-amd)3 임;
* V 족-함유 필름 형성 조성물은 Nb(=NtAmyl)(NiPr Me-amd)3 임;
* V 족-함유 필름 형성 조성물은 Ta(=NtBu)(NiPr Me-amd)3 임; 및
* V 족-함유 필름 형성 조성물은 Ta(=NtAmyl)(NiPr Me-amd)3 임.
또한, 기판 상 V 족 함유 필름의 침적 방법이 개시되어 있다. 상기 개시된 V 족 함유 필름 형성 조성물은 내부에 기판이 배치된 반응기에 도입된다. 전구체의 적어도 일부는 기판 상에 침적되어 V 족 함유 필름을 형성한다. 개시된 방법은 하기의 양태 중 하나 이상을 추가로 포함할 수 있다:
* 하나 이상의 반응물을 반응기에 도입함;
* 반응물은 플라즈마-처리됨;
* 반응물은 원격 플라즈마 처리됨;
* 반응물은 플라즈마 처리되지 않음;
* 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼, 및 그의 혼합물로 이루어진 군으로부터 선택됨;
* 반응물은 H2 임;
* 반응물은 NH3 임;
* 반응물은: O2, O3, H2O, H2O2 , NO, N2O, NO2, 그의 산소 라디칼, 및 그의 혼합물로 이루어진 군으로부터 선택됨;
* 반응물은 H2O 임;
* 반응물은 플라즈마 처리된 O2 임;
* 반응물은 O3 임;
* V 족-함유 필름 형성 조성물 및 반응물이 반응기에 동시에 도입됨;
* 반응기는 화학적 증착을 위해 환경 설정됨;
* 반응기는 플라즈마 강화 화학적 증착을 위해 환경 설정됨;
* V 족-함유 필름 형성 조성물 및 반응물은 순차적으로 챔버에 도임됨;
* 반응기는 원자층 침적을 위해 환경 설정됨;
* 반응기는 플라즈마 강화 원자층 침적을 위해 환경 설정됨;
* 반응기는 공간적 원자층 침적을 위해 환경 설정됨;
* V 족 함유 필름은 순수 V 박막임;
* V 족 함유 필름은 순수 Nb 박막임;
* V 족 함유 필름은 순수 Ta 박막임;
* V 족 함유 필름은 VkSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 NbkSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 TakSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 VnOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 VO2 또는 V2O5 임;
* V 족 함유 필름은 NbnOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 NbO2 또는 Nb2O5 임;
* V 족 함유 필름은 TanOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 TaO2 또는 Ta2O5 임;
* V 족 함유 필름은 VoNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 NboNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 TaoNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 VN 임;
* V 족 함유 필름은 NbN 임;
* V 족 함유 필름은 TaN 임;
* V 족 함유 필름은 VoNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 NboNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 TaoNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
* V 족 함유 필름은 VON 임;
* V 족 함유 필름은 NbON 임; 또는
* V 족 함유 필름은 TaON 임.
본 발명의 특성 및 목적의 추가의 이해를 위해, 하기 상세한 설명을, 이하의 첨부 도면과 함께 참조한다:
도 1 은 V 족-함유 필름 형성 조성물 전달 소자의 제 1 구현예의 측면도이고;
도 2 는 V 족-함유 필름 형성 조성물 전달 소자의 제 2 구현예의 측면도이고;
도 3 은 C6D6 에서 니오븀 t부틸 이미도 N, N'-디이소프로필아미디네이트의 1HNMR 스펙트럼이고,
도 4 니오븀 t부틸 이미도 N, N'-디이소프로필아미디네이트의 온도 증가에 따른 중량 손실% 를 나타내는 열중량 분석 (TGA) 그래프이다.
바람직한 구현예의 기술
하기 화학식을 가진 전구체를 포함하는 V 족-함유 필름 형성 조성물이 개시된다:
Figure pat00003
[식 중, M 은 V, Nb, 또는 Ta 이고, 각 R, R1, R2 및 R3 은 독립적으로 H, 알킬기, 또는 R'3Si 이고, 각 R' 은 독립적으로 H 또는 알킬기임]. 각 R, R1, R2, 또는 R3 은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me 로부터 선택될 수 있다.
M 이 Nb 이고, R 이 iPr 이고, 각 R2 이 H 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00004
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
R 이 tBu 이고, 각 R2 이 H 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00005
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
R 이 tAmyl 이고, 각 R2 이 H 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00006
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
이들 구현예에서, R1 은 R3 와 동일할 수 있다. 예를 들어, R1 및 R3 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, 또는 SiH2Me 일 수 있다. 대안적으로, R1 은 R3 와 상이할 수 있다. 예를 들어, R1 은 Me 일 수 있고, R3 은 H 일 수 있다. 또는 R1 은 Et 일 수 있고, R3 은 tBu 일 수 있다. 또는 R1 은 iPr 일 수 있고, R3 은 Me, Et, 또는 tBu 일 수 있다.
R 이 iPr 이고, 각 R2 이 Me 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00007
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
R 이 tBu 이고, 각 R2 이 Me 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00008
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
R 이 tAmyl 이고 각 R2 이 Me 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00009
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다.
이들 구현예에서, R1 은 R3 와 동일할 수 있다. 예를 들어, R1 및 R3 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, 또는 SiH2Me 일 수 있다. 대안적으로, R1 은 R3 와 상이할 수 있다. 예를 들어, R1 은 Me 일 수 있고, R3 은 H 일 수 있다. 또는 R1 은 Et 일 수 있고, R3 은 tBu 일 수 있다. 또는, R1 은 iPr 일 수 있고, R3 은 Me, Et, 또는 tBu 일 수 있다.
R 이 tBu 이고, 각 R1 은 iPr 이고, 각 R3 은 iPr 인 경우, 전구체는 하기 화학식을 가진다:
Figure pat00010
상기 구조는 M=V 및 M=Ta 전구체에 있어서 유사할 것이다. 이들 구현예에서, 각 R2 은 Et, nPr, iPr, nBu, iBu, sBu, tBu, tAmyl, SiMe3, SiHMe2, 또는 SiH2Me 일 수 있다.
이들 전구체는 1 몰 당량의 M(=NtBu)Cl3(py)2 을 3 몰 당량의 관련 리튬 아미디네이트 리간드와 극성 용매 중 실온에서 반응시켜 합성할 수 있다. 리튬 아미디네이트 리간드는 메틸리튬을 [Chem. Mater. 2014, 26, 3065-3073] 에 기재된 바와 같이 관련 N, N-디알킬카르보디이미드와 반응시켜 새롭게 제조되어야 한다. M(=NtBu)Cl3(py)2 은 [Dalton Trans., 2011, 40, 413-420] 에 기재된 바와 같이 제조될 수 있다. 적절한 극성 용매에는 테트라히드로푸란 (THF) 이 포함된다. 충분한 시간 동안 교반한 후, 용매를 진공 하 제거하고 무극성 용매, 예컨대 펜탄을 이용하여 생성물을 추출한다.
개시된 V 족-함유 필름 형성 조성물의 순도는 95% w/w 초과 (즉, 95.0% w/w 내지 100.0% w/w), 바람직하게 98% w/w 초과 (즉, 98.0% w/w 내지 100.0% w/w), 및 더욱 바람직하게 99% w/w 초과 (즉, 99.0% w/w 내지 100.0% w/w) 이다. 당업자는 순도가 H NMR 또는 기체 또는 액체 크로마토그래피와 질량 분석에 의해 측정될 수 있다는 점을 인지할 것이다. 개시된 V 족-함유 필름 형성 조성물은 하기 불순물 중 임의의 것을 포함할 수 있다: N,N-디알킬카르보디이미드, 아미딘; 피리딘; 알킬아민; 알킬이민; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화 금속 화합물; 또는 리튬, 나트륨, 또는 칼륨 아미디네이트. 이들 불순물의 총량은 5% w/w 미만 (즉, 0.0% w/w 내지 5.0% w/w), 바람직하게 2% w/w 미만 (즉, 0.0% w/w 내지 2.0% w/w), 및 더욱 바람직하게 1% w/w 미만 (즉 0.0% w/w 내지 1.0% w/w) 이다. 조성물은 재결정화, 승화, 증류에 의해 및/또는 기체 또는 액체를 4A 분자체와 같은 적합한 흡수제에 통과시킴으로써 정제할 수 있다.
개시된 V 족 함유 필름 형성 조성물의 정제는 금속 불순물을 0 ppbw 내지 1 ppmw, 바람직하게 0-500 ppbw (part per billion weight) 수준으로 야기할 수 있다. 이들 금속 불순물에는 이에 제한되는 것은 아니나, 알루미늄 (Al), 비소 (As), 바륨 (Ba), 베릴륨 (Be), 비스무트 (Bi), 카드뮴 (Cd), 칼슘 (Ca), 크로뮴 (Cr), 코발트 (Co), 구리 (Cu), 갈륨 (Ga), 게르마늄 (Ge), 하프늄 (Hf), 지르코늄 (Zr), 인듐 (In), 철 (Fe), 납 (Pb), 리튬 (Li), 마그네슘 (Mg), 망간 (Mn), 텅스텐 (W), 니켈 (Ni), 칼륨 (K), 나트륨 (Na), 스트론튬 (Sr), 토륨 (Th), 주석 (Sn), 티타늄 (Ti), 우라늄 (U), 및 아연 (Zn) 이 포함된다.
개시된 V 족 함유 필름 형성 조성물은 반도체 프로세싱 도구로 개시된 V 족 함유 필름 형성 조성물 전달 소자에 의해 전달될 수 있다. 1 2 는 개시된 전달 소자 1 의 두 구현예를 나타낸다.
도 1 은 V 족 함유 필름 형성 조성물 전달 소자 1 의 한 구현예의 측면도이다. 1 에서, 개시된 V 족 함유 필름 형성 조성물 10 은 2 개의 도관, 즉 입구 도관 30 및 배출구 도관 40 을 갖는 용기 20 내에 함유된다. 전구체 분야의 당업자는 용기 20, 입구 도관 30 및 배출구 도관 40 이 승온 및 승압에서 조차도 V 족 함유 필름 형성 조성물 10 의 기체 형태의 누출을 막기 위해 제조된 것임을 인지할 것이다.
적합한 밸브에는 스프링 작동식 또는 결부식 (tied) 다이아프램 밸브가 포함된다. 밸브는 추가로 제한 유동 오리피스 (restrictive flow orifice; RFO) 를 포함할 수 있다. 전달 소자는 기체 매니폴드 및 인클로져 (enclosure) 에 연결되어야 한다. 기체 매니폴드는 임의의 잔여량의 인화성 물질이 반응되지 않도록, 전달 소자가 대체될 때 공기에 노출될 수 있는 배관의 안전한 배출 및 퍼징을 허용해야 한다. 인클로져에는 인화성 물질이 방출되는 경우 화재를 제어하는 화재 제어능 및 센서가 구비되어야 한다. 기체 매니폴드는 또한 격리 밸브, 진공 발생기를 갖춰야 하며 최소한도로 퍼지 기체의 도입을 허용해야 한다.
전달 소자는 누설 방지되어야 하고 심지어 미세량의 물질이라도 이의 배출을 허용하지 않는 밸브를 구비해야 한다. 전달 소자는 밸브 3545 를 통해 상기 개시된 기체 캐비넷과 같은 반도체 프로세싱 도구의 여타의 부품과 유동적으로 연결된다. 바람직하게, 전달 소자 20, 입구 도관 30, 밸브 35, 배출구 도관 40 및 밸브 45 는 316L EP 또는 304 스테인레스 스틸로 만들어진다. 그러나, 당업자는 기타 비반응성 물질이 또한 본원 교시에 이용될 수도 있고, 임의의 부식성 V 족-함유 필름 형성 조성물 10 이 하스텔로이 (Hastelloy) 또는 인코넬 (Inconel) 과 같은 보다 내부식성인 물질의 이용을 요구할 수 있다는 점을 인지할 것이다.
1 에서, 입구 도관 30 의 말단부 31 은 V 족 함유 필름 형성 조성물 10 의 표면 위에 위치하는 반면, 배출구 도관 40 의 말단부 41 은 V 족 함유 필름 형성 조성물 10 의 표면 아래에 위치된다. 이 구현예에서, V 족 함유 필름 형성 조성물 10 은 바람직하게 액체 형태이다. 이에 제한되는 것은 아니나, 질소, 아르곤, 헬륨 및 그 혼합물을 포함하는 불활성 기체는 입구 도관 30 에 도입될 수 있다. 전달 소자 20 은 불활성 기체에 의해 가압되어, 액체 V 족 함유 필름 형성 조성물 10 이 배출구 도관 40 을 통해 반도체 프로세싱 도구 (나타내지 않음) 내 부품으로 밀치고 나아가게 된다. 반도체 프로세싱 도구는 헬륨, 아르곤, 질소 또는 그 혼합물과 같은 운반 기체 사용으로 또는 없이, 액체 V 족 함유 필름 형성 조성물 10 을 증기로 변환시키는 기화기를 포함할 수 있으며, 이로써 그 증기는 보수될 웨이퍼가 위치되고 증기 상으로 처리가 일어나는 챔버로 전달된다. 대안적으로, 액체 V 족 함유 필름 형성 조성물 10 은 제트 또는 에어로졸로서 웨이퍼 표면으로 직접 전달될 수 있다.
도 2 는 V 족 함유 필름 형성 조성물 전달 소자 1 의 제 2 구현예의 측면도이다. 도 2 에서, 입구 도관 30 의 말단부 31 은 V 족 함유 필름 형성물 10 의 표면 아래에 위치되는 반면 배출구 도관 40 의 말단부 41 은 V 족 함유 필름 형성 조성물 10 의 표면 위에 위치된다. 2 는 또한 V 족 함유 필름 형성 조성물 10 의 온도를 상승시킬 수 있는 선택적인 가열부 25 를 포함한다. V 족 함유 필름 조성물 10 은 고체 또는 액체 형태일 수 있다. 이에 제한되는 것은 아니나 질소, 아르곤, 헬륨 및 그 혼합물을 포함하는 불활성 기체는 입구 도관 30 에 도입된다. 불활성 기체는 V 족 함유 필름 형성 조성물 10 을 통해 유동하고 불활성 기체 및 기화된 V 족 함유 필름 형성 조성물 10 의 혼합물을 배출구 도관 40 및 반도체 프로세싱 도구 내 부품으로 나른다.
12 모두는 밸브 3545 를 포함한다. 당업자는 밸브 3545 가 도관 3040 각각을 통해 유동되도록 개방 또는 폐쇄 상태로 배치될 수 있음을 인지할 것이다. 1 또는 2 의 전달 소자 1 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종단되는 단일의 도관을 갖는 보다 간단한 전달 소자가, V 족 함유 필름 형성 조성물 10 이 증기 형태이거나 충분한 기압이 고체/액체 상 위에 존재하는 경우 이용될 수 있다. 이 경우, V 족 함유 필름 형성 조성물 10 은 간략히 1 의 밸브 35 를 또는 2 의 밸브 45 를 각각 개방시킴으로써 도관 30 또는 40 을 통해 증기 형태로 전달된다. 전달 소자 1 은 적절한 온도에서 예를 들어 선택적인 가열부 25 를 이용함으로써 증기 형태로 전달되는 V 족 함유 필름 형성 조성물 10 에 충분한 증기압을 제공하도록 유지될 수 있다.
도 12 에서 V 족 함유 필름 형성 조성물 전달 소자 1 의 두 구현예를 개시하면서, 당업자는 입구 도관 30 및 배출구 도관 40 이 모두 본원 개시에서 벗어나지 않고 V 족 함유 필름 형성 조성물 10 의 표면 위 또는 아래에 위치될 수 있음을 인지할 것이다. 게다가, 입구 도관 30 은 충전 포트일 수 있다. 마지막으로, 당업자는 개시된 V 족 함유 필름 형성 조성물이 본 교시에서 벗어나지 않으면서 Jurcik et al. 의 WO 2006/059187 에 개시된 앰플과 같은 여타의 전달 소자를 이용함으로써 반도체 프로세싱 도구에 전달될 수 있음을 인지할 것이다.
또한, 증착 공정 이용에 의한 기판 상 V 족 함유 층의 형성 방법이 개시되어 있다. 상기 방법은 반도체, 광전지, LCD-TFT 또는 평면 패널 타입 소자의 제작에 이용될 수 있다. 개시된 V 족 함유 필름 형성 조성물은 당업자에게 공지된 임의 침적 방법을 이용하여 V 족 함유 필름을 침적시키는데 사용될 수 있다. 적합한 증착 방법의 예에는 화학적 증착 (CVD) 또는 원자층 침적 (ALD) 이 포함된다. 예의 CVD 방법에는 열적 CVD, 플라즈마 강화 CVD (PECVD), 펄스화 CVD (PCVD), 저압 CVD (LPCVD), 부압 CVD (sub-atmospheric CVD; SACVD) 또는 대기압 CVD (APCVD), 핫-와이어 CVD (HWCVD 또한 cat-CVD 로서 공지, 여기서 핫 와이어는 침적 공정의 에너지 소스로서 역할 함), 라디칼 혼입 CVD, 및 그 조합물이 포함된다. 예의 ALD 방법에는 열적 ALD, 플라즈마 강화 ALD (PEALD), 공간 격리 ALD, 핫 와이어 ALD (HWALD), 라디칼 혼입 ALD, 및 그 조합물이 포함된다. 초임계 유동 침적이 또한 이용될 수 있다. 침적 방법은 적합한 계단 도포성 (step coverage) 및 필름 두께 제어를 제공하도록, ALD, PE-ALD 또는 공간 ALD 가 바람직하다.
개시된 V 족 함유 필름 형성 조성물은 전구체, 또는 전구체와 에틸 벤젠, 자일렌, 메시틸렌, 데칼린, 데칸, 도데칸 및 그 혼합물과 같은 적절한 용매의 조합물로 이루어질 수 있다. 개시된 전구체는 용매 중 각종 농도로 존재할 수 있다.
V 족 함유 필름 형성 조성물은 배관 및/또는 유량계와 같은 종래의 수단으로써 증기 형태로 반응기에 도입된다. 증기 형태는, 직접 기화, 증류와 같은 통상의 기화 단계를 통한 조성물의 기화에 의해, 또는 버블링 또는 PCT 공보 WO2009/087609, Xu et al 에 개시된 것과 같은 승화기를 이용함으로써 제조될 수 있다. 조성물은 반응기에 도입되기 전에 기화시키는 기화기에 액체 상태로 공급될 수 있다. 대안적으로, 운반 기체를 조성물 함유 용기에 통과시킴으로써 또는 운반 기체를 조성물에 버블링시킴으로써 조성물은 기화될 수 있다. 운반 기체는 이에 제한되는 것은 아니나, Ar, He, N2 및 그 혼합물을 포함할 수 있다. 운반 기체로의 버블링은 또한 조성물에 존재하는 용존 산소를 제거할 수도 있다. 운반 기체 및 조성물이 이때 반응기에 증기로서 도입된다.
필요에 따라, 개시된 조성물을 함유하는 용기는, 조성물이 그의 액체 상이 되게 및 충분한 증기압을 갖게 허용하는 온도로 가열될 수 있다. 용기는 예를 들어 약 0℃ 내지 약 150℃ 의 범위의 온도에서 유지될 수 있다. 당업자는 용기 온도를 기화된 전구체의 양을 제어하도록 공지된 방식으로 조절할 수 있음을 인지하고 있다.
반응기는 제한 없이 평행 판 유형 반응기, 냉벽 유형 반응기, 고온벽 유형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 화합물이 반응되고 층을 형성하기에 적합한 조건 하 침적 시스템의 기타 유형과 같이 침적 방법이 행해지는 소자 내의 임의의 인클로져 또는 챔버일 수 있다. 당업자는 이들 반응기 중 어느 반응기도 ALD 또는 CVD 침적 공정에 이용될 수 있음을 인지할 것이다.
반응기는 필름이 침적될 하나 이상의 기판을 포함한다. 기판은 일반적으로 공정이 행해지는 물질로서 정의된다. 기판은 반도체, 광전기, 평면 패널 또는 LCD-TFT 소자 제작에 이용된 임의의 적합한 기판일 수 있다. 적합합 기판의 예에는 웨이퍼, 예컨대 실리콘, 실리카, 유리, 플라스틱 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전의 제작 단계로부터 그 위에 침적된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층 (결정질, 무정형, 다공성 등), 산화규소 층, 질화 규소층, 규소 옥시 니트라이드 층, 탄소 도핑된 산화규소 (SiCOH) 층, 또는 그 조합물이 포함될 수 있다. 추가적으로, 웨이퍼에는 구리 층 또는 귀금속 층 (예, 백금, 팔라듐, 로듐 또는 금) 이 포함될 수 있다. 웨이퍼는 배리어 층, 예컨대 망간, 망간 옥시드 등을 포함할 수 있다. 플라스틱층, 예컨대 폴리(3,4-에닐렌디옥시티오펜)폴리(스티렌술포네이트) [PEDOT:PSS] 가 또한 이용될 수 있다. 층은 평면 또는 패턴이 있을 수 있다. 개시된 공정은 직접 웨이퍼 상에, 또는 웨이퍼 위 하나 또는 하나 이상 (패턴화된 층이 기판을 형성하는 경우) 의 층에 V 족 함유층을 침적할 수 있다. 나아가, 당업자는 본원에서 이용된 용어 "필름" 또는 "층"이 표면 위에 펼쳐지거나 놓여진 일부 물질의 두께를 지칭하고, 표면은 트렌치 (trench) 또는 라인일 수 있다는 점을 인지할 것이다. 명세서 및 청구항 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 연관 층은 기판으로서 지칭된다. 예를 들어, 니오븀 니트라이드 필름은 Si 층 상에 침적될 수 있다. 후속의 프로세싱에서, 지르코늄 옥시드 층이 니오븀 니트라이드 층 위에 침적될 수 있고, 제 2 의 니오븀 니트라이드 층이 지르코늄 옥시드 층에 침적되어 DRAM 커패시터에서 이용되는 NbN/ZrO2/NbN 스택 (stack) 을 형성할 수 있다.
반응기 내 온도 및 압력은 증착에 적합한 조건에서 유지된다. 즉, 기화된 조성물을 챔버에 도입한 후, 챔버 내 조건은 전구체의 적어도 일부가 기판에 침적되어 V 족-함유 필름이 형성되는 조건이다. 예를 들어, 반응기 내 압력은 침적 매개변수에 따라 요구되는 바와 같이, 약 1 Pa 내지 약 105 Pa, 보다 바람직하게는 약 25 Pa 내지 약 103 Pa 에서 유지될 수 있다. 마찬가지로, 반응기 내 온도는 약 100 ℃ 내지 약 500 ℃, 바람직하게는 약 150 ℃ 내지 약 400 ℃ 로 유지될 수 있다. 당업자는 "적어도 일부의 전구체가 침적된다" 는 것이 일부 또는 모든 전구체가 기판과 반응하거나 이에 부착되는 것을 의미한다는 것을 인지할 것이다.
기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 반응기의 온도를 제어할 수 있다. 기판을 가열하는데 사용한 장치는 당업계에 공지되어 있다. 반응기 벽을 충분한 온도로 가열하여, 충분한 성장 속도에서 및 원하는 물리적 상태 및 조성을 갖는 원하는 필름을 수득한다. 반응기 벽이 가열될 수 있는 비제한적인 예시적 온도 범위는 대략 100 ℃ 내지 대략 500 ℃ 를 포함한다. 플라즈마 침적 공정을 이용하는 경우, 침적 온도는 대략 150 ℃ 내지 대략 400 ℃ 범위일 수 있다. 대안적으로, 가열 공정을 수행하는 경우, 침적 온도는 대략 200 ℃ 내지 대략 500 ℃ 범위일 수 있다.
개시된 V 족-함유 필름 형성 조성물에 추가로, 반응물이 반응기에 도입될 수 있다. 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이의 수소 라디칼, 및 이의 혼합물일 수 있다. 바람직하게는, 반응물은 H2 또는 NH3 이다.
대안적으로, 반응물은 산화 기체 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼 예컨대 Oㆍ 또는 OHㆍ, 카르복실산, 포름산, 아세트산, 프로피온산, 및 이의 혼합물 중 하나일 수 있다. 바람직하게는, 산화 기체는 O2, O3 또는 H2O 로 이루어지는 군에서 선택된다.
반응물을 플라즈마에 의해 처리하여, 반응물을 이의 라디칼 형태로 분해시킬 수 있다. N2 는 또한, 플라즈마로 처리되는 경우 질소원 기체로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 400 W 범위의 전력으로 생성될 수 있다. 플라즈마는 반응기 자체 내에서 존재하거나 생성될 수 있다. 대안적으로, 플라즈마는 통상 예를 들어 원격 플라즈마 시스템에서, 반응기로부터 제거된 위치에 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
예를 들어 반응물은, 반응 챔버에서 플라즈마를 생성시키는 직접 플라즈마 반응기에 도입되어, 반응 챔버에서 플라즈마-처리된 반응물이 제조될 수 있다. 예시적인 직접 플라즈마 반응기는 Trion Technologies 사에 의해 제조된 Titan™ PECVD System 을 포함한다. 반응물은 플라즈마 프로세싱 전에 반응 챔버 내에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 프로세싱은 반응물 도입과 동시에 발생할 수 있다. 제자리 (In-situ) 플라즈마는 통상, 샤워헤드 (showerhead) 와 기판 홀더 사이에서 생성되는 13.56 MHz RF 유도 결합형 플라즈마이다. 기판 또는 샤워헤드는 양이온 효과가 발생하는지 여부에 따라 전력공급 전극일 수 있다. 제자리 플라즈마 발생기에서의 통상적 적용 전력은 대략 30 W 내지 대략 1000 W 이다. 바람직하게는, 대략 30 W 내지 대략 600 W 의 전력이 개시된 방법에서 사용된다. 보다 바람직하게는, 전력은 대략 100 W 내지 대략 500 W 범위이다. 제자리 플라즈마를 사용하는 반응물의 해리는 통상 동일한 전력 입력에 대해 원격 플라즈마 공급원을 사용하여 이루어진 것보다 적으며, 따라서 플라즈마에 의해 쉽게 손상된 기판 상의 V 족-함유 필름의 침적에 있어서 유리할 수 있는 원격 플라즈마 시스템으로서 반응물 해리에 효율적이지 않다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버 외부에서 생성될 수 있다. The MKS Instruments' ASTRONi® 반응성 기체 발생기를, 반응 챔버 내로 통과시키기 전에 반응물을 처리하는데 사용할 수 있다. 2.45 GHz, 7kW 플라즈마 전력, 및 대략 0.5 Torr 내지 대략 10 Torr 범위의 압력에서 작동시켜, 반응물 O2 를 2 개의 Oㆍ 라디칼로 분해할 수 있다. 바람직하게는, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 보다 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 생성될 수 있다.
챔버 내 증착 조건은 개시된 조성물 및 반응물이 반응하고 기판 상 V 족-함유 필름을 형성시킬 수 있는 것이다. 일부 구현예에서, 출원인은 반응물을 플라즈마-처리하는 것이, 개시된 전구체와 반응하는데 필요한 에너지를 갖는 반응물을 제공할 수 있다고 여기고 있다.
어떠한 유형의 필름이 침적될 필요가 있는지 여부에 따라, 추가적인 전구체 화합물이 반응기에 도입될 수 있다. 추가적인 전구체는 V 족-함유 필름에 추가 원소를 제공하는데 사용될 수 있다. 추가 원소는 란탄족 (이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 지르코늄, 게르마늄, 규소, 마그네슘, 티탄, 망간, 루테늄, 비스무트, 납, 마그네슘, 알루미늄, 또는 이들의 혼합물을 포함할 수 있다. 추가적인 전구체 화합물이 이용되는 경우, 기판 상에 침적된 생성 필름은 추가 원소와 조합으로 V 족 금속을 함유한다.
V 족-함유 필름 형성 조성물 및 반응물은 동시에 (화학적 증착), 순차적으로 (원자층 침적) 또는 이의 상이한 조합으로 반응기에 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 기체로 퍼징될 수 있다. 대안적으로, 반응물 및 조성물은 함께 혼합되어 반응물/조성물 혼합물을 형성한 후, 혼합물 형태로 반응기에 도입될 수 있다. 또 다른 예는 반응물을 연속적으로 도입하고 V 족-함유 필름 형성 조성물을 펄스에 의해 도입하는 것이다 (펄스화 화학적 증착 (pulsed chemical deposition)).
기화된 조성물 및 반응물은 반응기 내로 순차적으로 또는 동시에 펄스화될 수 있다 (예를 들어, 펄스화 CVD). 조성물의 각각의 펄스는 약 0.01 초 내지 약 10 초, 대안적으로는 약 0.3 초 내지 약 3 초, 대안적으로는 약 0.5 초 내지 약 2 초 범위의 기간 동안 지속될 수 있다. 또 다른 구현예에서, 반응물은 또한 반응기 내로 펄스화되어 흐를 수 있다. 이러한 구현예에서, 각각의 펄스는 약 0.01 초 내지 약 10 초, 대안적으로는 약 0.3 초 내지 약 3 초, 대안적으로는 약 0.5 초 내지 약 2 초 범위의 기간 동안 지속될 수 있다. 또 다른 대안예에서, 기화된 조성물 및 반응물은 여러 웨이퍼를 보유하는 서셉터 (susceptor) 가 그 아래에서 방사되는 샤워헤드로부터 동시에 분무될 수 있다 (공간 ALD).
특정 공정 매개변수에 따라, 침적이 다양한 기간 동안 일어날 수 있다. 일반적으로, 침적은 필요한 특성을 갖는 필름을 제조하는데 바람직하거나 필요한 것인 한, 지속될 수 있다. 통상적 필름 두께는, 특정한 침적 공정에 따라 수 옹스트롬 내지 수백 마이크론으로 가변적일 수 있다. 침적 공정은 또한 원하는 필름을 수득하는데 필요한 만큼 여러 번 수행될 수 있다.
한 비제한적인 예시적 CVD 공정에서, 개시된 V 족-함유 필름 형성 조성물의 증기상 및 반응물은 반응기에 동시에 도입된다. 상기 두 가지는 반응하여 결과의 V 족-함유 필름을 형성한다. 이러한 예시적 CVD 공정에서의 반응물이 플라즈마로 처리되는 경우, 예시적 CVD 공정은 예시적 PECVD 공정이 된다. 반응물은 챔버 내로 도입되기 전, 또는 도입된 후에 플라즈마로 처리될 수 있다.
한 비제한적인 예시적 ALD 공정에서, 개시된 V 족-함유 필름 형성 조성물의 증기상은 반응기 내로 도입되며, 여기서 이는 적합한 기판과 접촉된다. 그런 다음, 과량의 조성물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거될 수 있다. 반응물 (예를 들어 NH3) 은 반응기 내로 도입되어, 여기서 자기-제어 방식으로 흡수 조성물과 반응한다. 임의의 과량 반응물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 원하는 필름이 V 족 질화물인 경우, 이러한 2-단계 공정으로 원하는 필름 두께가 제공될 수 있거나, 필요한 두께를 갖는 필름이 수득될 때까지 반복될 수 있다.
대안적으로, 원하는 필름이 V 족 전이 금속 및 제 2 원소를 함유하는 경우, 상기 2-단계 공정 이후 추가적인 전구체 화합물의 증기가 반응기에 도입될 수 있다. 추가적인 전구체 화합물은 V 족-함유 필름이 침적된다는 성질을 기반으로 하여 선택될 것이다. 반응기에 도입 후, 추가적인 전구체 화합물은 기판과 접촉된다. 임의의 과량 전구체 화합물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 또 다시, 반응물이 반응기에 도입되어 전구체 화합물과 반응할 수 있다. 과량의 반응물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 원하는 필름 두께가 얻어지는 경우, 공정이 종료될 수 있다. 그러나 더 두꺼운 필름이 필요한 경우, 전체 4-단계 공정이 반복될 수 있다. V 족-함유 필름 형성 조성물, 추가적인 전구체 화합물 및 반응물을 교대로 제공함으로써, 원하는 조성 및 두께의 필름이 침적될 수 있다.
이러한 예시적 ALD 공정에서 반응물이 플라즈마로 처리되는 경우, 예시적 ALD 공정은 예시적 PEALD 공정이 된다. 반응물은 챔버 내에 도입되기 전, 또는 도입된 후에 플라즈마로 처리될 수 있다.
제 2 의 비제한적인 예시적 ALD 공정에서, 예를 들어 니오븀 (t부틸 이미도) 트리스(3,5-디이소프로필피라졸릴) (Nb(=NtBu)(iPr,H,iPr-Pyr)3) 을 포함하는 니오븀-함유 필름 형성 조성물 중 하나의 증기상이 반응기에 도입되며, 여기서 이는 Si 기판과 접촉된다. 과량의 조성물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거될 수 있다. 반응물 (예를 들어 NH3) 이 반응기에 도입되며, 여기서 이는 자기-제한적 방식으로 흡수 조성물과 반응하여 니오븀 니트라이드 필름을 형성한다. 과량의 NH3 기체는 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 이러한 2 단계는 니오븀 니트라이드 필름이 통상 10 옹스트롬에 가까운 원하는 두께를 얻을 때까지 반복될 수 있다. ZrO2 는 NbN 필름 상에 침적될 수 있다. 예를 들어, ZrCp(NMe2)3 은 Zr 전구체로서 역할할 수 있다. Nb(=NtBu)(iPr,H,iPr-Pyr)3 및 NH3 을 사용하는 상기 기재한 두 번째 비제한적인 예시적 ALD 공정은 ZrO2 층에 대해 반복될 수 있다. 생성된 NbN/ZrO2/NbN 스택은 DRAM 커패시터에서 사용될 수 있다.
상기 기재한 공정으로 발생한 V 족-함유 필름은 M, MkSil, MnOm, MoNp, 또는 MoNpOq 를 포함할 수 있으며, 이때 M 은 V, Nb 또는 Ta 이고 k, l, m, n, o, p 및 q 는 각각 독립적으로 1 내지 6 범위일 수 있다. 예시적인 필름은 NbO2, Nb2O5, NbN 및 NbON 을 포함한다. 당업자는 적절한 V 족-함유 필름 형성 조성물 및 반응물을 법적으로 선택함으로써, 원하는 필름 조성물을 수득할 수 있다는 것을 인지할 것이다.
원하는 필름 두께 수득시, 필름은 고온 어닐링 (thermal annealing), 퍼니스-어닐링 (furnace-annealing), 급속 고온 어닐링 (rapid thermal annealing), UV 또는 e-빔 경화 및/또는 플라즈마 기체 노출과 같은 추가 처리를 거칠 수 있다. 당업자는 이러한 추가 처리 단계를 수행하는데 이용되는 시스템 및 방법을 인지하고 있다. 예를 들어, NbN 필름은 불활성 분위기, N-함유 분위기, 또는 이의 조합 하에, 대략 0.1 초 내지 대략 7200 초 범위의 시간 동안 대략 200 ℃ 내지 대략 1000 ℃ 범위의 온도에 노출될 수 있다. 불활성 분위기 또는 N-함유 분위기 하, 3600 초 동안 400℃ 의 온도가 가장 바람직하다. 생성된 필름은 보다 적은 불순물을 함유할 수 있으며, 따라서 향상된 밀도를 가짐으로써 향상된 누설 전류를 초래할 수 있다. 어닐링 단계는 침적 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 별개의 장치에서 수행되는 어닐링/플래시 어닐링 공정으로 반응 챔버로부터 제거될 수 있다. 상기 후-처리 방법 중 임의의 것 (특히 고온 어닐링) 은 NbN 필름의 탄소 오염을 감소시키는데 효과적인 것으로 발견되었다. 이는 결국 필름의 저항률을 개선시키는 경향이 있다.
어닐링 후, 개시된 임의의 공정에 의해 침적된 Nb-함유 필름은 대략 50 μohm.cm 내지 대략 1,000 μohm.cm 의 실온에서의 벌크 저항률을 가질 수 있다. 실온은 계절에 따라 대략 20℃ 내지 대략 28℃ 이다. 벌크 저항률은 또한 체적 저항률로도 알려져 있다. 당업자는 벌크 저항률이 통상 대략 50 nm 두께인 NbN 필름에 대해 실온에서 측정된다는 것을 인지할 것이다. 전자 전달 메커니즘의 변화로 인해, 벌크 저항률은 통상 더 얇은 필름에 대해 증가한다. 벌크 저항률은 또한 고온에서 증가한다.
또 다른 대안예에서, 개시된 조성물은 도핑 또는 주입제 (implantation agent) 로서 사용될 수 있다. 개시된 조성물의 일부는, 산화인듐 (In2O3) 필름, 이산화탄탈 (TaO2), 이산화니오븀 (NbO2) 필름, 이산화바나듐 (VO2) 필름, 산화티탄 필름, 산화구리 필름 또는 이산화주석 (SnO2) 필름과 같은 도핑되는 필름의 상부에 침적될 수 있다. V 족 금속은 어닐링 단계 동안 필름에 확산되어, V 족-도핑된 필름 {(Nb)In2O3, (Nb)VO2, (Nb)TiO, (Nb)CuO, (Nb)SnO2} 을 형성한다. 예를 들어, 그의 도핑 방법의 전체가 본원에 참조로 포함되는 US2008/0241575 (Lavoie et al.) 를 참조한다.
대안적으로는, 가변적 에너지 무선 주파수 사중극자 주입기를 사용하는 고에너지 이온 주입을, 개시된 조성물의 V 족 원소를 필름에 도핑하는데 사용할 수 있다. 예를 들어, 그의 주입법의 전체가 본원에 참조로 포함되는 [Kensuke et al., JVSTA 16(2) Mar/Apr 1998] 을 참조한다. 또 다른 대안예에서, 플라즈마 도핑, 펄스화 플라즈마 도핑 또는 플라즈마 함침 (plasma immersion) 이온 주입은 개시된 조성물을 사용하여 수행될 수 있다. 예를 들어, 그의 도핑법의 전체가 본원에 참조로 포함되는 [Felch et al., Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236] 을 참조한다.
실시예
하기의 비제한적 실시예를 제공하여 본 발명의 구현예를 더 설명한다. 그러나, 실시예가 본원에 기재된 본 발명의 범주를 제한하는 것으로 의도되지는 않는다.
실시예: 니오븀 t부틸 이미도 N, N'-디이소프로필아미디네이트의 합성
-78℃ 에서 Nb(=NtBu)Cl3(py)2 (3 g, 6.99 mmol) 의 30 mL 의 THF 중 용액에, N,N'-디이소프로필카르보디이미드 (2.66 g, 21.1 mmol) 및 메틸리튬 (14 mL, 에테르 중 1.6 M) 의 반응으로부터 새롭게 제조한 리튬 N, N'-디이소프로필아미디네이트 용액을 적가했다. 실온에서 하룻밤 교반한 후, 용매를 진공 하 제거하고, 생성물을 펜탄으로 추출해 황색의 고체를 얻었다. 이어서, 물질을 40 mTorr 에서 170℃ 까지 승화에 의해 정제하여 3 g (73%) 의 황색 고체를 수득했다. NMR 1H 스펙트럼은 도 3 에 제공한다. NMR1H (δ, ppm, C6D6): 3.52 (m, 6H), 1.54 (s, 9H), 1.48 (s, 9H), 1.28 (dd, 36H).
고체는 200 mL/분에서 질소가 흐르는 분위기 하 10 ℃/분의 온도 상승률로 측정한 오픈-컵 (Open-Cup) TGA 분석 동안 6% 잔류 질량을 남겼다. 이러한 결과를 도 4 에 나타내며, 이는 온도 상승시 중량 손실 백분율을 설명하는 TGA 그래프이다.
첨부된 청구범위에 표현된 바와 같은 본 발명의 원칙과 범주 내에서, 본 발명의 본질을 설명하기 위해 본원에서 기재 및 설명한 부분의 세부사항, 물질, 단계 및 배치에 있어서 많은 추가적 변화가 당업자에 의해 생성될 수 있다는 것이 이해될 것이다. 따라서, 본 발명은 상기 주어진 실시예에서의 특정 구현예 및/또는 첨부된 도면에 제한되는 것으로 의도되지 않는다.

Claims (14)

  1. 하기 화학식을 갖는 전구체를 포함하는 V 족-함유 필름 형성 조성물:
    Figure pat00011

    [식 중, M 은 V, Nb, 또는 Ta 이고, 각 R, R1, R2 및 R3 은 독립적으로 H, 알킬기, 또는 R'3Si 이고, 이때 각각의 R' 는 독립적으로 H 또는 알킬기임].
  2. 제 1 항에 있어서, M 은 V 인 V 족-함유 필름 형성 조성물.
  3. 제 1 항에 있어서, M 은 Nb 인 V 족-함유 필름 형성 조성물.
  4. 제 1 항에 있어서, M 은 Ta 인 V 족-함유 필름 형성 조성물.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, R 은 iPr 이고 각각의 R2 은 H 또는 Me 인 V 족-함유 필름 형성 조성물.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, R 은 tBu 이고 각각의 R2 은 H 또는 Me 인 V 족-함유 필름 형성 조성물.
  7. 제 6 항에 있어서, 각 R1 및 R3 은 iPr 인 V 족-함유 필름 형성 조성물.
  8. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, R 은 tAmyl 이고 각 R2 은 H 또는 Me 인 V 족-함유 필름 형성 조성물.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, R 은 tBu 이고, R1 및 R3 은 iPr 인 V 족-함유 필름 형성 조성물.
  10. 내부에 기판을 갖는 반응기에 제 1 항 내지 제 9 항 중 어느 한 항의 V 족-함유 필름 형성 조성물의 증기를 도입하고; 기판에 전구체의 적어도 일부를 침적시키는 것을 포함하는, V 족-함유 필름 형성 방법.
  11. 제 10 항에 있어서, 반응물을 반응기에 도입하는 것을 추가로 포함하는 방법.
  12. 제 10 항에 있어서, 기판이 유전체 층인 방법.
  13. 제 12 항에 있어서, 기판이 ZrO2 이고, V 족-함유 필름 형성 조성물이 니오븀-함유 필름 형성 조성물이고, DRAM 커패시터를 형성시키는, 방법.
  14. 제 11 항에 있어서, 전구체는 Nb(=NtBu)(NiPr Me-amd)3 또는 Nb(=NtAmyl)(NiPr Me-amd)3 이고, 반응물은 NH3 또는 O3 인 방법.
KR1020160046482A 2015-04-16 2016-04-15 V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착 KR20160124025A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562148261P 2015-04-16 2015-04-16
US62/148,261 2015-04-16

Publications (1)

Publication Number Publication Date
KR20160124025A true KR20160124025A (ko) 2016-10-26

Family

ID=57129269

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160046482A KR20160124025A (ko) 2015-04-16 2016-04-15 V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착

Country Status (2)

Country Link
US (3) US9691770B2 (ko)
KR (1) KR20160124025A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200008048A (ko) * 2017-06-28 2020-01-22 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
KR20210056846A (ko) * 2019-11-11 2021-05-20 주식회사 이지티엠 니오븀 질화물 박막의 형성 방법
KR20230048755A (ko) 2021-10-05 2023-04-12 주식회사 한솔케미칼 5족 금속 화합물, 이를 포함하는 증착용 전구체 조성물 및 이를 이용하여 박막을 형성하는 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
TW202140831A (zh) * 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
DE102006037955A1 (de) * 2006-08-12 2008-02-14 H.C. Starck Gmbh Tantal- und Niob-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200008048A (ko) * 2017-06-28 2020-01-22 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
KR20210056846A (ko) * 2019-11-11 2021-05-20 주식회사 이지티엠 니오븀 질화물 박막의 형성 방법
KR20230048755A (ko) 2021-10-05 2023-04-12 주식회사 한솔케미칼 5족 금속 화합물, 이를 포함하는 증착용 전구체 조성물 및 이를 이용하여 박막을 형성하는 방법

Also Published As

Publication number Publication date
US20160307903A1 (en) 2016-10-20
US20160307902A1 (en) 2016-10-20
US9786671B2 (en) 2017-10-10
US9748249B2 (en) 2017-08-29
US9691770B2 (en) 2017-06-27
US20160304542A1 (en) 2016-10-20

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US11549182B2 (en) Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films
KR102371411B1 (ko) 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
CN110073474B (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR20160085854A (ko) 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
KR102492017B1 (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
US9786671B2 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US20160083405A1 (en) Tantalum- or vanadium-containing film forming compositions and vapor deposition of tantalum- or vanadium-containing films
KR102459746B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
TWI756959B (zh) 膜或塗層之方法
KR20200087212A (ko) 티타늄-함유 필름의 기상 증착을 위한 티타늄-함유 필름 형성 조성물
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR102398823B1 (ko) 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물