KR102459746B1 - 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착 - Google Patents

지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착 Download PDF

Info

Publication number
KR102459746B1
KR102459746B1 KR1020197020782A KR20197020782A KR102459746B1 KR 102459746 B1 KR102459746 B1 KR 102459746B1 KR 1020197020782 A KR1020197020782 A KR 1020197020782A KR 20197020782 A KR20197020782 A KR 20197020782A KR 102459746 B1 KR102459746 B1 KR 102459746B1
Authority
KR
South Korea
Prior art keywords
cme
nme
net
buo
group
Prior art date
Application number
KR1020197020782A
Other languages
English (en)
Other versions
KR20190093220A (ko
Inventor
줄리엔 가티뉴
대현 김
원태 노
사토코 자티뉴
진-마크 지라드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20190093220A publication Critical patent/KR20190093220A/ko
Application granted granted Critical
Publication of KR102459746B1 publication Critical patent/KR102459746B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62218Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic films, e.g. by using temporary supports
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/48Organic compounds becoming part of a ceramic after heat treatment, e.g. carbonising phenol resins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

4족 전이금속 함유막 형성 조성물은 화학식 L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및 L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-을 갖는 4족 전이금속 전구체를 포함하며, 식 중, M은 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아마디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 C1-C4 탄화수소기이며; 및 각각의 L'은 독립적으로 NR" 또는 0이며, R"은 H 또는 C1-C4 탄화수소기이다. 또한, 개시된 전구체를 합성하고 사용하여 기상 증착 공정을 통해 하나 이상의 기판 상에 4족 전이금속 함유막을 증착시키는 방법이 개시된다.

Description

지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
관련 출원의 상호 참조
본 출원은 2016년 12월 30일자로 출원된 미국 특허 출원 번호 제15/396,183호의 이익을 주장하며, 모든 목적을 위해 그 전체가 본원에 참조로 포함된다.
기술분야
하기 화학식 L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및 L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-을 갖는 4족 전이금속 전구체를 포함하는 4족 전이금속 함유막 형성 조성물로서, 식 중 M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아미디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 C1-C4 탄화수소기이고; 및 인접한 R'은 결합하여 히드로카빌 고리를 형성할 수 있고; 및 각각의 L'은 독립적으로 NR" 또는 O이며, R"은 H 또는 C1-C4 탄화수소기인 조성물이 개시된다. 또한, 개시된 전구체를 합성하고 사용하여 기상 증착 공정을 통해 하나 이상의 기판 상에 4족 전이금속 함유막을 증착시키는 방법이 개시된다.
반도체 장치의 소형화에 따라, 높은 유전상수를 가진 새로운 재료가 요구된다. 화학 기상 증착(CVD) 및 원자층 증착(ALD)은 CVD 및 ALD가 미세하게 정의된(finely defined) 두께 및 높은 스텝 커버리지(step coverage)를 갖는 상이한 막들(금속, 산화물, 질화물 등)을 제공할 수 있기 때문에 그러한 박막에 대한 주요 증착 기법이 되었다. CVD 및 ALD에서, 전구체 분자는 높은 등각성(conformality) 및 낮은 불순물을 갖는 고품질의 막을 얻는 데 중요한 역할을 한다.
고유전 물질(high-k dielectrics) 재료 중, TiO2, HfO2 또는 ZrO2와 같은 4족 기반 재료는 순수 또는 혼합 산화물 또는 라미네이트로 사용되는지 여부에 관계없이 매우 유망하다. 또한, TiN과 같은 4족 금속 함유막은 전극 및/또는 Cu 확산 장벽 응용 분야에 사용될 수 있다. 4족 산화물은 또한 하드 마스크 또는 스페이서-기반 다중 패터닝 응용 분야와 같은 리소그래피(lithography) 응용 분야에서 내에칭성을 위해 사용될 수 있다.
시클로펜타디에닐 (Cp) 가교된 4족 금속 화합물은 4족 금속 함유막의 CVD 및/또는 ALD에 대한 전구체로서 사용되어 왔다. 예를 들어, Ahn 등에 허여된 미국 특허 제8,946,096호는 하기 화학식을 갖는, CVD 또는 ALD에 활용되는 4족 금속유기 화합물을 개시한다:
Figure 112019080678362-pct00024

식 중, M은 Ti, Zr 또는 Hf이고, R1은 C1 내지 C4 알킬이며, R2 및 R3은 독립적으로 C1 내지 C6 알킬이다. Cho 등에 허여된 미국 특허 제2015/0255276호는 CVD 및 ALD 공정에서 증착원으로 사용된, M이 Ti, Zr 또는 Hf인 Xn(M)(R1)m(R2)k의 화학식으로 표시된 유기금속 전구체를 개시한다. X는 M의 리간드이며, 6,6-디메틸풀베닐, 인데닐, 시클로펜타디에닐 및 아미노기로 치환된 시클로펜타디에닐 중 하나이다. R1 및 R2은 M의 리간드이며, 각각 독립적으로 아미노기 또는 에틸렌디아미노기이다. 각각의 n, m 및 k는 양의 정수이며, n+m+k=3 또는 4이다. Castle 등에 허여된 한국 특허 출원 제10-2014-0078534호는 금속 전구체와 하기 구조식을 갖는 4족 착물을 포함하는 금속 전구체로 제조된 금속 함유 박막을 개시하고 있다:
Figure 112019080678362-pct00025

식 중, M은 Zr, Hf 및 Ti로 이루어지는 군으로부터 선택되고, Xa 및 Xb는 각각 독립적으로 NRaRb 또는 ORc이고, Xc는 (NRd) 또는 O이고, Ra 내지 Rd는 각각 독립적으로 수소 원자 또는 C1 내지 C5 알킬기이고, R은 각각 독립적으로 수소 원자 또는 C1 내지 C5 알킬기이며, m은 0 내지 4의 정수이다. Kang 등은 (CpN)Ti(NMe2)2 및 산소 원격 플라즈마를 사용하여 TiO2 박막을 형성하는 것을 개시하고 있다(Kang 등, "(CpN)Ti(NMe2)2 및 산소 원격 플라즈마를 사용한 TiO2 박막의 성장 거동 및 구조 특성", Phys. Status Solidi A, 2014, 212, No. 3, p674-679).
일부 Cp 가교된 4족 금속 화합물은 촉매 또는 다른 목적을 위해 합성되고 사용된다. 예를 들어, J Okuda는 Ti(R-Cp-SiMe2-NR-)(NR2)2와 같은 연결된 아미도-시클로펜타디에닐 리간드를 갖는 금속 유기 촉매를 개시하고 있다(J Okuda, "3족 및 4족 금속의 연결된 아미도-시클로펜타디에닐 착물: 제1 "후(Post)-메탈로센"", Metalorganic Catalysts for Synthesis and Polymerization, pp 200-211, 1999). Herrmann 등은 잠재적 촉매로서 제조된 Cp(CH2CH2-O-)Zr(NMe2)2를 개시하고 있다(Hermann 등, "이중 가교된 vac-지르코늄 및 하프늄의 메탈로센", Angewandte. Chem. Int. Ed. Eng, 1994, 33(19), p1946-1949). Kim 등은 (Me4Cp-CH2-NtBu)Zr(NEt2)2 및 (1,3-Me2C5H2CHPhNtBukN)Zr(NMe2)2의 합성을 개시하고 있다(Kim 등, "에틸렌 중합을 향한 sp3 -C1-가교된 1,3-Me2Cp/아미도 티타늄 및 지르코늄 착물 및 이의 반응성", Eur. J. Inorg. Chem. 2004, p1522-1529). Jesus Cano 및 Klaus Kunz는 일부 P, C, Si 함유된 Cp-amino 가교된 화합물의 합성을 개시하고 있다(Jesus Cano, Klaus Kunz, "속박 기하 촉매(constrained geometry catalyst (CGC))의 합성법 - 개론", Journal of Organometallic Chemistry 692, 2007, p4411-4423). 탄소-가교된 시클로펜타디에닐 아미도 4족 금속 착물의 합성은 1999년에 Piet-Jan Sinnema의 박사 학위 논문에서 보고되었다(Piet-Jan Sinnema, "탄소-가교된 시클로펜타디에닐 아미도 4족 금속 착물", 흐로닝언(Groningen) 대학교, 1999).
따라서, 당업자는 고온에서 제어된 두께 및 조성으로 기상 박막 증착에 적합한 고도로 열적 안정성이 있는 4족 화합물을 계속 찾고 있다.
각각 하기 구조식을 나타내는 하기 화학식:
L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및
L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-
을 갖는 4족 전이금속 전구체를 포함하는 4족 전이금속 함유막 형성 조성물이 개시된다:
Figure 112019080678362-pct00026
Figure 112019080678362-pct00027

식 중, M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아미디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 C1-C4 탄화수소기이며; 및 인접한 R"은 결합하여 히드로카빌 고리를 형성할 수 있고; 및 각각의 L'은 독립적으로 NR" 또는 O이며, R"은 H 또는 C1-C4 탄화수소기이다.
개시된 4족 전이금속 함유막 형성 조성물은 다음의 양태 중 하나 이상을 추가로 포함할 수 있다.
· M은 Ti임;
· M은 Zr임;
· M은 Hf임;
· 각각의 R은 독립적으로 C, Si, B 및 P임;
· E는 C임;
· E는 Si임;
· E는 B임;
· E는 P임;
· m는 0, 1, 2임;
· n은 0, 1, 2임;
· m+n은 >1임;
· m은 0임;
· m은 1임;
· m은 2임;
· n은 0임;
· n은 1임;
· n은 2임;
· m은 1이고 n은 1임;
· m은 2이고 n은 1임;
· o는 0, 1, 2임;
· p는 0, 1, 2임;
· o+p는 >1임;
· o는 0임;
· o는 1임;
· o는 2임;
· p는 0임;
· p는 1임;
· p는 2임;
· o는 1이고 p는 1임;
· o는 2이고 p는 1임;
· 각각의 R은 독립적으로 H, Me, Et, n Pr, i Pr, n Bu, s Bu, i Bu, 또는 t Bu임;
· R은 H임;
· R은 Me임;
· R은 Et임;
· R은 n Pr임;
· R은 i Pr임;
· R은 n Bu임;
· R은 i Bu임;
· R은 s Bu임;
· R은 t Bu임;
· L은 NH2임;
· L은 NMe2임;
· L은 NEt2
· L은 N n Pr2임;
· L은 N i Pr2임;
· L은 N n Bu2임;
· L은 N i Bu2임;
· L은 N s Bu2임;
· L은 N t Bu2임;
· L은 NHMe임;
· L은 NHEt임;
· L은 NH n Pr임;
· L은 NH i Pr임;
· L은 NH n Bu임;
· L은 NH i Bu임;
· L은 NH s Bu임;
· L은 NH t Bu임;
· L은 NMeEt임;
· L은 NMe n Pr임;
· L은 NMe i Pr;
· L은 NMe n Bu임;
· L은 NMe i Bu임;
· L은 NMe s Bu임;
· L은 NMe t Bu임;
· L은 NEt n Pr임;
· L은 NEt i Pr임;
· L은 NEt n Bu임;
· L은 NEt i Bu임;
· L은 NEt s Bu임;
· L은 NEt t Bu임;
· L은 N n Pr i Pr임;
· L은 N n Pr n Bu임;
· L은 N n Pr i Bu임;
· L은 N n Pr s Bu임;
· L은 N n Pr t Bu임;
· L은 N i Pr n Bu임;
· L은 N i Pr i Bu임;
· L은 N i Pr s Bu임;
· L은 N i Pr t Bu임;
· L은 N n Bu i Bu임;
· L은 N n Bu s Bu임;
· L은 N n Bu t Bu임;
· L은 N i Bu s Bu임;
· L은 N i Bu t Bu임;
· L은 N s Bu t Bu임;
· L은 OH임;
· L은 OMe임;
· L은 OEt임;
· L은 O n Pr임;
· L은 O i Pr임;
· L은 O n Bu임;
· L은 O i Bu임;
· L은 O s Bu임;
· L은 O t Bu임;
· L은 Cp임;
· L은 아미디네이트임;
· L은 β-디케토네이트임;
· L은 케토-이미네이트임;
· L'은 NH임;
· L'은 NMe임;
· L'은 NEt임;
· L'은 NH n Pr임;
· L'은 N i Pr임;
· L'은 N n Bu임;
· L'은 N i Bu2임;
· L'은 N i Bu임;
· L'은 N t Bu임;
· L'은 O임;
· -(ER2)m-(ER2)n 및 -(ER2)o-(ER2)p 기는 각각 Cp 기의 1-탄소 및 2-탄소에 결합됨;
· -(ER2)m-(ER2)n 및 -(ER2)o-(ER2)p 기는 각각 Cp 기의 1-탄소 및 3-탄소에 결합됨;
· Cp 기는 메틸 치환된 Cp 기임;
· Cp 기는 에틸 치환된 Cp 기임;
· Cp 기는 이소프로필 치환된 Cp 기임;
· Cp 기는 tert-부틸기 치환된 Cp 기임;
· 4족 전이금속 전구체는 (Me2N)-Zr-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-임;
· 4족 전이금속 전구체는 (Me2N)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-임;
· 4족 전이금속 전구체는 (Me2N)-Hf-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-임; 및
· 4족 전이금속 전구체는 (Me2N)-Hf-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-임;
· 4족 전이금속 함유막 형성 조성물은 약 0.1 몰% 내지 약 50 몰%의 4족 전이금속 전구체를 포함함;
· 4족 전이금속 함유막 형성 조성물은 약 1 내지 약 20 cps, 바람직하게는 약 1 내지 약 5 cps, 바람직하게는 약 3 cps 부근의 점도를 가짐;
· 4족 전이금속 함유막 형성 조성물은 약 95 중량% 내지 약 100 중량%의 4족 전이금속 전구체를 포함함;
· 4족 전이금속 함유막 형성 조성물은 약 99 중량% 내지 약 100 중량%의 4족 전이금속 전구체를 포함함;
· 4족 전이금속 함유막 형성 조성물은 용매를 추가로 포함함;
· 4족 전이금속 함유막 형성 조성물은 약 0 중량% 내지 5 중량%의 탄화수소 용매를 포함함;
·용매는 포화 또는 불포화 C1-C16 탄화수소, 케톤, 에테르, 글림, 에스테르, 테트라히드로푸란(THF), 디메틸옥살레이트(DMO), 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 용매는 C1-C16 탄화수소임;
· 용매는 테트라히드로푸란(THF)임;
· 용매는 DMO임;
· 용매는 에테르임;
· 용매는 글림임; 및
· 4족 전이금속 전구체와 용매의 비등점 차이는 100℃ 미만임.
또한, 유입 도관 및 배출 도관을 가지며 앞서 개시된 임의의 4족 전이금속 함유막 형성 조성물을 함유하는 캐니스터를 포함하는 4족 전이금속 함유막 형성 조성물 전달 장치가 개시된다. 개시된 전달 장치는 다음 양태 중 하나 이상을 포함할 수 있다:
· 4족 전이금속 함유막 형성 조성물은 4족이 아닌 금속 오염물의 총 농도가 10 ppmw 미만임;
· 유입 도관의 단부가 4족 전이금속 함유막 형성 조성물의 표면 위에 위치하고, 배출 도관의 단부가 4족 전이금속 함유막 형성 조성물의 표면 위에 위치함;
· 유입 도관 말단의 단부가 4족 전이금속 함유막 형성 조성물의 표면 위에 위치하고, 배출 도관의 단부가 4족 전이금속 함유막 형성 조성물의 표면 아래에 위치함;
· 유입 도관 말단의 단부가 4족 전이금속 함유막 형성 조성물의 표면 아래에 위치하고, 배출 도관의 단부가 4족 전이금속 함유막 형성 조성물의 표면 위에 위치함.
또한, 하나 이상의 기판 상에 4족 전이금속 함유막을 증착시키는 방법이 개시된다. 앞서 개시된 적어도 하나의 4족 전이금속 함유막 형성 조성물은 내부에 적어도 하나의 기판이 배치된 반응기에 도입된다. 4족 전이금속 전구체의 적어도 일부는 기판(들) 상에 증착되어 4족 전이금속 함유막을 형성한다. 개시된 공정은 다음 양태 중 하나 이상을 더 포함할 수 있다:
· 적어도 하나의 반응물을 반응기에 도입함;
· 반응물은 플라즈마 처리됨;
· 반응물은 원격 플라즈마 처리됨;
· 반응물은 플라즈마 처리되지 않음;
· 반응물은 H2, NH3, 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, 피롤리딘 또는 피리미딘과 같은 환형 아민), 디아민(예를 들어, 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민), 아미노알코올(예를 들어, 에탄올아민[HO-CH2-CH2-NH2], 비스에탄올아민[HN(C2H5OH)2] 또는 트리스에탄올아민[N(C2H5OH)3]), 피라졸린, 및 피리딘으로 이루어진 군으로부터 선택됨;
· 반응물은 (SiH3)3N, 히드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 및 아미노실란(예를 들어, 트리스-디메틸아미노실란, 비스-디에틸아미노실란, 디-이소프로필아미노실란 및 다른 모노, 디스 또는 트리스 아미노실란)으로 이루어진 군으로부터 선택됨;
· 반응물은 NH3, N(SiH3)3, 아미노실란, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 반응물은 트리알킬알루미늄, 디알킬알루미늄 할라이드, 알루미늄의 알킬아미노 및 알콕시 유도체, 및 이들의 혼합물로부터 선택됨;
· 반응물은 NH3임;
· 반응물은 하기로 이루어진 군으로부터 선택됨: O2, O3, H2O, H2O2, NO, N2O, NO2, 알코올, 디올(예를 들어, 에틸렌 글리콜), 이들의 산소 라디칼 및 이들의 혼합물;
· 반응물은 H2O임;
· 반응물은 O2임;
· 반응물은 플라즈마 처리된 O2임;
· 반응물은 O3임;
· 4족 전이금속 함유막 형성 조성물 및 반응물이 동시에 반응기에 도입됨;
· 반응기는 화학 기상 증착을 위해 구성됨;
· 반응기는 플라즈마 강화 화학 기상 증착을 위해 구성됨;
· 4족 전이금속 함유막 형성 조성물 및 반응물이 순차적으로 챔버에 도입됨;
· 반응기는 원자층 증착을 위해 구성됨;
· 반응기는 플라즈마 강화 원자층 증착을 위해 구성됨;
· 반응기는 공간 분할형 원자층 증착을 위해 구성됨;
· 4족 전이금속 함유막은 4족 전이금속 산화물(MnOm)임(M은 4족 전이금속이고 n과 m은 각각 1 이상 6 이하 범위의 정수임);
· 4족 전이금속 함유막은 TiO2, ZrO2 또는 HfO2임;
· 4족 전이금속 함유막은 MM'iOx임(i는 0 내지 1의 범위이고; x는 1 내지 6의 범위이고; 및 M'은 3족 원소, 상이한 4족 원소(즉, M≠M'), 5족 원소, 란탄족, Si, Al, B, P 또는 Ge로부터 선택됨); 및
· 4족 전이금속 함유막은 MM'iNyOx임(i는 0 내지 1의 범위이고; x 및 y는 1 내지 6의 범위이고; M'은 3족 원소, 상이한 4족 원소(즉, M≠M'), 5족 원소, 란탄족, Si, Al, B, P 또는 Ge로부터 선택됨).
표기법 및 명칭
특정 약어, 기호, 및 용어는 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다:
개시된 구현예에서 사용되는 부정관사 "하나" 또는 "하나의"는 하나 이상을 의미한다.
개시된 구현예에서 사용되는 본문에서 또는 청구항에서의 "약" 또는 "부근" 또는 "대략적으로"는 명시된 값의 ±10%를 의미한다.
개시된 구현예에서 사용되는 "독립적으로"라는 용어가 R기를 설명하는 문맥에서 사용되는 경우, 이는 대상 R기가 동일하거나 상이한 아래 첨자 또는 위 첨자를 갖는 다른 R기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R기의 임의의 추가 종에 대해 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, x가 2 또는 3인 화학식 MR1 x (NR2R3)(4-x)에서, 2개 또는 3개의 R1기는 서로 동일하거나 R2 또는 R3와 동일할 수 있지만 그럴 필요는 없다. 또한, 특별히 명시하지 않는 한, 상이한 화학식에서 사용되는 경우 R기의 값은 서로 독립적인 것으로 이해될 것이다.
개시된 구현예에서 사용되는 용어 "히드로카빌기"는 탄소 및 수소를 함유하는 작용기를 의미하고; 용어 "알킬기"는 탄소 및 수소 원자만을 함유하는 포화된 작용기를 의미한다. 히드로카빌기는 포화되거나 포화되지 않을 수 있다. 두 용어 모두 선형, 분지형, 또는 환형 기를 의미한다. 선형 알킬기의 예는 메틸기, 에틸기, 프로필기, 부틸기 등을 제한 없이 포함한다.분지형 알킬기의 예는 t-부틸을 제한 없이 포함한다. 환형 알킬기의 예는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 제한 없이 포함한다.
개시된 구현예에서 사용된 약어 "Me"는 메틸기를 의미하고; 약어 "Et"는 에틸기를 의미하고; 약어 "Pr"는 프로필기를 의미하고; 약어 " n Pr"는 "정상" 또는 선형 프로필기를 의미하고; 약어 " i Pr"은 이소프로필기를 의미하고; 약어 "Bu"는 부틸기를 의미하고; 약어 " n Bu"는 "정상" 또는 선형 부틸기를 의미하고; 약어 " t Bu"는 1,1-디메틸에틸로도 알려진 tert-부틸기를 의미하고; 약어 " s Bu"는 1-메틸프로필로도 알려진 sec-부틸기를 의미하고; 약어 " i Bu"는 2-메틸프로필로도 알려진 iso-부틸기를 의미하고; 약어 "Cp"는 시클로펜타디에닐을 의미하며; 약어 "Cp*"는 펜타메틸시클로펜타디에닐을 의미한다.
개시된 구현예에서 사용된 화학식 L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및 L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-는 각각 하기 구조식을 갖는 화합물을 의미한다:
Figure 112019080678362-pct00028
Figure 112019080678362-pct00029

식 중, M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아미디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 C1-C4 탄화수소기이며; 및 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 및 각각의 L'은 독립적으로 NR" 또는 O이며, R"은 H 또는 C1-C4 탄화수소기이다. 여기서, h 5는 M 원자에 결합된 방향족 고리기의 5개 인접 원자들을 나타내는 상기 화합물의 촉각성(hapticity)이다.
개시된 구현예에서 사용된 화학식 (Me2N)-M-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)- 및 (Me2N)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-는 각각 하기 구조식을 갖는 화합물을 의미한다:
Figure 112019080678362-pct00030
Figure 112019080678362-pct00031

식 중, M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이며; Cp 기에 결합된 Cs-는 Si, B 또는 P로 교체될 수 있다.
원소의 주기율표에 있는 원소의 표준 약어가 개시된 구현예에서 사용된다. 이들 약어는 원소를 의미할 수 있다는 것이 이해되어야 한다(예를 들어, Mn은 망간을 의미하고, Si는 규소를 의미하고, C는 탄소를 의미한다, 등). 또한, 3족은 주기율표의 3족(즉, Sc, Y, La, 또는 Ac)을 의미한다. 마찬가지로, 4족은 주기율표의 4족(즉, Ti, Zr, 또는 Hf)을 의미하고, 5족은 주기율표의 5족(즉, V, Nb, 또는 Ta)을 의미한다.
개시된 구현예에서 임의 및 모든 범위는 "포괄적으로(inclusively)"라는 용어의 사용 여부와 상관없이 그의 종점을 포함한다(즉, x = 1 내지 4 또는 1 내지 4의 x 범위는, x = 1, x = 4 및 x = 그 사이의 임의의 수를 포함함).
산화규소 또는 질화규소와 같은 증착된 막 또는 층은 적절한 화학량론(즉, SiO2, SiO3, Si3N4)의 참조 없이 명세서 및 청구범위 전체에 걸쳐 열거될 수 있음에 유의해야 한다. 층은 순수한 (Si) 층, 탄화물(SioCp) 층, 질화물(SikNl) 층, 산화물(SinOm) 층, 또는 이들의 혼합물을 포함할 수 있으며, k, l, m, n, o, 및 p는 1 이상 6 이하의 범위이다. 예를 들어, 산화규소는 SinOm이며, 여기에서 n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 보다 바람직하게는, 산화규소 층은 SiO2 또는 SiO3이다. 이들 막은 일반적으로 0 원자% 내지 15 원자%의 수소를 함유할 수도 있다. 그러나, 통상적으로 측정되지 않기 때문에, 달리 명시되지 않는 한, 주어진 임의의 막 조성은 H 함량을 무시한다.
본 발명의 본질 및 목적에 대한 추가적인 이해를 위해, 첨부 도면과 함께 다음의 상세한 설명이 참조되어야 한다.
도 1은 액체 4족 전이금속 함유막 형성 조성물 전달 장치(1)의 일 구현예의 측면도이고;
도 2는 4족 전이금속 함유막 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이며; 및
도 3은 고체 4족 전이금속 함유막 형성 조성물을 승화시키기 위한 고체 전구체 승화기(100)의 예시적인 구현예이다.
4족 전이금속 함유막 형성 조성물이 개시된다. 4족 전이금속 함유막 형성 조성물은 각각 하기 구조식을 나타내는 하기 화학식 L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및 L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-을 갖는 4족 전이금속 전구체를 포함한다:
Figure 112019080678362-pct00032
Figure 112019080678362-pct00033

식 중, M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아미디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 C1-C4 탄화수소기이며; 및 인접한 R은 결합하여 히드로카빌 고리를 형성할 수 있고; 및 각각의 L'은 독립적으로 NR" 또는 O이며, R"은 H 또는 C1-C4 탄화수소기이다.
각각의 E가 C이고 m+n=o+p=2이며, 동일한 R이 C에 결합된 예시적인 4족 전이금속 전구체는 하기를 포함하지만, 여기에 한정되지 않는다.
L-M-C5R3-1-[(CR2)2-L']-2-[(CR2)2-L']-,
L-M-C5R3-1-[(CR2)2-L']-3-[(CR2)2-L']-,
식 중, M은 Cp h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 R은 독립적으로 수소 또는 최대 4개 탄소 원자를 갖는 히드로카빌기이며 인접한 R'은 결합되어 히드로카빌 고리를 형성할 수 있고; 각각의 L은 독립적으로 NR'2, OR', Cp, 아미디네이트, β-디케토네이트, 및 케토-이미네이트로 이루어진 군으로부터 선택된 -1 음이온 리간드로서, R'은 H 또는 a C1-C4 탄화수소기이며, 인접한 R'은 결합하여 히드로카빌 고리를 형성할 수 있으며; 및 각각의 L'은 독립적으로 NR" 또는 O로서, R"은 H 또는 C1-C4 탄화수소기이다.
구체적인 예는 하기를 포함하지만, 여기에 한정되지 않는다 (Me2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Et2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Cp)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (MeO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (EtO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n PrO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i PrO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n BuO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( t BuO)-M-C5H3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Me2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Et2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Cp)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (HO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (MeO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (EtO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n PrO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i PrO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n BuO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i BuO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( s BuO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( t BuO)-M-C5H3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Me2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Et2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Cp)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (HO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (MeO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (EtO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n PrO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i PrO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n BuO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i BuO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( s BuO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( t BuO)-M-C5H3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Me2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Et2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Cp)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (HO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (MeO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (EtO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n PrO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i PrO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n BuO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i BuO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( s BuO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( t BuO)-M-C5H3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Me2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Et2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Cp)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (HO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (MeO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (EtO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n PrO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i PrO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n BuO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i BuO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( s BuO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( t BuO)-M-C5H3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Me2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Et2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Cp)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (HO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (MeO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (EtO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n PrO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i PrO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n BuO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i BuO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( s BuO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( t BuO)-M-C5H3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Me2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Et2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Cp)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (HO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (MeO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (EtO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n PrO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i PrO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n BuO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i BuO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( s BuO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( t BuO)-M-C5H3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Me2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Et2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Cp)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (HO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (MeO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (EtO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n PrO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i PrO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n BuO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i BuO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( s BuO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( t BuO)-M-C5H3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Me2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (HO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (HO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (HO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (HO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (HO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (HO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (HO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Et2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Cp)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (HO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (MeO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (EtO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n PrO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i PrO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n BuO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i BuO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( s BuO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( t BuO)-M-C5H3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Me2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Et2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Cp)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (HO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (MeO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (EtO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n PrO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i PrO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n BuO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i BuO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( s BuO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( t BuO)-M-C5H3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Me2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Et2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n Pr2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i Pr2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n Bu2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i Bu2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( t Bu2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( s Bu2N)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Cp)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (HO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (MeO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (EtO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n PrO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i PrO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n BuO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i BuO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( s BuO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( t BuO)-M-C5H3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Me2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Et2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Cp)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (HO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (MeO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (EtO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Me2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Et2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Cp)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (HO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (MeO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (EtO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Me2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Et2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Cp)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (HO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (MeO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (EtO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Me2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Et2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Cp)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (MeO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (EtO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Me2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Et2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Cp)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (MeO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (EtO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Me2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Et2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Cp)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (MeO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (EtO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n PrO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i PrO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n BuO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i BuO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( s BuO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( t BuO)-M-C5H3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Me2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Et2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Cp)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (MeO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (EtO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Me2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Et2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Cp)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (MeO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (EtO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Me2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Et2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Cp)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (MeO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (EtO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Me2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Et2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Cp)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (MeO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (EtO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Me2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Et2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Cp)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (MeO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (EtO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Me2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Et2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Cp)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (MeO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (EtO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Me2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Et2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Cp)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (MeO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (EtO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Me2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Et2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Cp)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (MeO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (EtO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5H3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Me2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Et2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Cp)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (MeO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (EtO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n PrO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i PrO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n BuO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i BuO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( s BuO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( t BuO)-M-C5H3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Me2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Et2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n Pr2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i Pr2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n Bu2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i Bu2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( t Bu2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( s Bu2N)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Cp)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (MeO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (EtO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n PrO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i PrO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n BuO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i BuO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( s BuO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( t BuO)-M-C5H3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Me2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (Et2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (Cp)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (HO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (MeO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (EtO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NH]-3-[(CH2)2-NH]-, (H2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (Me2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (Et2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (Cp)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (HO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (MeO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (EtO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NH]-2-[(CH2)2-NH]-, (H2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Me2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Et2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (Cp)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (HO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (MeO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (EtO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NMe]-3-[(CH2)2-NMe]-, (H2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Me2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Et2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (Cp)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (HO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (MeO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (EtO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NMe]-2-[(CH2)2-NMe]-, (H2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Me2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Et2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (Cp)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (HO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (MeO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (EtO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NEt]-3-[(CH2)2-NEt]-, (H2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Me2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Et2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (Cp)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (HO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (MeO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (EtO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n PrO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i PrO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( n BuO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( i BuO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( s BuO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, ( t BuO)-M-C5Me3-1-[(CH2)2-NEt]-2-[(CH2)2-NEt]-, (H2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Me2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Et2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (Cp)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (HO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (MeO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (EtO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-3-[(CH2)2-N i Pr]-, (H2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Me2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Et2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (Cp)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (HO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (MeO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (EtO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N i Pr]-2-[(CH2)2-N i Pr]-, (H2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Me2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Et2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (Cp)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (HO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (MeO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (EtO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-3-[(CH2)2-N n Pr]-, (H2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Me2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Et2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (Cp)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (HO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (MeO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (EtO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N n Pr]-2-[(CH2)2-N n Pr]-, (H2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-3-[(CH2)2-N n Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N n Bu]-2-[(CH2)2-N n Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-3-[(CH2)2-N i Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N i Bu]-2-[(CH2)2-N i Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-3-[(CH2)2-N s Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N s Bu]-2-[(CH2)2-N s Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-3-[(CH2)2-N t Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Me2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Et2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (Cp)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (HO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (MeO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (EtO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n PrO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i PrO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( n BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( i BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( s BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, ( t BuO)-M-C5Me3-1-[(CH2)2-N t Bu]-2-[(CH2)2-N t Bu]-, (H2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Me2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Et2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (Cp)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (HO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (MeO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (EtO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n PrO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i PrO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( n BuO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( i BuO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( s BuO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, ( t BuO)-M-C5Me3-1-[(CH2)2-O]-3-[(CH2)2-O]-, (H2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Me2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Et2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n Pr2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i Pr2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n Bu2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i Bu2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( t Bu2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( s Bu2N)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (Cp)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (HO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (MeO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (EtO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n PrO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i PrO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( n BuO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( i BuO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( s BuO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, ( t BuO)-M-C5Me3-1-[(CH2)2-O]-2-[(CH2)2-O]-, (H2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (Cp)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (HO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (MeO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (EtO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NH]-3-[(CMe2)2-NH]-, (H2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (Cp)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (HO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (MeO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (EtO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NH]-2-[(CMe2)2-NH]-, (H2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (Cp)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (HO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (MeO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (EtO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NMe]-3-[(CMe2)2-NMe]-, (H2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (Cp)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (HO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (MeO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (EtO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NMe]-2-[(CMe2)2-NMe]-, (H2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (Cp)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (HO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (MeO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (EtO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NEt]-3-[(CMe2)2-NEt]-, (H2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Me2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Et2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (Cp)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (HO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (MeO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (EtO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-NEt]-2-[(CMe2)2-NEt]-, (H2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (Cp)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (HO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (MeO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (EtO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-3-[(CMe2)2-N i Pr]-, (H2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (Cp)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (HO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (MeO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (EtO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N i Pr]-2-[(CMe2)2-N i Pr]-, (H2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (Cp)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (HO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (MeO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (EtO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-3-[(CMe2)2-N n Pr]-, (H2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (Cp)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (HO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (MeO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (EtO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N n Pr]-2-[(CMe2)2-N n Pr]-, (H2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-3-[(CMe2)2-N n Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N n Bu]-2-[(CMe2)2-N n Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-3-[(CMe2)2-N i Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N i Bu]-2-[(CMe2)2-N i Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-3-[(CMe2)2-N s Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N s Bu]-2-[(CMe2)2-N s Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-3-[(CMe2)2-N t Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Me2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Et2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (Cp)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (HO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (MeO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (EtO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-N t Bu]-2-[(CMe2)2-N t Bu]-, (H2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Me2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Et2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (Cp)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (HO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (MeO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (EtO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-O]-3-[(CMe2)2-O]-, (H2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Me2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Et2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n Pr2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i Pr2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n Bu2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i Bu2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( t Bu2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( s Bu2N)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (Cp)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (HO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (MeO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (EtO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n PrO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i PrO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( n BuO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( i BuO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( s BuO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, ( t BuO)-M-C5Me3-1-[(CMe2)2-O]-2-[(CMe2)2-O]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NH]-4-[(CH2)2-NH]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NMe]-4-[(CH2)2-NMe]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-NEt]-4-[(CH2)2-NEt]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Pr]-4-[(CH2)2-N i Pr]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Pr]-4-[(CH2)2-N n Pr]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N n Bu]-4-[(CH2)2-N n Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N i Bu]-4-[(CH2)2-N i Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N s Bu]-4-[(CH2)2-N s Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-N t Bu]-4-[(CH2)2-N t Bu]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (H2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Me2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Et2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (Cp)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (HO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (MeO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (EtO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n PrO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i PrO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( n BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( i BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( s BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, ( t BuO)-M-C5H2-1-Me-2-[(CH2)2-O]-4-[(CH2)2-O]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NH]-4-[(CMe2)2-NH]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NMe]-4-[(CMe2)2-NMe]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-NEt]-4-[(CMe2)2-NEt]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Pr]-4-[(CMe2)2-N i Pr]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Pr]-4-[(CMe2)2-N n Pr]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N n Bu]-4-[(CMe2)2-N n Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N i Bu]-4-[(CMe2)2-N i Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N s Bu]-4-[(CMe2)2-N s Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-N t Bu]-4-[(CMe2)2-N t Bu]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (H2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Me2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Et2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i Pr2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( t Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( s Bu2N)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (Cp)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (HO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (MeO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, (EtO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n PrO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i PrO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( n BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( i BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( s BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, ( t BuO)-M-C5H2-1-Me-2-[(CMe2)2-O]-4-[(CMe2)2-O]-, 식 중, M은 Cp h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이며, Cp 기 내의 것 이외의 탄소는 Si, B 또는 P로 교체될 수 있다.
바람직한 전구체는 하기 구조에 상응하는 (Me2N)-Zr-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Me2N)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-, (Cp)-Zr-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Cp)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-, (Me2N)-Hf-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Me2N)-Hf-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-, (Cp)-Hf-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Cp)-Hf-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-를 포함한다:
Figure 112019080678362-pct00034
Figure 112019080678362-pct00035
Figure 112019080678362-pct00036
Figure 112019080678362-pct00037

Figure 112019080678362-pct00038
Figure 112019080678362-pct00039
Figure 112019080678362-pct00040
Figure 112019080678362-pct00041
.
본 발명자들은 상기 구조를 갖는, 즉, Cp 기 및 4족 전이금속 사이에 2개의 가교를 갖는 4족 전이금속 전구체, 가교 내의 하나의 질소 또는 하나의 산소 리간드는 예를 들어, 안정한 열적 안정성 및 높은 증기압을 갖는 미국 특허 번호 제8,946,096호의 Cp-아미노 가교된 전구체와 유사하거나 더 나은 열적 안정성을 제공할 수 있다는 것을 알게 되었다. 또한, 개시된 4족 전이금속 전구체의 액체 상태는 전구체가 액체 상태로 공급된 이후에 반응기로 도입되기 전 기화되는 직접 액체 주입(DLI)에 사용될 수 있다.
4족 전이금속 전구체는 (i) 이들을 저장한 용기로부터 반응 챔버로 신속하고 재현 가능하게 전달하기에 충분한 휘발성, (ii) 캐니스터에 보관되는 동안 분해를 방지하고 고온(일반적으로 275℃ 초과)의 ALD 모드에서 자기 제한적 성장을 가능하게 하는 높은 열적 안정성, (iii) 원하는 막으로의 용이한 전환을 위한 반응 가스와의 적절한 반응성과 기판 단자 기능에 대한 적절한 반응성, 및 (iv) 불순물이 적은 필름을 얻기 위한 고순도를 나타낼 수 있다.
전구체는 이상적으로 액체이고 버블러 또는 직접 액체 주입 시스템에서 기화되지만, Xu 등의 PCT 공개 WO2009/087609에 개시된 것과 같은 승화기를 사용하여 ALD 및 CVD 전구체 기화를 위한 고체 전구체를 사용하는 것도 가능하다. 대안적으로, 고체 전구체를 용매에 혼합하거나 용해시켜 직접 액체 주입 시스템에서 사용할 수 있는 융점과 사용 점도에 도달하게 할 수 있다.
개시된 4족 전이금속 함유 전구체는 저온에서 디클로로메탄, THF 또는 에테르와 같은 적당한 용매 중에 상응하는 할로겐화 4족 금속 함유 R 기 화합물(즉, RMX3, 식 중, R 및 M은 상기 정의된 바와 같고 X는 Cl, Br, 또는 I이다)을 상응하는 알칸올아민 및 알킬아민과 반응시켜 합성될 수 있다. RMX3, 알칸올아민, 및 알킬아민은 상업적으로 이용가능하다. 첨가 완료 후, 혼합물을 교반하면서 실온으로 가온한다. 용매는 진공에서 제거한다. 잔사는 톨루엔 같은 용매에 용해한다. 얻어진 혼합물은 여과한다. 용매를 제거하면 미정제 4족 전이금속 함유 전구체를 생성하게 된다.
대안적으로, 개시된 4족 전이금속 함유 전구체는 저온에서 헵탄, 디클로로메탄, THF 또는 에테르와 같은 적합한 용매 중에 상응하는 4족 전이금속 함유 알콕시 및 R기 화합물(즉, RM(OR"'2)3), R 및 M은 상기 정의된 바와 같고 R"는 C1-C6 알킬기임)을 상응하는 알칸올아민과 반응시켜 합성될 수 있다. RM(OR")3 및 알칸올아민은 상업적으로 이용가능하다. 첨가 완료 후, 혼합물을 교반하면서 실온으로 가온한다. 용매를 진공에서 제거하여 미정제 4족 전이금속 함유 전구체를 생성한다.
다른 대안에서, 개시된 4족 전이금속 함유 전구체는 저온에서 헵탄, 디클로로메탄, THF 또는 에테르와 같은 적합한 용매 중에 상응하는 4족 전이금속 함유 아미드 및 R기 화합물(즉, RM(NR"'2)3), R 및 M은 상기 정의된 바와 같고 R"'는 C1-C6 알킬기임)을 상응하는 알칸올아민과 반응시켜 합성될 수 있다. RM(NR"'2)3 및 알칸올아민은 상업적으로 이용가능하다. 첨가 완료 후, 혼합물을 교반하면서 실온으로 가온한다. 용매를 진공에서 제거하여 미정제 4족 전이금속 함유 전구체를 생성한다.
다른 대안에서, 개시된 4족 전이금속 함유 전구체는 저온에서 톨루엔, 헵탄, 디클로로메탄, THF 또는 에테르와 같은 적합한 용매 중에 상응하는 4족 전이금속 함유 아미드(즉, M(NR"'2)4, M은 상기 정의된 바와 같고 R"'는 C1-C6 알킬기임)를 상응하는 Cp 함유 아민 또는 Cp* 함유 아민과 반응시켜 합성될 수 있다. M(NR"'2)4 및 Cp 함유 아민 또는 Cp* 함유 아민은 상업적으로 이용가능하다. 첨가 완료 후, 혼합물을 교반하면서 실온으로 가온한다. 용매를 진공에서 제거하여 미정제 4족 전이금속 함유 전구체를 생성한다.
공정 신뢰도를 보장하기 위해, 4족 전이금속 함유막 형성 조성물은 사용 전에 약 93 중량% 내지 약 100 중량% 범위, 바람직하게는 약 99 중량% 내지 약 100 중량% 범위의 순도로 연속 또는 분별 배치식(batch) 증류 또는 승화에 의해 정제될 수 있다. 4족 전이금속 함유막 형성 조성물은 원하지 않는 동족체, 용매, 염소화 금속 화합물, 또는 기타 반응 생성물과 같은 임의의 하기 불순물을 함유할 수 있다. 하나의 대안에서, 이들 불순물의 총량은 0.1 중량% 미만이다.
정제된 4족 전이금속 함유막 형성 조성물 중의 헥산, 펜탄, 디메틸에테르, 또는 아니솔 각각의 농도는 약 0 중량% 내지 약 5 중량% 범위, 바람직하게는 약 0 중량% 내지 약 0.1 중량% 범위일 수 있다. 조성물의 합성에 용매가 사용될 수 있다. 전구체와 용매가 서로 유사한 비등점을 갖는다면, 전구체로부터 용매를 분리하기 어려울 수 있다. 혼합물을 냉각시키면 액체 용매에 고체 전구체가 생성될 수 있고, 이는 여과에 의해 분리될 수 있다. 전구체 생성물이 대략 분해점보다 높게 가열되지 않으면, 진공 증류가 사용될 수도 있다.
하나의 대안에서, 개시된 4족 전이금속 함유막 형성 조성물은, 5 부피% 미만, 바람직하게는 1 부피% 미만, 보다 바람직하게는 0.1 부피% 미만, 훨씬 더 바람직하게는 0.01 부피% 미만의 원하지 않는 동족체, 반응물, 또는 다른 반응 생성물 중 임의의 것을 포함할 수 있다. 이 대안은 더 나은 공정 반복성을 제공할 수 있다. 이 대안은 4족 전이금속 함유 전구체의 증류에 의해 생성될 수 있다.
다른 대안에서, 특히 혼합물이 개선된 공정 파라미터를 제공하거나 목표 화합물의 분리가 너무 어렵거나 비싼 경우, 개시된 4족 전이금속 함유막 형성 조성물은 5 부피% 내지 50 부피%의 하나 이상의 동종 4족 전이금속 함유 전구체, 반응물, 또는 다른 반응 생성물을 함유할 수 있다. 예를 들어, 2개의 4족 전이금속 전구체의 혼합물은 기상 증착에 적합한 안정한 액체 혼합물을 생성할 수 있다.
정제된 4족 전이금속 함유막 형성 조성물 중 미량 금속 및 준금속의 농도는 각각 약 0 ppb 내지 약 100 ppb, 보다 바람직하게는 약 0 ppb 내지 약 10 ppb 의 범위일 수 있다. 이들 금속 불순물은, 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티타늄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)을 포함하나, 이에 한정되는 것은 아니다.
또한, 기상 증착 공정을 사용하여 기판 상에 4족 전이금속 함유층을 형성하는 방법이 개시된다. 이 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 장치의 제조에 유용할 수 있다. 개시된 4족 전이금속 함유막 형성 조성물은 당업자에게 공지된 임의의 증착 방법을 이용하여 4족 전이금속 함유 박막을 증착시키는 데 사용될 수 있다. 적합한 기상 증착 방법의 예는 화학 기상 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 아대기압 CVD(SACVD) 또는 대기압 CVD(APCVD), 열선 CVD(cat-CVD로도 알려진 HWCVD로, 열선이 증착 공정을 위한 에너지원으로 작용함), 라디칼 혼입 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 격리 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 및 이들의 조합을 포함한다. 초임계 유체 증착이 또한 사용될 수 있다. 적절한 스텝 커버리지 및 막 두께 제어를 제공하기 위해, 증착 방법은 ALD, 공간 분할형 ALD, 또는 PE-ALD인것이 바람직하다. 또한, 개시된 4족 전이금속 함유막 형성 조성물은 열 안정성으로 인해 완벽한 자기 제한적 성장을 가능하게 하기 때문에 ALD 공정에 특히 적합하다.
본 출원인은 N-M 결합이 전구체를 안정화시켜 열에 강하게 할 수 있고, 이는 높은 종횡비 구조에서 등각성 ALD 증착시 도움이 될 수 있다고 믿는다. O-M 결합은 기판 표면 상의 임의의 히드록실기에 대해 양호한 반응성을 제공할 수 있어, ALD 증착에서 요구되는 필수적인 물리적 또는 화학적 흡착을 가능하게 한다. 최종적으로, L이 (치환 또는 비치환) Cp인 경우, 본 출원인은 Cp가 표면 상의 M 원자 위에 우산처럼 유지되어 완벽한 자기 ALD 성장을 보장할 수 있다고 믿는다.
개시된 4족 전이금속 함유막 형성 조성물은 순수한 형태로 공급될 수 있거나, 에틸벤젠, 자일렌, 메시틸렌, 데칸 및/또는 도데칸과 같은 적합한 용매를 더 포함할 수 있다. 개시된 4족 전이금속 전구체는 용매 중에 다양한 농도로 존재할 수 있다.
순수한 또는 혼합된 4족 전이금속 함유막 형성 조성물은 튜빙(tubing) 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기에 도입된다. 증기 형태는 직접 기화, 증류, 또는 버블링에 의한 것과 같은 통상적인 기화 단계를 통해 순수한 또는 혼합된 조성물을 기화시키거나, Xu 등의 PCT 공개 WO2009/087609에 개시된 바와 같은 승화기를 사용하여 생성될 수 있다. 조성물은 기화기(직접 액체 주입 또는 "DLI")에 액체 상태로 공급될 수 있으며, 반응기에 도입되기 전 기화기에서 기화된다. 대안적으로, 조성물은 조성물이 담겨있는 용기 내로 캐리어 가스를 통과시키거나, 조성물 내로 캐리어 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 캐리어 가스로 버블링하면 순수한 또는 혼합된 화합물 용액에 존재하는 임의의 용존 산소를 제거할 수도 있다. 이어서, 캐리어 가스와 조성물의 증기 형태가 증기로서 반응기에 도입된다.
필요한 경우, 용기는 조성물이 액상으로 존재할 수 있고 충분한 증기압을 가질 수 있는 온도로 가열될 수 있다. 용기는 예를 들어, 약 50℃ 내지 약 180℃의 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도가 기화된 조성물의 양을 제어하는 알려진 방식으로 조절될 수 있다는 것을 인식한다.
4족 전이금속 함유막 형성 조성물은 개시된 4족 전이금속 함유막 형성 조성물 전달 장치에 의해 반도체 프로세싱 툴에 전달될 수 있다. 도 1도 2는 개시된 전달 장치(1)의 두 가지 구현예를 도시한다.
도 1은 4족 전이금속 함유막 형성 조성물 전달 장치(1)의 일 구현예의 측면도이다. 도 1에서, 개시된 4족 전이금속 함유막 형성 조성물(11)은 적어도 2개의 도관, 즉 유입 도관(3) 및 배출 도관(4)을 갖는 용기(2) 내에 담겨있다. 전구체 기술 분야의 당업자는 용기(2), 유입 도관(3), 및 배출 도관(4)이 고온 및 고압에서도 4족 전이금속 함유막 형성 조성물(11)의 가스 형태의 유출을 방지하도록 제조된다는 것을 인식할 것이다.
적합한 밸브에는 스프링 장착형 또는 결합형 다이어프램 밸브가 포함된다. 밸브는 유량 제한 오리피스(RFO)를 추가적으로 포함할 수 있다. 전달 장치(1)는 가스 매니폴드 및 인클로저에 연결되어야 한다. 가스 매니폴드는 전달 장치(1)가 교체될 때 공기에 노출될 수 있는 배관이 안전하게 배기되고 정화되도록 함으로써 물질의 어떠한 잔여량도 반응하지 않도록 해야 한다.
전달 장치(1)는 누출이 없어야 하고, 밀폐되었을 때 미량의 물질도 유출시키지 않는 밸브가 장착되어야 한다.  전달 장치(1)는 밸브(67)를 통해, 앞서 개시된 가스 캐비넷과 같은 반도체 프로세싱 툴의 다른 구성 요소에 유체 연결된다. 용기(2), 유입 도관(3), 밸브(6), 배출 도관(4) 및 밸브(7)는 일반적으로 316L EP 스테인리스강으로 제조되는 것이 바람직하다.
도 1에서, 유입 도관(3)의 단부(8)는 4족 전이금속 함유막 형성 조성물(11)의 표면 위에 위치하는 반면, 배출 도관(4)의 단부(9)는 4족 전이금속 함유막 형성 조성물(11)의 표면 아래에 위치한다. 이 구현예에서, 4족 전이금속 함유막 형성 조성물(11)은 바람직하게는 액체 형태이다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하되 이에 한정되지 않는 불활성 가스가 유입 도관(3)으로 도입될 수 있다. 불활성 가스는 용기(2)를 가압하여 액체 4족 전이금속 함유막 형성 조성물(11)이 배출 도관(4)을 통해 반도체 프로세싱 툴(미도시)의 구성 요소들로 강제 이송되도록 한다. 수리될 웨이퍼가 위치하고 기상에서 처리가 이루어지는 챔버에 증기를 전달하기 위해, 반도체 프로세싱 툴은, 헬륨, 아르곤, 질소, 또는 이들의 혼합물과 같은 캐리어 가스를 사용하거나 사용하지 않으면서, 액체 4족 전이금속 함유막 형성 조성물(11)을 증기로 변환시키는 기화기를 포함할 수 있다. 대안적으로, 액체 4족 전이금속 함유막 형성 조성물(11)은 제트 또는 에어로졸로서 웨이퍼 표면에 직접 전달될 수 있다.
도 2는 4족 전이금속 함유막 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이다. 도 2에서, 유입 도관(3)의 단부(8)는 4족 전이금속 함유막 형성 조성물(11)의 표면 아래에 위치하는 반면, 배출 도관(4)의 단부(9)는 4족 전이금속 함유막 형성 조성물(11)의 표면 위에 위치한다. 도 2는 또한 4족 전이금속 함유막 형성 조성물(11)의 온도를 증가시킬 수 있는 선택적 가열 요소(14)를 포함한다. 4족 전이금속 함유막 형성 조성물(11)은 고체 형태 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하되 이에 한정되지 않는 불활성 가스가 유입 도관(3)으로 도입된다. 불활성 가스는 4족 전이금속 함유막 형성 조성물(11)을 통해 흐르고, 불활성 가스와 기화된 4족 전이금속 함유막 형성 조성물(11)의 혼합물을 배출 도관(4) 및 반도체 프로세싱 툴의 구성요소로 이송한다.
도 1도 2는 모두 밸브(67)를 포함한다. 당업자는 밸브(67)가 각각 도관(34)을 통한 유동을 허용하도록 개방 또는 폐쇄 위치에 놓일 수 있음을 인식할 것이다. 4족 전이금속 함유막 형성 조성물(11)이 증기 형태인 경우 또는 고상/액상 위에 충분한 증기압이 존재하는 경우, 도 1 또는 도 2의 전달 장치(1), 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종결되는 단일 도관을 갖는 보다 단순한 전달 장치가 사용될 수 있다. 이러한 경우, 4족 전이금속 함유막 형성 조성물(11)은 단순히 도 1의 밸브(6) 또는 2의 밸브(7)를 각각 개방함으로써 도관(3 또는 4)을 통해 증기 형태로 전달된다. 전달 장치(1)는 4족 전이금속 함유막 형성 조성물(11)이 증기 형태로 전달되기에 충분한 증기압을 제공하기 위해, 예를 들어 선택적 가열 요소(14)를 사용하여, 적절한 온도로 유지될 수 있다.
도 1도 2는 4족 전이금속 함유막 형성 조성물 전달 장치(1)의 두 가지 구현예를 개시하고 있지만, 당업자는 유입 도관(3) 및 배출 도관(4)이 모두 본 발명으로부터 벗어남이 없이 4족 전이금속 함유막 형성 조성물(11)의 표면 위에 위치할 수 있다는 것을 인식할 것이다. 또한, 유입 도관(3)은 충전 포트일 수 있다.
4족 전이금속 함유막 형성 조성물이 고체인 경우, 그 증기는 승화기를 사용하여 반응기로 전달될 수 있다. 도 3은 적절한 승화기(100)의 일 구현예를 도시한다. 승화기(100)는 용기(33)를 포함한다. 용기(33)는 원통형 용기이거나, 대안적으로, 제한 없이 임의의 형상일 수 있다. 용기(33)는 제한 없이 스테인리스강, 니켈 및 그 합금, 석영, 유리, 및 다른 화학적으로 적합한 재료로 구성된다. 특정 예에서, 용기(33)는 제한 없이 다른 금속 또는 금속 합금으로 구성된다. 특정 예에서, 용기(33)는 약 8 센티미터 내지 약 55 센티미터의 내경, 대안적으로는 약 8 센티미터 내지 약 30 센티미터의 내경을 갖는다. 당업자가 이해하는 바와 같이, 대안적인 구성은 상이한 치수를 가질 수 있다.
용기(33)는 밀봉 가능 상부(15), 밀봉 부재(18) 및 개스킷(20)을 포함한다. 밀봉 가능 상부(15)는 외부 환경으로부터 용기(33)를 밀봉하도록 구성된다. 밀봉 가능 상부(15)는 용기(33)에 접근할 수 있도록 구성된다. 또한, 밀봉 가능 상부(15)는 용기(33) 내로 도관이 통과하도록 구성된다. 대안적으로, 밀봉 가능 상부(15)는 용기(33) 내로의 유체 유동이 가능하도록 구성된다. 밀봉 가능 상부(15)는 용기(33)와 유체 접촉 상태를 유지하기 위해 딥 튜브(92)를 포함하는 도관을 수용하고 통과시키도록 구성된다. 제어 밸브(90) 및 피팅(95)을 갖는 딥 튜브(92)는 캐리어 가스를 용기(33) 내로 유동시키도록 구성된다. 특정 예에서, 딥 튜브(92)는 용기(33)의 중심축 아래로 연장된다. 또한, 밀봉 가능 상부(15)는 배출 튜브(12)를 포함하는 도관을 수용하고 통과시키도록 구성된다. 캐리어 가스 및 4족 전이금속 함유막 형성 조성물의 증기는 배출 튜브(12)를 통해 용기(33)로부터 제거된다. 배출 튜브(12)는 제어 밸브(10) 및 피팅(5)을 포함한다. 특정 예에서, 배출 튜브(12)는 캐리어 가스를 승화기(100)로부터 막 증착 챔버로 전달하기 위한 가스 전달 매니폴드에 유체 연결된다.
용기(33) 및 밀봉 가능 상부(15)는 적어도 2개의 밀봉 부재(18)에 의해, 대안적으로는 적어도 약 4개의 밀봉 부재에 의해 밀봉된다. 특정 예에서, 밀봉 가능 상부(15)는 적어도 약 8개의 밀봉 부재(18)에 의해 용기(33)에 밀봉된다. 당업자가 이해하는 바와 같이, 밀봉 부재(18)는 밀봉 가능 상부(15)를 용기(33)에 해체 가능하게 결합시키고, 개스킷(20)과 함께 가스 저항성 밀봉을 형성한다. 밀봉 부재(18)는 용기(33)를 밀봉하기 위한 당업자에게 공지된 임의의 적절한 수단을 포함할 수 있다. 특정 예에서, 밀봉 부재(18)는 나비 나사(thumbscrew)를 포함한다.
도 3에 도시된 바와 같이, 용기(33)는 내부에 배치된 적어도 하나의 디스크를 추가적으로 포함한다. 디스크는 고체 물질용 선반 또는 수평 지지대를 포함한다. 특정 구현예에서, 디스크(30)가 개구(31)를 형성하면서 용기(33)의 내경 또는 내주보다 작은 외경 또는 외주를 포함하도록, 내부 디스크(30)가 용기(33) 내에 환형으로 배치된다. 디스크(86)가 용기(33)의 내경과 동일하거나, 거의 동일하거나, 대체로 일치하는 외경 또는 외주를 포함하도록, 외부 디스크(86)가 용기(33) 내에 원주 방향으로 배치된다. 외부 디스크(86)는 디스크의 중앙에 배치된 개구(87)를 형성한다. 용기(33) 내에 복수의 디스크가 배치된다. 디스크는, 내부 디스크(30, 34, 36, 44)가 외부 디스크(62, 78, 82, 86)와 번갈아 용기 내에 수직으로 적층되는 교번 방식으로 적층된다. 구현예에서, 내부 디스크(30, 34, 36, 44)는 환형으로 외향 연장되고, 외부 디스크(62, 78, 82, 86)는 용기(33)의 중심을 향해 환형으로 연장된다. 도 3의 구현예에 도시된 바와 같이, 내부 디스크(30, 34, 36, 44)는 외부 디스크(62, 78, 82, 86)와 물리적으로 접촉하지 않는다.
조립된 승화기(100)는 정렬 결합된 지지 레그(50), 내부 통로(51), 동심 벽(40, 41, 42), 및 동심 슬롯(47, 48, 49)을 포함하는 내부 디스크(30, 34, 36, 44)를 포함한다. 내부 디스크(30, 34, 36, 44)는 수직으로 적층되고, 딥 튜브(92) 주위에 환형으로 배향된다. 또한, 승화기는 외부 디스크(62, 78, 82, 86)를 포함한다. 도 3에 도시된 바와 같이, 외부 디스크(62, 78, 82, 86)는 용기(33)로부터 디스크(62, 78, 82, 86)로 열을 전달하기 위한 양호한 접촉을 위해 용기(33)에 단단히 장착되어야 한다. 바람직하게는, 외부 디스크(62, 78, 82, 86)는 용기(33)의 내벽에 결합되거나 물리적으로 접촉한다.
도시된 바와 같이, 외부 디스크(62, 78, 82, 86) 및 내부 디스크(30, 34, 36, 44)는 용기(33) 내부에 적층된다. 승화기(100)를 형성하기 위해 용기(33) 내에서 조립되는 경우, 내부 디스크(30, 34, 36, 44)는 조립된 외부 디스크(62, 78, 82, 86) 사이에 외부 가스 통로(31, 35, 37, 45)를 형성한다. 또한, 외부 디스크(62, 78, 82, 86)는 내부 디스크(30, 34, 36, 44)의 지지 레그와 함께 내부 가스 통로(56, 79, 83, 87)를 형성한다. 내부 디스크(30, 34, 36, 44)의 벽(40, 41, 42)은 고체 전구체를 수용하기 위한 홈이 있는 슬롯을 형성한다. 외부 디스크(62, 78, 82, 86)는 고체 전구체를 수용하기 위한 벽(68, 69, 70)을 포함한다. 조립하는 동안, 고체 전구체는 내부 디스크(30, 34, 36, 44)의 환형 슬롯(47, 48, 49) 및 외부 디스크(62, 78, 82, 86)의 환형 슬롯(64, 65, 66) 내에 로드된다.
도 3은 임의의 고체 4족 전이금속 함유막 형성 조성물의 증기를 반응기로 전달할 수 있는 승화기의 일 구현예를 개시하고 있지만, 당업자라면 다른 승화기 설계도 본원의 교시에서 벗어남 없이 적합할 수 있음을 인식할 것이다. 마지막으로, 당업자는 개시된 4족 전이금속 함유막 형성 조성물(11)이 본원의 교시에서 벗어남 없이 Jurcik 등에 허여된 WO2006/059187에 개시된 앰플과 같은 다른 전달 장치를 사용하여 반도체 프로세싱 툴에 전달될 수 있다는 것을 인식할 것이다.
반응 챔버는 평행-판형 반응기, 저온-벽체형 반응기, 고온-벽체형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 유형의 증착 시스템과 같은(제한은 없음) 증착 방법이 수행되는 장치의 임의의 인클로저 또는 챔버일 수 있다. 이들 예시적인 반응 챔버는 모두 ALD 반응 챔버로서 사용될 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr, 바람직하게는 약 0.1 Torr 내지 약 5 Torr 범위의 압력으로 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 50℃ 내지 약 600℃의 범위일 수 있다. 당업자는 각각의 4족 전이금속 함유 전구체에 대한 최적의 증착 온도 범위가 원하는 결과를 얻기 위해 실험적으로 결정될 수 있음을 인식할 것이다.
반응기는 박막이 증착될 하나 이상의 기판을 포함한다. 기판은 일반적으로 공정이 수행되는 물질로 정의된다. 기판은 반도체, 광전지, 평면 패널, 또는 LCD-TFT 장치 제조에 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 규소, SiGe, 실리카, 유리 또는 Ge와 같은 웨이퍼를 포함한다. 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트)[PEDOT:PSS]와 같은 플라스틱 기판도 사용될 수 있다. 또한, 기판은 이전의 제조 단계로부터 이미 기판 상에 증착된 상이한 재료의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소층(결정질, 비정질, 다공성 등), 산화규소층, 질화규소층, 산질화규소층, 탄소 도핑 산화규소(SiCOH)층, 또는 이들의 조합을 포함할 수 있다. 또한, 웨이퍼는 구리, 코발트, 루테늄, 텅스텐 및/또는 다른 금속층(예를 들어, 백금, 팔라듐, 니켈, 루테늄 또는 금)을 포함할 수 있다. 웨이퍼는 베리어층 또는 탄탈륨, 질화 탄탈륨 등과 같은 전극을 포함할 수 있다. 폴리(3,4-에틸렌디옥시티오펜)폴리 (스티렌설포네이트) [PEDOT:PSS]와 같은 플라스틱 층도 사용될 수 있다. 층은 평면이거나 패터닝될 수 있다. 기판은 패터닝된 유기 감광막일 수 있다. 기판은 MIM, DRAM 또는 FeRam 기술에서 유전 물질로 사용되는 산화물(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 3원계 산화물계 물질 등)의 층, 또는 전극으로 사용되는 질화물계 막(예를 들어, TaN, TiN, NbN)으로부터의 층을 포함할 수 있다. 개시된 공정은 4족 함유층을 웨이퍼에 직접, 또는 (패터닝된 층이 기판을 형성할 경우) 웨이퍼 상부층 중 하나 이상의 층에 직접 증착시킬 수 있다. 또한, 당업자는 본원에서 사용되는 "막" 또는 "층"이라는 용어가 트렌치 또는 라인일 수 있는 표면 위에 놓여 있거나 퍼져있는 일부 재료의 두께를 의미함을 인식할 것이다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층은 기판으로 지칭된다. 사용되는 실제 기판은 이용되는 특정 전구체 구현예에 따라 달라질 수도 있다. 그러나, 많은 경우에, 사용되는 바람직한 기판은 TiN, NbN, Ru, Si, 및 SiGe형 기판, 예컨대 폴리실리콘 또는 결정질실리콘 기판 중에서 선택될 것이다. 예를 들어, 4족 금속 산화물막은 TiN 기판 상에 증착될 수 있다. 후속 공정에서, 4족 금속 산화물층 상에 TiN 층이 증착되어 DRAM 커패시터로서 사용되는 TiN/4족 금속 산화물/TiN 스택을 형성할 수 있다. 금속 산화물층 자체는, 일반적으로 4족 금속 산화물, 5족 금속 산화물, Al2O3, SiO2, 및 MoO2로부터 선택되는 다양한 금속 산화물의 여러 층의 스택으로 제조될 수 있다.
반응기 내의 온도 및 압력은 기상 증착에 적합한 조건으로 유지된다. 환언하면, 기화된 조성물이 챔버에 도입된 후의 챔버 내의 조건은 기화된 4족 전이금속 함유 전구체의 적어도 일부가 기판 상에 증착되어 4족 전이금속 함유막을 형성하도록 하는 조건이다. 예를 들어, 반응기 내의 압력은 증착 파라미터에 따라 요구되는, 약 1 Pa 내지 약 105 Pa, 보다 바람직하게는 약 25 Pa 내지 약 103 Pa로 유지될 수 있다. 마찬가지로, 반응기 내의 온도는 약 100℃ 내지 약 500℃, 바람직하게는 약 200℃ 내지 약 450℃ 사이로 유지될 수 있다. 당업자는 "기화된 4족 전이금속 함유 전구체의 적어도 일부가 증착된다는 전구체의 일부 또는 전부가 기판과 반응하거나 기판에 부착하는 것을 의미한다는 것을 인식할 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하는 데 사용되는 장치는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도로 원하는 물리적 상태 및 조성의 원하는 막을 얻기에 충분한 온도로 가열된다. 반응기가 가열될 수 있는 비-제한적 예시적인 온도 범위는 대략 100℃ 내지 대략 500℃를 포함한다. 플라즈마 증착 공정이 활용되는 경우, 증착 온도는 대략 50℃ 내지 대략 400℃의 범위에 이를 수 있다. 대안적으로, 열 공정이 수행되는 경우, 증착 온도는 대략 200℃ 내지 대략 450℃ 범위에 이를 수 있다.
개시된 4족 전이금속 함유막 형성 조성물 이외에도, 반응물이 반응기에 도입될 수도 있다. 반응물은 산화 가스, 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 디올(예를 들어, 에틸렌글리콜, 또는 수화 헥사플루오로아세톤), 산소 함유 라디칼(예컨대, O 또는 OH), NO, NO2, 카복실산, 포름산, 아세트산, 프로피온산, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게는, 산화 가스는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼(예컨대 O 또는 OH), 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
대안적으로, 반응물은 H2, NH3, 히드라진(예를 들어, N2H4, MeHNNH2, Me2NNH2, MeHNNHMe, 페닐히드라진), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH, 피롤리딘 또는 피리미딘과 같은 환형 아민), 디아민(예를 들어, 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민), 아미노알코올(예를 들어, 에탄올아민[HO-CH2-CH2-NH2], 비스에탄올아민[HN(C2H5OH)2] 또는 트리스에탄올아민[N(C2H5OH)3]), 피라졸린, 피리딘, 이들의 라디칼, 또는 이들의 혼합물일 수 있다. 바람직하게는, 반응물은 H2, NH3, 이들의 라디칼, 또는 이들의 혼합물이다.
다른 대안에서, 반응물은 (SiH3)3N, 히드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, 또는 Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, 또는 Si3Cl8), 알킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3, 또는 페닐실란), 및 아미노실란(예를 들어, 트리스-디메틸아미노실란, 비스-디에틸아미노실란, 디-이소프로필아미노실란 또는 다른 모노, 디스 또는 트리스 아미노실란), 이들의 라디칼, 또는 이들의 혼합물일 수 있다. 바람직하게는, 반응물은 (SiH3)3N 또는 아미노실란이다.
반응물을 라디칼 형태로 분해하기 위해, 반응물은 플라즈마에 의해 처리될 수 있다. 플라즈마로 처리될 때 환원 가스로서 N2가 이용될 수도 있다. 예를 들어, 플라즈마는 약 50W 내지 약 2500W, 바람직하게는 약 100W 내지 약 400W에 이르는 전력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기에서 벗어난 위치, 예를 들어, 원격 위치된 플라즈마 시스템에 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.
예를 들어, 반응물은 반응 챔버에서 플라즈마를 발생시키는 직접 플라즈마 반응기에 도입되어 반응 챔버에서 플라즈마-처리 반응물을 생성할 수 있다. 예시적인 직접 플라즈마 반응기는 Trion Technologies에서 제조한 Titan™ PECVD 시스템을 포함한다. 반응물은 플라즈마 처리 이전에 반응 챔버에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 처리는 반응물의 도입과 동시에 이루어질 수 있다. 인시튜(in-situ) 플라즈마는 일반적으로, 샤워헤드와 기판 홀더 사이에 발생되는 13.56 MHz RF 유도 결합 플라즈마이다. 기판 또는 샤워헤드는 양이온 충격이 발생하는지 여부에 따라 전력 공급 전극일 수 있다. 인시튜 플라즈마 발생기의 일반적인 인가 전력은 대략 30W 내지 대략 1000W이다. 바람직하게는, 대략 30W 내지 대략 600W의 전력을 개시된 방법에 사용한다. 더 바람직하게는, 전력은 대략 100W 내지 대략 500W 범위에 이른다. 인시튜 플라즈마를 이용한 반응물의 해리는 일반적으로 동일한 전력 투입에 대해 원격 플라즈마 소스를 사용하여 얻어지는 것보다 적기 때문에 반응물의 해리에 있어서 원격 플라즈마 시스템만큼은 효율적이지 못하며, 원격 플라즈마 시스템은 플라즈마에 의해 쉽게 손상되는 기판 상에 4족 전이금속 함유막을 증착하는데 유리할 수 있다.
대안적으로, 플라즈마-처리 반응물은 반응 챔버의 외부에서 생성될 수 있다. 반응 챔버로 통과하기 전에 반응물을 처리하기 위해 MKS Instruments의 ASTRONi® 반응 가스 발생기가 사용될 수 있다. 2.45GHz, 7 kW 플라즈마 전력, 및 대략 0.5Torr 내지 대략 10Torr 범위의 압력에서 작동시, 반응물 O2는 2개의 O˙ 라디칼로 분해될 수 있다. 바람직하게는, 원격 플라즈마는 약 1kW 내지 약 10kW, 더 바람직하게는 약 2.5kW 내지 약 7.5kW 범위의 전력으로 발생될 수 있다.
챔버 내의 기상 증착 조건은 개시된 4족 전이금속 함유막 형성 조성물 및 반응물이 반응하여 기판 상에 4족 전이금속 함유막을 형성하게 한다. 일부 구현예에서, 본 출원인은 반응물을 플라즈마 처리하면 개시된 조성물과 반응하는 데 필요한 에너지를 반응물에 제공할 수 있다고 믿는다.
증착될 막의 유형에 따라 추가 전구체 화합물이 반응기에 도입될 수 있다. 전구체는 4족 전이금속 함유막에 추가 원소를 제공하는 데 사용될 수 있다. 추가 원소는 란탄족(예를 들어, 이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 게르마늄, 규소, 알루미늄, 붕소, 인, 3족 원소(즉, Sc, Y, La, 또는 Ac), 상이한 4족 원소, 또는 5족 원소(즉, V, Nb, 또는 Ta), 또는 이들의 혼합물을 포함할 수 있다. 추가 전구체 화합물이 사용될 경우, 기판 상에 증착되어 생성된 막은 적어도 하나의 추가 원소와 결합된 4족 전이금속을 함유한다.
4족 전이금속 함유막 형성 조성물 및 반응물은 동시에(화학 기상 증착), 순차적으로(원자층 증착), 또는 이들의 상이한 조합으로 반응기에 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 가스로 정화될 수 있다. 대안적으로, 반응물과 조성물은 서로 혼합되어 반응물/화합물 혼합물을 형성한 후, 혼합물 형태로 반응기에 도입될 수 있다. 다른 예는 반응물을 연속적으로 도입하고, 4족 전이금속 함유막 형성 조성물을 펄스(펄스 화학 기상 증착)에 의해 도입하는 것이다.
기화된 조성물 및 반응물은 순차적으로 또는 동시에(예를 들어, 펄스 CVD) 반응기 내로 펄싱될 수 있다. 조성물의 각각의 펄스는 약 0.01초 내지 약 100초, 대안적으로 약 0.3초 내지 약 30초, 대안적으로 약 0.5초 내지 약 10초 범위의 기간 동안 지속될 수 있다. 반응물은 또한 반응기 내로 펄싱될 수 있다. 이러한 구현예에서, 각각의 가스의 펄스는 약 0.01초 내지 약 100초, 대안적으로 약 0.3초 내지 약 30초, 대안적으로 약 0.5초 내지 약 10초 동안 지속될 수 있다. 다른 대안에서, 기화된 조성물 및 하나 이상의 반응물은, 수 개의 웨이퍼를 수용하는 서셉터(susceptor)가 아래에서 회전하는 샤워헤드로부터 동시에 분사될 수 있다(공간 분할형 ALD).
특정 공정 파라미터에 따라, 증착은 다양한 시간 동안 일어날 수 있다. 일반적으로, 증착은 필요한 특성을 갖는 막을 제조하는데 요구되거나 필요한 만큼 지속될 수 있다. 일반적인 막 두께는 구체적인 증착 공정에 따라 수 옹스트롬에서 수백 미크론까지 변할 수 있다. 증착 공정은 또한 원하는 막을 얻는 데 필요한 만큼 여러 번 수행될 수 있다.
하나의 비한정적인 예시적 CVD형 공정에서, 개시된 4족 전이금속 함유막 형성 조성물의 기상 및 반응물이 동시에 반응기에 도입된다. 두 물질은 반응하여 결과적인 4족 전이금속 함유 박막을 형성한다. 이 예시적인 CVD 공정에서의 반응물이 플라즈마로 처리될 경우, 예시적인 CVD 공정은 예시적인 PECVD 공정이 된다. 반응물은 챔버에 도입되기 이전 또는 이후에 플라즈마로 처리될 수 있다.
하나의 비한정적인 예시적 ALD형 공정에서, 개시된 4족 전이금속 함유막 형성 조성물의 기상이 반응기에 도입되고, 반응기에서 4족 전이금속 함유 전구체가 기판 상에 물리적 또는 화학적으로 흡착된다. 이후 과량의 조성물은 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거될 수 있다. 소정의 가스(예를 들어, O3)가 반응기에 도입되고, 물리적 또는 화학적으로 흡착된 전구체와 자기 제한적 방식으로 반응한다. 임의의 과량의 환원 가스는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 원하는 막이 4족 전이금속 막인 경우, 이러한 2-단계 공정은 원하는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 원하는 막이 4족 전이금속 및 제2 원소를 함유하는 경우, 상기 2-단계 공정 이후 추가 전구체 화합물의 증기를 반응기에 도입할 수 있다. 추가 전구체 화합물은 증착되는 4족 전이금속 막의 성질에 기초하여 선택될 것이다. 반응기에 도입된 후, 추가 전구체 화합물은 기판과 접촉한다. 임의의 과량의 전구체 화합물은 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 재차적으로, 소정의 가스가 전구체 화합물과 반응하기 위해 반응기에 도입될 수 있다. 과량의 가스는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 원하는 막 두께에 도달하면 공정이 종료될 수 있다. 그러나, 더 두꺼운 필름이 필요한 경우, 전체 4-단계 공정을 반복할 수 있다. 4족 전이금속 함유 화합물, 추가 전구체 화합물, 및 반응물을 번갈아 제공함으로써, 원하는 조성 및 두께의 막이 증착될 수 있다.
이 예시적인 ALD 공정에서의 반응물이 플라즈마로 처리될 경우, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 반응물은 챔버에 도입되기 이전 또는 이후에 플라즈마로 처리될 수 있다.
제2의 비한정적인 예시적 ALD형 공정에서, 개시된 Zr-함유 전구체 중 하나(예를 들어, Me5CpZr((-O-CH2-CH2-)3N))의 기상이 반응기에 도입되고, 반응기에서 TiN 기판과 접촉한다. 이후 과량의 Zr-함유 전구체는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거될 수 있다. 소정의 가스(예를 들어, O3)가 반응기에 도입되고, 흡수된 Zr-함유 전구체와 자기 제한적 방식으로 반응하여 ZrO2 막을 형성한다. 임의의 과량의 산화 가스는 반응기를 정화 및/또는 배기시킴으로써 반응기로부터 제거된다. 이러한 2-단계는 원하는 두께의 ZrO2 막을 얻을 때까지 반복될 수 있다. 생성된 TiN/ZrO2/TiN 스택은 DRAM 커패시터에 사용될 수 있다. ZrO2 금속 산화물막은 다양한 금속 산화물의 라미네이트를 함유하는 보다 복잡한 스택 내에 포함될 수 있다. 일반적으로, ZrO2/Al2O3/ZrO2 스택뿐만 아니라 TiO2/ZrO2/Al2O3/ZrO2, ZrO2/Nb2O3/ZrO2, ZrO2/HfO2/TiO2/ZrO2 등이 사용된다.
상기 토의된 공정으로부터 생성된 4족 전이금속 함유막은 4족 전이금속 산화물(MM'iOx, 식 중 i는 0 내지 1 범위이고; x는 1 내지 6 범위이며; 그리고 M'은 3족 원소, 상이한 4족 원소(즉, M≠M'), 5족 원소, 란탄족, Si, Al, B, P 또는 Ge로부터 선택됨) 또는 4족 전이금속 산질화물(MM'iNyOx, 식 중, i는 0 내지 1 범위이고; x 및 y는 1 내지 6 범위이며; 그리고 M'은 3족 원소, 상이한 4족 원소(즉, M≠M'), 5족 원소, 란탄족, Si, Al, B, P 또는 Ge로부터 선택됨)을 포함할 수 있다. 당업자는 적절한 개시된 화합물, 선택적 전구체 화합물, 및 반응물 종의 신중한 선택에 의해 원하는 막 조성물을 얻을 수 있음을 인식할 것이다.
원하는 막 두께를 얻은 후, 막은 열처리, 노내 열처리, 급속 열처리, UV 또는 전자빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 공정을 거칠 수 있다. 당업자는 이러한 추가 공정 단계를 수행하는 데 이용되는 시스템 및 방법을 인식한다. 예를 들어, 4족 전이금속 함유막은 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 이들의 조합 하에서 약 200℃ 내지 약 1000℃ 범위의 온도에 약 0.1초 내지 약 7200초 범위의 시간 동안 노출될 수 있다. 가장 바람직하게는, 온도는 H-함유 분위기 또는 O-함유 분위기 하에서 3600초 동안 400℃이다. 생성된 막은 더 적은 불순물을 함유할 수 있으며, 따라서 밀도가 향상되어 누설 전류가 개선될 수 있다. 열처리 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있고, 열처리/플래시 열처리 공정은 별도의 장치에서 수행된다. 4족 전이금속 함유막의 탄소 및 질소 오염을 감소시키는 데 상기 후처리 방법 모두 효과적이지만, 특히 열처리가 효과적인 것으로 밝혀졌다. 이는 결과적으로 막의 비저항을 향상시키는 경향이 있다.
본 발명의 본질을 설명하기 위해 본 명세서에 설명되고 예시된 세부 사항, 재료, 단계, 및 부품 배열은 첨부된 청구범위에 기재된 발명의 원리 및 범위 내에서 당업자에 의해 추가적으로 다양하게 변경될 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 구현예에 한정되는 것은 아니다.

Claims (13)

  1. 각각 하기 구조식을 나타내는 하기 화학식:
    L-M-C5R3-1-[(ER2)m-(ER2)n-L']-2-[(ER2)o-(ER2)p-L']- 및
    L-M-C5R3-1-[(ER2)m-(ER2)n)-L']-3-[(ER2)o-(ER2)p-L']-
    을 갖는 4족 전이금속 전구체를 포함하는 4족 전이금속 함유막 형성 조성물로서:
    Figure 112019080678362-pct00042
    Figure 112019080678362-pct00043

    식 중, M은 Cp 기에 h 5 결합 모드로 결합된 Ti, Zr, 또는 Hf이고; 각각의 E는 독립적으로 C, Si, B 또는 P이고; m 및 n은 독립적으로 0, 1 또는 2이고; m + n >1; o 및 p는 독립적으로 0, 1 또는 2이고; o + p >1; 각각의 R은 독립적으로 수소 또는 C1-C4 탄화수소기이고; 각각의 L은 독립적으로 -1 음이온 리간드이며; 그리고 각각의 L'은 독립적으로 NR" 또는 O이고, R"은 H 또는 C1-C4 탄화수소기인 4족 전이금속 함유막 형성 조성물.
  2. 제1항에 있어서,
    상기 -1 음이온 리간드는 NR'2, OR', Cp, 아미디네이트, β-디케토네이트 또는 케토-이미네이트로 이루어진 군으로부터 선택되고, R'은 H 또는 C1-C4 탄화수소기인 4족 전이금속 함유막 형성 조성물.
  3. 제2항에 있어서,
    각각의 E는 C인 4족 전이금속 함유막 형성 조성물.
  4. 제3항에 있어서,
    M은 Zr인 4족 전이금속 함유막 형성 조성물.
  5. 제4항에 있어서,
    상기 4족 전이금속 전구체는 (Me2N)-Zr-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Me2N)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-, (Cp)-Zr-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, 및 (Cp)-Zr-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-로 이루어진 군으로부터 선택되는 것인 4족 전이금속 함유막 형성 조성물.
  6. 제3항에 있어서,
    M은 Hf인 4족 전이금속 함유막 형성 조성물.
  7. 제6항에 있어서,
    상기 4족 전이금속 전구체는 (Me2N)-Hf-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)-, (Me2N)-Hf-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-, (Cp)-Hf-C5H3-1-(CH2-CH2-NMe)-3-(CH2-CH2-NMe)- 및 (Cp)-Hf-C5H2-1-Me-2-(CH2-CH2-NMe)-4-(CH2-CH2-NMe)-로 이루어진 군으로부터 선택되는 것인 4족 전이금속 함유막 형성 조성물.
  8. 제3항에 있어서,
    M은 Ti인 4족 전이금속 함유막 형성 조성물.
  9. 하기 단계를 포함하는, 기판 상에 4족 전이금속 함유막을 증착하는 방법으로서:
    제1항 내지 제8항 중 어느 한 항의 4족 전이금속 함유막 형성 조성물의 증기를 반응기에 배치된 기판을 갖는 반응기로 도입하는 단계; 및
    상기 4족 전이금속 함유 전구체의 적어도 일부를 상기 기판 상에 증착하는 단계를 포함하는 방법.
  10. 제9항에 있어서,
    적어도 하나의 반응물을 상기 반응기에 도입하는 단계를 더 포함하고,
    상기 반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 방법.
  11. 제9항에 있어서,
    M' 함유 전구체의 증기를 도입하는 단계를 더 포함하고, 상기 4족 전이금속 함유막은 MM'iOx(i는 0 초과 1 이하의 범위이고; x는 1 내지 6의 범위이고; 그리고 M'은 3족 원소, M 과 상이한 4족 원소, 5족 원소, 란탄족, Si, Al, B, P 또는 Ge로부터 선택됨)인 방법.
  12. 제10항에 있어서,
    상기 4족 전이금속 함유막 형성 조성물은 DRAM 커패시터를 형성하기 위해 사용되는 것인 방법.
  13. 삭제
KR1020197020782A 2016-12-30 2017-12-14 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착 KR102459746B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/396,183 US10465289B2 (en) 2016-12-30 2016-12-30 Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US15/396,183 2016-12-30
PCT/IB2017/001655 WO2018122602A1 (en) 2016-12-30 2017-12-14 Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same

Publications (2)

Publication Number Publication Date
KR20190093220A KR20190093220A (ko) 2019-08-08
KR102459746B1 true KR102459746B1 (ko) 2022-10-27

Family

ID=58523691

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197020782A KR102459746B1 (ko) 2016-12-30 2017-12-14 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착

Country Status (5)

Country Link
US (2) US10465289B2 (ko)
JP (1) JP6934524B2 (ko)
KR (1) KR102459746B1 (ko)
CN (1) CN110121571B (ko)
WO (1) WO2018122602A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10337104B2 (en) 2016-12-30 2019-07-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10364259B2 (en) 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014510733A (ja) 2011-03-15 2014-05-01 メカロニックス シーオー. エルティディ. 新規な4b族有機金属化合物及びその製造方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0328580B1 (en) 1987-06-17 1993-07-21 The Dow Chemical Company Catalyst and process for preparation of syndiotactic polystyrene
NZ235032A (en) 1989-08-31 1993-04-28 Dow Chemical Co Constrained geometry complexes of titanium, zirconium or hafnium comprising a substituted cyclopentadiene ligand; use as olefin polymerisation catalyst component
US5383778A (en) 1990-09-04 1995-01-24 James River Corporation Of Virginia Strength control embossing apparatus
DE4416876A1 (de) 1994-05-13 1995-11-16 Basf Ag Verfahren zur Herstellung von verbrückten Halbsandwichkomplexen
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
KR20000005030A (ko) 1996-03-27 2000-01-25 그레이스 스티븐 에스. 헤테로사이클릭 금속 착체 및 올레핀 중합 방법
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
BR9911158A (pt) 1998-06-12 2001-04-03 Univation Tech Llc Processos de polimerização de olefinas usando complexos ativados ácido-base de lewis
PT1225179E (pt) * 2001-01-18 2004-08-31 Repsol Quimica Sa Catalisadores de polimerizacao de olefinas
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
CN103147062A (zh) * 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
US20120145953A1 (en) 2009-07-01 2012-06-14 Pallem Venkateswara R LITHIUM PRECURSORS FOR LixMyOz MATERIALS FOR BATTERIES
US8592606B2 (en) * 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
US8404878B2 (en) * 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US20120196449A1 (en) 2011-01-27 2012-08-02 Advanced Technology Materials, Inc. Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films
KR101263454B1 (ko) 2011-03-15 2013-11-27 주식회사 메카로닉스 지르코늄 금속을 함유하는 신규한 유기금속화합물 및 그 제조방법
US9598301B2 (en) 2011-11-29 2017-03-21 Corning Incorporated Temperature control of glass ribbons during forming
KR102215341B1 (ko) 2012-12-17 2021-02-16 솔브레인 주식회사 금속 전구체 및 이를 이용하여 제조된 금속 함유 박막
KR102251989B1 (ko) * 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법
KR102147190B1 (ko) * 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
JP6796950B2 (ja) * 2016-05-23 2020-12-09 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
KR101684660B1 (ko) 2016-07-08 2016-12-09 (주)이지켐 지르코늄 박막 형성용 전구체 조성물 및 이를 이용한 지르코늄 박막의 형성 방법
US20170107612A1 (en) 2016-12-30 2017-04-20 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10364259B2 (en) 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10337104B2 (en) 2016-12-30 2019-07-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014510733A (ja) 2011-03-15 2014-05-01 メカロニックス シーオー. エルティディ. 新規な4b族有機金属化合物及びその製造方法

Also Published As

Publication number Publication date
JP6934524B2 (ja) 2021-09-15
US20200032397A1 (en) 2020-01-30
US10465289B2 (en) 2019-11-05
CN110121571A (zh) 2019-08-13
US20170107617A1 (en) 2017-04-20
KR20190093220A (ko) 2019-08-08
JP2020504907A (ja) 2020-02-13
WO2018122602A1 (en) 2018-07-05
CN110121571B (zh) 2021-09-03

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US10364259B2 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
KR102514164B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
KR102371411B1 (ko) 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
US20200149165A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
KR102459746B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
KR102398823B1 (ko) 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물
WO2018048480A1 (en) Group 4 transition metal-containing film forming compositions for vapor deposition of group 4 transition metal-containing films

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant