JP6934524B2 - ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積 - Google Patents

ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積 Download PDF

Info

Publication number
JP6934524B2
JP6934524B2 JP2019536011A JP2019536011A JP6934524B2 JP 6934524 B2 JP6934524 B2 JP 6934524B2 JP 2019536011 A JP2019536011 A JP 2019536011A JP 2019536011 A JP2019536011 A JP 2019536011A JP 6934524 B2 JP6934524 B2 JP 6934524B2
Authority
JP
Japan
Prior art keywords
cme
nme
net
buo
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019536011A
Other languages
English (en)
Other versions
JP2020504907A (ja
Inventor
ジュリアン・ガティノ
デヒョン・キム
ウンテ・ノ
諭子 ガティノ
諭子 ガティノ
ジャン・マルク・ジラード
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2020504907A publication Critical patent/JP2020504907A/ja
Application granted granted Critical
Publication of JP6934524B2 publication Critical patent/JP6934524B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62218Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic films, e.g. by using temporary supports
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/48Organic compounds becoming part of a ceramic after heat treatment, e.g. carbonising phenol resins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

関連出願の相互参照
本出願は、2016年12月30日に出願された米国特許出願公開第15/396,183号明細書の利益を主張するものであり、その全体があらゆる目的のために参照により本明細書に組み込まれる。
化学式L−M−C−1−[(ER−(ER−L’]−2−[(ER−(ER−L’]−およびL−M−C−1−[(ER−(ER)−L’]−3−[(ER−(ER−L’]−(式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Eは、独立してC、Si、B、またはPであり;mおよびnは、独立して0、1、または2であり;m+n>1であり;oおよびpは、独立して0、1、または2であり;o+p>1であり;各Rは、独立して水素またはC〜C炭化水素基であり;隣接するRは、連結してヒドロカルビル環を形成していてもよく;各Lは、独立して、NR’、OR’、Cp、アミジナート、β−ジケトナート、またはケト−イミナートからなる群から選択される−1アニオン性配位子であり、ここで、R’は、HまたはC〜C炭化水素基であり;隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各L’は、独立してNR”またはOであり、ここで、R”は、HまたはC〜C炭化水素基である)を有する4族遷移金属前駆体を含有する4族遷移金属含有膜形成用組成物が開示される。また、開示される前駆体を合成する方法と、蒸着プロセスにより、1つ以上の基板上に4族遷移金属含有膜を堆積させるために、開示される前駆体を使用する方法とが開示される。
半導体デバイスの微細化に伴い、高誘電率の新しい材料が必要とされている。化学気相成長(CVD)および原子層堆積(ALD)は細かく定義された厚さおよび高いステップカバレッジを有する異なる膜(金属、酸化物、窒化物等)を提供することができるため、CVDおよびALDはそのような薄膜のための主要な堆積技術になっている。CVDおよびALDにおいては、前駆体分子は、高いコンフォーマル性を有し不純物が少ない高品質の膜を得るために重要な役割を果たす。
high−k誘電体の中でも、TiO、HfO、またはZrOなどの4族を主体とする材料は、純粋な酸化物または混合酸化物として使用されるか、あるいは積層体中で使用されるかに関わらず、非常に有望である。さらに、TiNなどの4族金属含有膜も、電極および/またはCu拡散バリア用途のために使用することができる。4族酸化物は、これらのエッチング耐性のため、ハードマスクまたはスペーサにより規定されるマルチパターニング用途などのリソグラフィー用途においても使用することができる。
シクロペンタジエニル(Cp)架橋4族金属化合物は、4族金属含有膜のCVDおよび/またはALDのための前駆体として使用されてきた。例えば、Ahnらの米国特許第8,946,096号明細書には、下記式:
Figure 0006934524
(式中、Mは、Ti、Zr、またはHfであり、Rは、C〜Cアルキルであり、RおよびRは、独立してC〜Cアルキルである)
を有するCVDまたはALDにおいて利用される4族金属有機化合物が開示されている。Choらの米国特許出願公開第2015/0255276号明細書には、化学式X(M)(R(R(式中、Mは、Ti、Zr、またはHfであり、Xは、Mの配位子であり、6,6−ジメチルフルベニル、インデニル、シクロペンタジエニル、およびアミノ基で置換されたシクロペンタジエニル、のうちの1つであり、RおよびRは、Mの配位子であり、それぞれ独立してアミノ基またはエチレンジアミノ基であり、n、m、およびkは、それぞれ正の整数であり、n+m+k=3または4である)により表される、CVDおよびALDプロセスにおいて堆積原料として使用される有機金属前駆体が開示されている。Castleらの韓国特許出願公開第10−2014−0078534号明細書には、以下の構造式:
Figure 0006934524
(式中、Mは、Zr、Hf、およびTiからなる群から選択され、XおよびXは、それぞれ独立してNRまたはORであり、Xは、(NR)またはOであり、R〜Rは、それぞれ独立して水素原子またはC〜Cアルキル基であり、Rは、それぞれ独立して水素原子またはC〜Cアルキル基であり、mは、0〜4の整数である)
を有する4族錯体を含む金属前駆体および金属前駆体を用いて作製された金属含有薄膜が開示されている。Kangらは、(CpN)Ti(NMeおよび酸素遠隔プラズマを用いたTiO薄膜の形成を開示している(Kang et al.,“Growth behavior and structural characteristics of TiO thin films using(CpN)Ti(NMe and oxygen remote Plasma”,Phys.Status Solidi A,2014,212,No.3,p674−679)。
いくつかのCp架橋4族金属化合物が合成され、触媒または他の目的のために使用されている。例えば、J Okudaは、Ti(R−Cp−SiMe−NR−)(NRなどの連結されたアミド−シクロペンタジエニル配位子を有する金属有機触媒を開示している(J Okuda,“Linked Amido−CycIopentadienyl Complexes of Group3 and 4 Metals:The First“Post−Metallocenes”Metalorganic Catalysts for Synthesis and Polymerization”,pp200−211,1999)。Herrmannらは、有力な触媒として調製されたCp(CHCH−O−)Zr(NMeを開示している(Herrmann et al.,“Doubly Bridged vac−Metallocenes of Zirconium and Hafnium”,Angewandte.Chem.Int.Ed.Eng,1994,33(19),p1946−1949)。Kimらは、(MeCp−CH−NtBu)Zr(NEtおよび(1,3−Me−CHPh−NtBu−κN)Zr(NMeの合成を開示している(Kim et al.,“sp−C−Bridged 1,3−MeCp/Amido Titanium and Zirconium Complexes and Their Reactivities towards Ethylene Polymerization”,Eur.J.Inorg.Chem.2004,p1522−1529)。Jesus CanoおよびKlaus Kunzは、少量のP、C、Siを含有したCp−アミノ架橋化合物の合成を開示している(Jesus Cano,Klaus Kunz,“How to synthesize a constrained geometry catalyst(CGC)−A survey”,Journal of Organometallic Chemistry 692,2007,p4411−4423)。炭素により架橋されたシクロペンタジエニルアミドの4族金属錯体の合成は、1999年のPiet−Jan SinnemaのPhD学位論文で報告された(Piet−Jan Sinnema,“Carbon−Bridged Cyclopentadienyl Amido Group 4 Metal Complexes”,University of Groningen,1999)。
したがって、当業者は、制御された厚さおよび高温での組成を有する気相薄膜堆積に適した熱安定性が高い4族化合物を継続的に求めている。
それぞれ次の構造式:
Figure 0006934524
を指す、次の式
L−M−C−1−[(ER−(ER−L’]−2−[(ER−(ER−L’]−および
L−M−C−1−[(ER−(ER)−L’]−3−[(ER−(ER−L’]−
を有する4族遷移金属前駆体を含有する4族遷移金属含有膜形成用組成物が開示され、式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Eは、独立してC、Si、B、またはPであり;mおよびnは、独立して0、1、または2であり;m+n>1であり;oおよびpは、独立して0、1、または2であり;o+p>1であり;各Rは、独立して水素またはC〜C炭化水素基であり;隣接するRは、連結してヒドロカルビル環を形成していてもよく;各Lは、独立して、NR’、OR’、Cp、アミジナート、β−ジケトナート、またはケト−イミナートからなる群から選択される−1アニオン性配位子であり、ここで、R’は、HまたはC〜C炭化水素基であり;隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各L’は、独立してNR”またはOであり、ここで、R”はHまたはC〜C炭化水素基である。
本開示の4族遷移金属含有膜形成用組成物は、以下の態様のうちの1つ以上をさらに含み得る:
・MがTiである;
・MがZrである;
・MがHfである;
・各Rが独立してC、Si、B、およびPである;
・EがCである;
・EがSiである;
・EがBである;
・EがPである;
・mが0、1、2である;
・nが0、1、2である;
・m+nが>1である;
・mが0である;
・mが1である;
・mが2である;
・nが0である;
・nが1である;
・nが2である;
・mが1であり、nが1である;
・mが2であり、nが1である;
・oが0、1、2である;
・pが0、1、2である;
・o+pが>1である;
・oが0である;
・oが1である;
・oが2である;
・pが0である;
・pが1である;
・pが2である;
・oが1であり、pが1である;
・oが2であり、pが1である;
・各Rが独立してH、Me、Et、Pr、Pr、Bu、Bu、Bu、またはBuである;
・RがHである;
・RがMeである;
・RがEtである;
・RがPrである;
・RがPrである;
・RがBuである;
・RがBuである;
・RがBuである;
・RがBuである;
・LがNHである;
・LがNMeである;
・LがNEtである;
・LがNPrである;
・LがNPrである;
・LがNBuである;
・LがNBuである;
・LがNBuである;
・LがNBuである;
・LがNHMeである;
・LがNHEtである;
・LがNHPrである;
・LがNHPrである;
・LがNHBuである;
・LがNHBuである;
・LがNHBuである;
・LがNHBuである;
・LがNMeEtである;
・LがNMePrである;
・LがNMePrである;
・LがNMeBuである;
・LがNMeBuである;
・LがNMeBuである;
・LがNMeBuである;
・LがNEtPrである;
・LがNEtPrである;
・LがNEtBuである;
・LがNEtBuである;
・LがNEtBuである;
・LがNEtBuである;
・LがNPrPrである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNPrBuである;
・LがNBuBuである;
・LがNBuBuである;
・LがNBuBuである;
・LがNBuBuである;
・LがNBuBuである;
・LがNBuBuである;
・LがOHである;
・LがOMeである;
・LがOEtである;
・LがOPrである;
・LがOPrである;
・LがOBuである;
・LがOBuである;
・LがOBuである;
・LがOBuである;
・LがCpである;
・Lがアミジナートである;
・Lがβ−ジケトナートである;
・Lがケト−イミナートである;
・L’がNHである;
・L’がNMeである;
・L’がNEtである;
・L’がNPrである;
・L’がNPrである;
・L’がNBuである;
・L’がNBuである;
・L’がNBuである;
・L’がNBuである;
・L’がOである;
・−(ER−(ERおよび−(ER−(ER基がそれぞれCp基の1,2−炭素に結合している;
・−(ER−(ERおよび−(ER−(ER基がそれぞれCp基の1,3−炭素に結合している;
・Cp基がメチル置換Cp基である;
・Cp基がエチル置換Cp基である;
・Cp基がイソプロピル置換Cp基である;
・Cp基がtert−ブチル基置換Cp基である;
・4族遷移金属前駆体が(MeN)−Zr−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−である;
・4族遷移金属前駆体が(MeN)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−である;
・4族遷移金属前駆体が(MeN)−Hf−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−である;および
・4族遷移金属前駆体が(MeN)−Hf−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−である;
・4族遷移金属含有膜形成用組成物が約0.1モル%〜約50モル%の4族遷移金属前駆体を含有する;
・4族遷移金属含有膜形成用組成物が約1〜約20cps、好ましくは約1〜約5cps、好ましくはおよそ3cpsの粘度を有する;
・4族遷移金属含有膜形成用組成物が約95%w/w〜約100%w/wの4族遷移金属前駆体を含む;
・4族遷移金属含有膜形成用組成物が約99%w/w〜約100%w/wの4族遷移金属前駆体を含む;
・4族遷移金属含有膜形成用組成物が溶媒をさらに含む;
・4族遷移金属含有膜形成用組成物が約0%w/w〜5%w/wの炭化水素溶媒を含む;
・溶媒が、飽和もしくは不飽和のいずれかのC1〜C16炭化水素、ケトン、エーテル、グリム、エステル、テトラヒドロフラン(THF)、シュウ酸ジメチル(DMO)およびそれらの組合せからなる群から選択される;
・溶媒がC1〜C16炭化水素である;
・溶媒がテトラヒドロフラン(THF)である;
・溶媒がDMOである;
・溶媒がエーテルである;
・溶媒がグリムである;および
・4族遷移金属前駆体および溶媒の沸点間の差が100℃未満である。
入口導管および出口導管を有し、上記に開示される4族遷移金属含有膜形成用組成物のいずれかを収容するキャニスターを含む4族遷移金属含有膜形成用組成物送出装置も開示される。開示される送出装置は、以下の態様の1つ以上を含むことができる。
・4族遷移金属含有膜形成用組成物が10ppmw未満の非4族金属汚染物質の全濃度を有する;
・入口導管の末端が4族遷移金属含有膜形成用組成物の表面より上に位置し、および出口導管の末端が4族遷移金属含有膜形成用組成物の表面より上に位置する;
・入口導管末端の末端が4族遷移金属含有膜形成用組成物の表面より上に位置し、および出口導管の末端が4族遷移金属含有膜形成用組成物の表面より下に位置する;
・入口導管末端の末端が4族遷移金属含有膜形成用組成物の表面より下に位置し、および出口導管の末端が4族遷移金属含有膜形成用組成物の表面より上に位置する。
4族遷移金属含有膜を1つ以上の基板の上に堆積する方法も開示される。上記に開示される少なくとも1つの4族遷移金属含有膜形成用組成物が、反応器であって、その中に配置された少なくとも1つの基板を有する反応器中に導入される。4族遷移金属前駆体の少なくとも一部が基板上に堆積されて4族遷移金属含有膜を形成する。開示される方法は、以下の態様の1つ以上をさらに含むことができる。
・少なくとも1つの反応物が反応器中に導入される;
・反応物がプラズマ処理される;
・反応物が遠隔プラズマ処理される;
・反応物がプラズマ処理されない;
・反応物が、H、NH、ヒドラジン(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、ピロリジンまたはピリミジンなどの環状アミンなど)、ジアミン(エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミン)、アミノアルコール(エタノールアミン[HO−CH−CH−NH]、ビスエタノールアミン[HN(COH)]またはトリスエタノールアミン[N(COH)]など)、ピラゾリンおよびピリジンからなる群から選択される;
・反応物が、(SiHN、ヒドリドシラン(SiH、Si、Si、Si10、Si10、Si12など)、クロロシランおよびクロロポリシラン(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiClなど)、アルキルシラン(MeSiH、EtSiH、MeSiH、EtSiHなど)およびアミノシラン(トリス−ジメチルアミノシラン、ビス−ジエチルアミノシラン、ジイソプロピルアミノシランならびに別のモノ、ジスまたはトリスアミノシランなど)からなる群から選択される;
・反応物が、NH、N(SiH、アミノシランおよびそれらの混合物からなる群から選択される;
・反応物が、トリアルキルアルミニウム、ハロゲン化ジアルキルアルミニウム、アルミニウムのアルキルアミノおよびアルコキシ誘導体ならびにそれらの混合物から選択される;
・反応物がNHである;
・反応物が、O、O、HO、H2、NO、NO、NO、アルコール、ジオール(エチレングリコールなど)、それらの酸素ラジカルおよびそれらの混合物からなる群から選択される;
・反応物がHOである;
・反応物がOである;
・反応物が、プラズマ処理されたOである;
・反応物がOである;
・4族遷移金属含有膜形成用組成物と反応物とが反応器中に同時に導入される;
・反応器が化学気相成長のために構成される;
・反応器がプラズマ支援化学気相成長のために構成される;
・4族遷移金属含有膜形成用組成物と反応物とがチャンバー中に逐次導入される;
・反応器が原子層堆積のために構成される;
・反応器がプラズマ支援原子層堆積のために構成される;
・反応器が空間原子層堆積のために構成される;
・4族遷移金属含有膜が4族遷移金属酸化物(M、ここで、Mは、4族遷移金属であり、かつnおよびmのそれぞれは、両端を含めて1〜6の範囲の整数である)である;
・4族遷移金属含有膜がTiO、ZrOまたはHfOである;
・4族遷移金属含有膜がMM’であり、ここで、iは、0〜1の範囲であり;xは、1〜6の範囲であり;およびM’は、3族元素、別の4族元素(すなわちM≠M’)、5族元素、ランタニド、Si、Al、B、PまたはGeから選択される;および
・4族遷移金属含有膜がMM’であり、ここで、iは、0〜1の範囲であり;xおよびyは、1〜6の範囲であり;およびM’は、3族元素、別の4族元素(すなわちM≠M’)、5族元素、ランタニド、Si、Al、B、PまたはGeから選択される。
表記および用語
特定の略語、記号および用語が以下の説明および請求項の全体にわたって使用され、そのようなものとして以下が挙げられる。
開示される実施形態において使用される場合、不定冠詞「1つの(a)または「1つの(an)」は、1つ以上を意味する。
開示される実施形態において使用される場合、本文中または請求項中の「約」、または「およそ」、または「おおよそ」という用語は、記載の値の±10%を意味する。
開示される実施形態において使用される場合、R基の記載に関連して使用される場合の「独立して」という用語は、対象のR基が、同じまたは異なる下付き文字または上付き文字を有する別のR基に対して独立して選択されるだけでなく、その同じR基のあらゆる追加の種類に対しても独立して選択されることを示すものと理解されたい。例えば、式MR (NR(4−x)(ここで、xは、2または3である)中、2つまたは3つのR基は、互いにまたはRもしくはRと同じ場合があるが、同じである必要はない。さらに、他に明記されない場合、R基の値は、異なる式中に使用される場合と互いに独立していることを理解されたい。
開示される実施形態において使用される場合、「ヒドロカルビル基」という用語は、炭素および水素を含む官能基を意味し;「アルキル基」という用語は、炭素原子および水素原子のみを含む飽和官能基を意味する。ヒドロカルビル基は、飽和または不飽和であり得る。いずれの用語も直鎖、分岐または環状の基を意味する。直鎖アルキル基の例としては、メチル基、エチル基、プロピル基、ブチル基などが挙げられるが、これらに限定されるものではない。分岐アルキル基の例としては、t−ブチルが挙げられるが、これに限定されるものではない。環状アルキル基の例としては、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられるが、これらに限定されるものではない。
本開示の実施形態において使用される場合、略語「Me」はメチル基を指し、略語「Et」はエチル基を指し、略語「Pr」はプロピル基を指し、略語「Pr」は「ノルマル」または直鎖のプロピル基を指し、略語「Pr」はイソプロピル基を指し、略語「Bu」はブチル基を指し、略語「Bu」は「ノルマル」または直鎖のブチル基を指し、略語「Bu」はtert−ブチル基(別名1,1−ジメチルエチル)を指し、略語「Bu」はsec−ブチル基(別名1−メチルプロピル)を指し、略語「Bu」はiso−ブチル基(別名2−メチルプロピル)を指し、略語「Cp」はシクロペタジエニルを指し、略語「Cp」はペンタメチルシクロペンタジエニルを指す。
本開示の実施形態で使用される化学式L−M−C−1−[(ER−(ER−L’]−2−[(ER−(ER−L’]−およびL−M−C−1−[(ER−(ER)−L’]−3−[(ER−(ER−L’]−は、それぞれ次の構造式:
Figure 0006934524
を有する化合物を指し、式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Eは、独立してC、Si、B、またはPであり;mおよびnは、独立して0、1、または2であり;m+n>1であり;oおよびpは、独立して0、1、または2であり;o+p>1であり;各Rは、独立して水素またはC〜C炭化水素基であり;隣接するRは、連結してヒドロカルビル環を形成していてもよく;各Lは、独立して、NR’、OR’、Cp、アミジナート、β−ジケトナート、またはケト−イミナートからなる群から選択される−1アニオン性配位子であり、ここで、R’は、HまたはC〜C炭化水素基であり;隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各L’は、独立してNR”またはOであり、ここで、R”はHまたはC〜C炭化水素基である。ここで、ηは、M原子に結合している芳香族環基の5つの隣接した原子を表す上の化合物のハプト数である。
本開示の実施形態で使用される化学式(MeN)−M−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−および(MeN)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−は、それぞれ次の構造式:
Figure 0006934524
を有する化合物を指し、式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;Cp基に結合しているCは、Si、B、またはPで置換されていてもよい。
元素周期表による元素の標準的な略語が、開示される実施形態において使用される。元素は、これらの略語によって表すことができるものと理解されたい(例えば、Mnは、マンガンを意味し、Siは、ケイ素を意味し、Cは、炭素を意味するなど)。さらに、3族は、周期表の3族(すなわちSc、Y、LaまたはAc)を意味する。同様に、4族は、周期表の4族(すなわちTi、ZrまたはHf)を意味し、5族は、周期表の5族(すなわちV、NbまたはTa)を意味する。
開示される実施形態に列挙されるあらゆる範囲は、「両端を含めて」という用語が使用されるかどうかとは無関係に、それらの端点を含む(すなわちx=1〜4または1〜4のxの範囲は、x=1、x=4およびx=その間の任意の数を含む)。
酸化ケイ素または窒化ケイ素などの堆積される膜または層は、それらの適切な化学量論(すなわちSiO、SiO、Si)に言及することなく本明細書および請求項の範囲全体に列挙され得ることに留意されたい。これらの層は、純粋な(Si)層、炭化物(Si)層、窒化物(Si)層、酸化物(Si)層またはそれらの混合物を含むことができ、ここで、k、l、m、n、oおよびpは、両端を含めて1〜6の範囲である。例えば、酸化ケイ素は、Siであり、ここで、nは、0.5〜1.5の範囲であり、mは、1.5〜3.5の範囲である。より好ましくは、酸化ケイ素層は、SiOまたはSiOである。これらの膜は、水素を典型的には0原子%〜15原子%で含むこともできる。しかし、定期的に測定されるのではないため、他に明記されない限り、得られる任意の膜の組成では、それらのH含有量は無視される。
本発明の性質および目的のさらなる理解のため、添付の図面とともに以下の詳細な説明を参照すべきである。
液体4族遷移金属含有膜形成用組成物送出装置1の一実施形態の側面図である。 4族遷移金属含有膜形成用組成物送出装置1の第2の実施形態の側面図である。 固体の4族遷移金属含有膜形成用組成物を昇華させるための固体前駆体昇華器100の例示的な一実施形態である。
4族遷移金属含有膜形成用組成物が開示される。4族遷移金属含有膜形成用組成物は、以下の構造式:
Figure 0006934524
をそれぞれ指す、化学式L−M−C−1−[(ER−(ER−L’]−2−[(ER−(ER−L’]−およびL−M−C−1−[(ER−(ER)−L’]−3−[(ER−(ER−L’]−を有する4族遷移金属前駆体を含み、式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Eは、独立してC、Si、B、またはPであり;mおよびnは、独立して0、1、または2であり;m+n>1であり;oおよびpは、独立して0、1、または2であり;o+p>1であり;各Rは、独立して水素またはC〜C炭化水素基であり;隣接するRは、連結してヒドロカルビル環を形成していてもよく;各Lは、独立して、NR’、OR’、Cp、アミジナート、β−ジケトナート、またはケト−イミナートからなる群から選択される−1アニオン性配位子であり、ここで、R’は、HまたはC〜C炭化水素基であ;、隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各L’は、独立してNR”またはOであり、ここで、R”は、HまたはC〜C炭化水素基である。
各EがCであり、m+n=o+p=2であり、かつ同じRがCに結合している例示的な4族遷移金属前駆体としては、限定するものではないが、
L−M−C−1−[(CR−L’]−2−[(CR−L’]−、
L−M−C−1−[(CR−L’]−3−[(CR−L’]−、
(式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Rは、独立して水素または最大4個の炭素原子を有するヒドロカルビル基であり、隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各Lは、独立して、NR’、OR’、Cp、アミジナート、β−ジケトナート、およびケト−イミナートからなる群から選択される−1アニオン性配位子であり、ここで、R’は、HまたはC〜C炭化水素基であり、隣接するR’は、連結してヒドロカルビル環を形成していてもよく;各L’は、独立してNR”またはOであり、ここで、R”は、HまたはC〜C炭化水素基である)
が挙げられる。
具体的な例としては、限定するものではないが、(MeN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(EtN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(PrN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(PrN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(Cp)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(MeO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(EtO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(PrO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(PrO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−3−[(CH−NMe]−、(MeN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(EtN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(PrN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(PrN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(Cp)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(HO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(MeO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(EtO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(PrO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(PrO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−C−1−[(CH−NMe]−2−[(CH−NMe]−、(MeN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(EtN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(PrN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(PrN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(Cp)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(HO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(MeO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(EtO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(PrO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(PrO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−3−[(CH−NEt]−、(MeN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(EtN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(PrN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(PrN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(Cp)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(HO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(MeO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(EtO)−M−C−1−[(CH
−NEt]−2−[(CH−NEt]−、(PrO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(PrO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−C−1−[(CH−NEt]−2−[(CH−NEt]−、(MeN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(EtN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(Cp)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(HO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(MeO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(EtO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(MeN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(EtN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(Cp)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(HO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(MeO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(EtO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(MeN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(EtN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(Cp)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(HO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(MeO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(EtO)−M−C
−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−3−[(CH−NPr]−、(MeN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(EtN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(Cp)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(HO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(MeO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(EtO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−C−1−[(CH−NPr]−2−[(CH−NPr]−、(MeN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−2−[(CH
−NBu]−、(MeO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−C−1−[(CH
−NBu]−3−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(Bu
N)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−C−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−C−1−[(CH−O]−3−[(CH−O]−、(EtN)−M−C−1−[(CH−O]−3−[(CH−O]−、(PrN)−M−C−1−[(CH−O]−3−[(CH−O]−、(PrN)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−3−[(CH−O]−、(Cp)−M−C−1−[(CH−O]−3−[(CH−O]−、(HO)−M−C−1−[(CH−O]−3−[(CH−O]−、(MeO)−M−C−1−[(CH−O]−3−[(CH−O]−、(EtO)−M−C−1−[(CH−O]−3−[(CH−O]−、(PrO)−M−C−1−[(CH−O]−3−[(CH−O]−、(PrO)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−3−[(CH−O]−、(MeN)−M−C−1−[(CH−O]−2−[(CH−O]−、(EtN)−M−C−1−[(CH−O]−2−[(CH−O]−、(PrN)−M−C−1−[(CH−O]−2−[(CH−O]−、(PrN)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−C−1−[(CH−O]−2−[(CH−O]−、(Cp)−M−C−1−[(CH−O]−2−[(CH−O]−、(HO)−M−C−1−[(CH−O]−2−[(CH−O]−、(MeO)−M−C−1−[(CH−O]−2−[(CH−O]−、(EtO)−M−C−1−[(CH−O]−2−[(CH−O]−、(PrO)−M−C−1−[(CH−O]−2−[(CH−O]−、(PrO)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−C−1−[(CH−O]−2−[(CH−O]−、(MeN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(EtN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(PrN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(PrN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(Cp)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(HO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(MeO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(EtO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(PrO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(PrO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−C−1−
[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−3−[(CMe−NH]−、(MeN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(EtN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(PrN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(PrN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(Cp)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(HO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(MeO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(EtO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(PrO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(PrO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−C−1−[(CMe−NH]−2−[(CMe−NH]−、(MeN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(EtN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(Cp)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(HO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(MeO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(EtO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−3−[(CMe−NMe]−、(MeN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(EtN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(Cp)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(MeO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(EtO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−C−1−[(CMe−NMe]−2−[(CMe−NMe]−、(MeN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(EtN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrN)−M
−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(Cp)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(MeO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(EtO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−3−[(CMe−NEt]−、(MeN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(EtN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(Cp)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(MeO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(EtO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−C−1−[(CMe−NEt]−2−[(CMe−NEt]−、(MeN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(Cp)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe
−NPr]−2−[(CMe−NPr]−、(Cp)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(Cp)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(Cp)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−C−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe
−NBu]−、(Cp)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(Cp)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−C−1−
[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−C−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(EtN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(PrN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(PrN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(Cp)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(MeO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(EtO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(PrO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(PrO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−3−[(CMe−O]−、(MeN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(EtN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(PrN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(PrN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(Cp)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(MeO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(EtO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(PrO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(PrO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−C−1−[(CMe−O]−2−[(CMe−O]−、(MeN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(EtN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(PrN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(PrN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(Cp)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(HO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(MeO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(EtO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(PrO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(PrO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−3−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−3−
[(CH−NH]−、(HN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(MeN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(EtN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(PrN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(PrN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuN)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(Cp)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(HO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(MeO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(EtO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(PrO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(PrO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(BuO)−M−CMe−1−[(CH−NH]−2−[(CH−NH]−、(HN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(MeN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(EtN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(PrN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(PrN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(Cp)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(HO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(MeO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(EtO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(PrO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(PrO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−3−[(CH−NMe]−、(HN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(MeN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(EtN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(PrN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(PrN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuN)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(Cp)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(HO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(MeO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(EtO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(PrO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(PrO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(BuO)−M−CMe−1−[(CH−NMe]−2−[(CH−NMe]−、(HN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(MeN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(EtN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(PrN)−M−CMe
−1−[(CH−NEt]−3−[(CH−NEt]−、(PrN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(Cp)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(HO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(MeO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(EtO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(PrO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(PrO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−3−[(CH−NEt]−、(HN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(MeN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(EtN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(PrN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(PrN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuN)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(Cp)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(HO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(MeO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(EtO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(PrO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(PrO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(BuO)−M−CMe−1−[(CH−NEt]−2−[(CH−NEt]−、(HN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(MeN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(EtN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(Cp)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(HO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(MeO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(EtO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(HN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(MeN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(EtN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−C
Me−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(Cp)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(HO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(MeO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(EtO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(HN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(MeN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(EtN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(Cp)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(HO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(MeO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(EtO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−3−[(CH−NPr]−、(HN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(MeN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(EtN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuN)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(Cp)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(HO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(MeO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(EtO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(PrO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH
−NPr]−2−[(CH−NPr]−、(BuO)−M−CMe−1−[(CH−NPr]−2−[(CH−NPr]−、(HN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−3−
[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2
−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−3−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuN)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(Cp)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(MeO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(EtO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(PrO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(BuO)−M−CMe−1−[(CH−NBu]−2−[(CH−NBu]−、(HN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(MeN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(EtN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(PrN)−M−CMe−1−[(CH−O]−3−[(CH
−O]−、(PrN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(Cp)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(HO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(MeO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(EtO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(PrO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(PrO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−3−[(CH−O]−、(HN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(MeN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(EtN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(PrN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(PrN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuN)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(Cp)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(HO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(MeO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(EtO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(PrO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(PrO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(BuO)−M−CMe−1−[(CH−O]−2−[(CH−O]−、(HN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(MeN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(EtN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(PrN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(PrN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(Cp)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(HO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(MeO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(EtO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(PrO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(PrO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−3−[(CMe−NH]−、(HN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(MeN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(EtN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(PrN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(PrN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuN)−M−CMe
−1−[(CMe−NH]−2−[(CMe−NH]−、(Cp)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(HO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(MeO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(EtO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(PrO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(PrO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(BuO)−M−CMe−1−[(CMe−NH]−2−[(CMe−NH]−、(HN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(MeN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(EtN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(Cp)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(HO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(MeO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(EtO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(PrO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−3−[(CMe−NMe]−、(HN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(MeN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(EtN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuN)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(Cp)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(HO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(MeO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(EtO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(PrO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(BuO)−M−CMe−1−[(CMe−NMe]−2−[(CMe−NMe]−、(HN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(MeN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(EtN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(Cp)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(HO)−M−CMe−1−[(CMe
−NEt]−3−[(CMe−NEt]−、(MeO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(EtO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(PrO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−3−[(CMe−NEt]−、(HN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(MeN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(EtN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuN)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(Cp)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(HO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(MeO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(EtO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(PrO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(BuO)−M−CMe−1−[(CMe−NEt]−2−[(CMe−NEt]−、(HN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(Cp)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(HO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(HN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−C
Me−1−[(CMe−NPr]−2−[(CMe−NPr]−、(Cp)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(HO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(HN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(Cp)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(HO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(MeO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(EtO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−3−[(CMe−NPr]−、(HN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuN)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(Cp)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(HO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(MeO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(EtO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(PrO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(BuO)−M−CMe−1−[(CMe−NPr]−2−[(CMe−NPr]−、(HN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−3−[(CM
−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe
−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−2−[(CMe
−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−3−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuN)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(Cp)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(MeO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(EtO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(PrO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(BuO)−M−CMe−1−[(CMe−NBu]−2−[(CMe−NBu]−、(HN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(MeN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(EtN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(PrN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(PrN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(
BuN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(Cp)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(HO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(MeO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(EtO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(PrO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(PrO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−3−[(CMe−O]−、(HN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(MeN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(EtN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(PrN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(PrN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuN)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(Cp)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(HO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(MeO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(EtO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(PrO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(PrO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(BuO)−M−CMe−1−[(CMe−O]−2−[(CMe−O]−、(HN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(MeN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(EtN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(Cp)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(HO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(MeO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(EtO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(HN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(MeN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(EtN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuN)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(Bu
)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(Cp)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(HO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(MeO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(EtO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(PrO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(BuO)−M−C−1−Me−2−[(CH−NH]−4−[(CH−NH]−、(HN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(MeN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(EtN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(Cp)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(HO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(MeO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(EtO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(HN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(MeN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(EtN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuN)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(Cp)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(HO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(MeO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(EtO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(PrO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(BuO)−M−C−1−Me−2−[(CH−NMe]−4−[(CH−NMe]−、(HN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(MeN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(EtN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH
−NEt]−、(Cp)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(HO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(MeO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(EtO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(HN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(MeN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(EtN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuN)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(Cp)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(HO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(MeO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(EtO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(PrO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(BuO)−M−C−1−Me−2−[(CH−NEt]−4−[(CH−NEt]−、(HN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(Cp)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−N
r]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(Cp)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(Cp)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuN)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(Cp)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(MeO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(EtO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(PrO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C−1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(BuO)−M−C
1−Me−2−[(CH−NPr]−4−[(CH−NPr]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(
CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−N
Bu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuN)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(Cp)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(MeO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(EtO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(PrO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH
−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(BuO)−M−C−1−Me−2−[(CH−NBu]−4−[(CH−NBu]−、(HN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(MeN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(EtN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(Cp)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(HO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(MeO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(EtO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(HN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(MeN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(EtN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuN)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(Cp)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(HO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(MeO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(EtO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(PrO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(BuO)−M−C−1−Me−2−[(CH−O]−4−[(CH−O]−、(HN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(MeN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(EtN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(Cp)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(HO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(MeO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(EtO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe
−NH]−、(HN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(MeN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(EtN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuN)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(Cp)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(HO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(MeO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(EtO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(PrO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(BuO)−M−C−1−Me−2−[(CMe−NH]−4−[(CMe−NH]−、(HN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(MeN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(EtN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(Cp)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(HO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(MeO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(EtO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(HN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(MeN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(EtN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuN)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(Cp)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(HO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(MeO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(EtO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(PrO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe−NMe]−4−[(CMe−NMe]−、(BuO)−M−C−1−Me−2−[(CMe
−NMe]−4−[(CMe−NMe]−、(HN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(MeN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(EtN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(Cp)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(HO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(MeO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(EtO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(HN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(MeN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(EtN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuN)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(Cp)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(HO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(MeO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(EtO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(PrO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(BuO)−M−C−1−Me−2−[(CMe−NEt]−4−[(CMe−NEt]−、(HN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(Cp)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe
−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(Cp)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(Cp)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe
−NPr]−4−[(CMe−NPr]−、(BuN)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(Cp)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(MeO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(EtO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(PrO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(BuO)−M−C−1−Me−2−[(CMe−NPr]−4−[(CMe−NPr]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、
(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−
M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe
−NBu]−4−[(CMe−NBu]−、(PrN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuN)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(Cp)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(MeO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(EtO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(PrO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(BuO)−M−C−1−Me−2−[(CMe−NBu]−4−[(CMe−NBu]−、(HN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(MeN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(EtN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(Cp)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(HO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(MeO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(EtO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(HN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(MeN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(EtN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuN)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(Cp)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(HO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(MeO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(EtO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(PrO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−、(BuO)−M−C−1−Me−2−[(CMe−O]−4−[(CMe−O]−が挙げられ、これらの式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり、Cp基中以外の炭素は、Si、B、またはPで置換されていてもよい。
好ましい前駆体としては、次の構造:
Figure 0006934524
に対応する、(MeN)−Zr−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(MeN)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−、(Cp)−Zr−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(Cp)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−、(MeN)−Hf−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(MeN)−Hf−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−、(Cp)−Hf−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(Cp)−Hf−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−が挙げられる。
本発明者らは、上の構造を有する、すなわちCp基と4族遷移金属との間に2つの架橋を有し、架橋中に1つの窒素または1つの酸素配位子を有する4族遷移金属前駆体が、米国特許第8,946,096号明細書のCp−アミノ架橋された前駆体(例えば、安定な熱安定性および高い蒸気圧を有する前駆体)と同様であるかまたはそれよりさらに優れた熱安定性を与え得ることを認識している。さらに、開示される4族遷移金属前駆体の液体状態は、前駆体が液体状態で供給されてから反応器に導入される前に気化される直接液体注入(DLI)において使用することができる。
4族遷移金属前駆体は、(i)それらが保管される容器から反応チャンバー中への迅速で再現可能な送出を行うのに十分な揮発性と、(ii)キャニスター中での保管中の分解を回避するため、および高温、典型的には>275℃におけるALDモードでの自己停止成長を可能にするための高い熱安定性と、(iii)所望の膜への容易な変換のための、基板の末端基および反応ガスとの適切な反応性と、(iv)低不純物の膜を得るための高純度とを示し得る。
前駆体は、理想的には液体であり、バブラーまたは直接液体注入システムによって気化されるが、XuらのPCT公開国際公開第2009/087609号パンフレットに開示されるものなどの昇華器を用いてALDおよびCVD前駆体の気化のために固体前駆体を用いることも可能である。あるいは、固体前駆体を溶媒と混合するかまたは溶媒中に溶解させて、直接液体注入システムによる使用に有用な融点および粘度に到達させることができる。
開示される4族遷移金属含有前駆体は、ジクロロメタン、THFまたはエーテルなどの適切な溶媒中において、対応するハロゲン化4族遷移金属含有R基化合物(すなわちRMX(式中、RおよびMは、上で定義されており、Xは、Cl、BrまたはIである))を、対応するアルカノールアミンおよびアルキルアミンと低温で反応させることによって合成することができる。RMX、アルカノールアミンおよびアルキルアミンは、市販されている。添加が完了した後、混合物を撹拌しながら室温まで温める。溶媒は、真空下で除去される。残渣をトルエンなどの溶媒中に溶解する。得られた混合物を濾過する。溶媒を除去すると、粗製4族遷移金属含有前駆体が得られる。
あるいは、開示される4族遷移金属含有前駆体は、ヘプタン、ジクロロメタン、THFまたはエーテルなどの適切な溶媒中において、対応する4族遷移金属含有アルコキシR基化合物(すなわちRM(OR’’)(式中、RおよびMは、上で定義されており、R’’はC〜Cアルキル基である))を、対応するアルカノールアミンと低温で反応させることによって合成することができる。RM(OR’’)およびアルカノールアミンは、市販されている。添加が完了した後、混合物を撹拌しながら室温まで温める。溶媒を真空下で除去することで粗製4族遷移金属含有前駆体が得られる。
別の代替形態では、開示される4族遷移金属含有前駆体は、ヘプタン、ジクロロメタン、THFまたはエーテルなどの適切な溶媒中において、対応する4族遷移金属含有アミドR基化合物(すなわちRM(NR’’’(式中、RおよびMは、上で定義されており、R’’’はC〜Cアルキル基である))を、対応するアルカノールアミンと低温で反応させることによって合成することができる。RM(NR’’’およびアルカノールアミンは、市販されている。添加が完了した後、混合物を撹拌しながら室温まで温める。溶媒を真空下で除去することで粗製4族遷移金属含有前駆体が得られる。
別の代替形態では、本開示の4族遷移金属含有前駆体は、トルエン、ヘプタン、ジクロロメタン、THF、またはエーテルなどの適切な溶媒中で、対応する4族遷移金属含有アミド(すなわち、M(NR’’’)、式中、Mは、上で定義されており、R’’’は、C1〜C6アルキル基である)を、対応するCp含有アミンまたはCp含有アミンと低温で反応させることによって合成することができる。M(NR’’’およびCp含有アミンまたはCp含有アミンは市販されている。添加が完了した後、混合物を撹拌しながら室温まで温める。溶媒を真空下で除去することで、粗製4族遷移金属含有前駆体が得られる。
プロセスの信頼性を保証するために、4族遷移金属含有膜形成用組成物は、使用前に連続または分別バッチ蒸留または昇華により、約93%w/w〜約100%w/wの範囲、好ましくは約99%w/w〜約100%w/wの範囲の純度まで精製することができる。4族遷移金属含有膜形成用組成物は、以下の不純物のいずれかを含むことがある:望ましくない同種の化学種;溶媒;塩素化金属化合物;または他の反応生成物。1つの代替形態では、これらの不純物の総量は、0.1%w/w未満である。
精製された4族遷移金属含有膜形成用組成物中のヘキサン、ペンタン、ジメチルエーテルまたはアニソールのそれぞれの濃度は、約0%w/w〜約5%w/w、好ましくは約0%w/w〜約0.1%w/wの範囲であり得る。溶媒は、組成物の合成に使用することができる。前駆体からの溶媒の分離は、両方が同様の沸点を有する場合に困難であり得る。混合物を冷却することにより、液体溶媒中に固体前駆体が生成されることがあり、これは、濾過によって分離することができる。ほぼその分解点を超えるまで前駆体生成物が加熱されないのであれば、減圧蒸留を使用することもできる。
1つの代替形態では、開示される4族遷移金属含有膜形成用組成物は、5%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、さらにより好ましくは0.01%v/v未満のその望ましくない同種の化学種、反応物または他の反応生成物のいずれかを含む。この代替形態では、より良いプロセス再現性を得ることができる。この代替形態は、4族遷移金属含有前駆体の蒸留によって得ることができる。
別の代替形態では、特に混合物によって改善されたプロセスパラメータが得られる場合または標的化合物の単離が非常に困難であるかもしくは費用がかかる場合、開示される4族遷移金属含有膜形成用組成物は、5%v/v〜50%v/vの同種の4族遷移金属含有前駆体、反応物または他の反応生成物の1つ以上を含むことができる。例えば、2つの4族遷移金属前駆体の混合物から、気相成長に適切となる安定な液体混合物を生成することができる。
精製された4族遷移金属含有膜形成用組成物中の微量の金属および半金属の濃度は、それぞれ約0ppb〜約100ppb、より好ましくは約0ppb〜約10ppbの範囲であり得る。これらの金属不純物としては、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)および亜鉛(Zn)が挙げられるが、これらに限定されるものではない。
気相成長法を用いて基板上に4族遷移金属含有層を形成する方法も開示される。この方法は、半導体、光起電力、LCD−TFTまたはフラットパネル型のデバイスの製造において有用であり得る。当業者に周知のあらゆる堆積方法を用いる4族遷移金属含有薄膜の堆積に、開示される4族遷移金属含有膜形成用組成物を用いることができる。適切な気相成長方法の例としては、化学気相成長(CVD)または原子層堆積(ALD)が挙げられる。代表的なCVD方法としては、熱CVD、プラズマ支援CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、準大気圧CVD(SACVD)または大気圧CVD(APCVD)、ホットワイヤーCVD(HWCVD、cat−CVDとしても知られ、ホットワイヤーが堆積プロセスのエネルギー源として機能する)、ラジカル組み込みCVDおよびそれらの組合せが挙げられる。代表的なALD方法としては、熱ALD、プラズマ支援ALD(PEALD)、空間隔離ALD、ホットワイヤーALD(HWALD)、ラジカル組み込みALDおよびそれらの組合せが挙げられる。超臨界流体堆積を使用することもできる。適切なステップカバレージおよび膜圧制御を得るために、堆積方法は、好ましくは、ALD、空間ALDまたはPE−ALDである。さらに、開示される4族遷移金属含有膜形成用組成物は、それらの熱安定性によって完全な自己停止成長が可能となるため、ALDプロセスに特に適している。
出願人らは、N−M結合が前駆体を安定化させ、これを熱的に頑丈にすると考えている。これは、高アスペクト比の構造におけるコンフォーマルなALD堆積中に役立ち得る。O−M結合は、基板表面上のヒドロキシル基への優れた反応性を付与し、ALD堆積において望まれる必要な物理的または化学的収着を可能にする。最後に、LがCp(置換または無置換)である場合、本出願人らは、Cpが表面上のM原子の上の傘のように留まり、完全な自己ALD成長を確実にすると考えている。
開示される4族遷移金属含有膜形成用組成物は、ニートで供給することができ、またはエチルベンゼン、キシレン、メシチレン、デカンおよび/もしくはドデカンなどの適切な溶媒をさらに含むことができる。開示される4族遷移金属前駆体は、溶媒中に種々の濃度で存在することができる。
ニートのまたは混合された4族遷移金属含有膜形成用組成物は、配管および/または流量計などの従来手段によって蒸気形態で反応器中に導入される。従来の気化ステップ、例えば直接気化、蒸留もしくはバブリングにより、またはXuらのPCT公開国際公開第2009/087609号パンフレットに開示されるものなどの昇華器を用いることにより、ニートのまたは混合された組成物を気化させることによって蒸気形態を得ることができる。反応器中に導入する前に気化させる場合、組成物を液体状態で蒸発器に供給することができる(直接液体注入または「DLI」)。あるいは、組成物を収容する容器中にキャリアガスを流すことにより、またはキャリアガスを組成物中にバブリングすることにより、組成物を気化させることができる。キャリアガスとしては、Ar、He、Nおよびそれらの混合物を挙げることができるが、これらに限定されるものではない。キャリアガスのバブリングにより、ニートのまたは混合された化合物溶液中に存在するあらゆる溶存酸素を除去することもできる。キャリアガスと蒸気形態の組成物とは、次に蒸気として反応器中に導入される。
必要に応じて、組成物は、その液相にあることができ、十分な蒸気圧を有することができる温度まで容器を加熱することができる。容器は、例えば、約50℃〜約180℃の範囲内の温度に維持することができる。当業者は、気化させる組成物の量を制御するために周知の方法で容器の温度を調節できることを認識する。
4族遷移金属含有膜形成用組成物は、開示される4族遷移金属含有膜形成用組成物送出装置によって半導体処理ツールまで送出することができる。図1および2は、開示される送出装置1の2つの実施形態を示す。
図1は、4族遷移金属含有膜形成用組成物送出装置1の一実施形態の側面図である。図1では、開示される4族遷移金属含有膜形成用組成物11は、少なくとも2つの導管、入口導管3および出口導管4を有する容器2内に収容される。前駆体分野の当業者であれば、高温および高圧でも気体の形態の4族遷移金属含有膜形成用組成物11の漏れが防止されるように容器2、入口導管3および出口導管4が製造されることを認識するであろう。
適切なバルブとしては、ばね荷重バルブまたはダイヤフラムが取り付けられたバルブが挙げられる。バルブは、制限流オリフィス(RFO)をさらに含むことができる。送出装置1は、ガスマニホールドに接続され、エンクロージャー中にあるべきである。ガスマニホールドにより、あらゆる残留量の材料が反応しないように、送出装置1が交換されるときに空気に曝露し得る配管の安全な排気およびパージが可能となるであろう。
送出装置1は、漏れが生じないようにする必要があり、閉じたときにわずかな量の材料も漏れないようにすることができるバルブを取り付ける必要がある。送出装置1は、バルブ6および7により、前述の開示のガスキャビネットなどの半導体処理ツールの別の構成要素に流体接続される。好ましくは、容器2、入口導管3、バルブ6、出口導管4およびバルブ7は、典型的には316L EPステンレス鋼でできている。
図1では、入口導管3の末端8は、4族遷移金属含有膜形成用組成物11の表面より上に位置する一方、出口導管4の末端9は、4族遷移金属含有膜形成用組成物11の表面より下に位置する。この実施形態では、4族遷移金属含有膜形成用組成物11は、好ましくは、液体形態である。限定するものではないが、窒素、アルゴン、ヘリウムおよびそれらの混合物などの不活性ガスを入口導管3内に導入することができる。不活性ガスによって容器2が加圧され、それにより、液体の4族遷移金属含有膜形成用組成物11は、出口導管4から半導体処理ツールの構成要素(図示せず)まで押し出される。半導体処理ツールとしては、修復されるウェハが配置され、気相での処理が行われるチャンバーに蒸気を送出するために、ヘリウム、アルゴン、窒素またはそれらの混合物などのキャリアガスを使用してまたは使用せずに、液体の4族遷移金属含有膜形成用組成物11を蒸気に変換する蒸発器を挙げることができる。あるいは、液体の4族遷移金属含有膜形成用組成物11は、ジェットまたはエアロゾルとしてウェハ表面に直接送出することができる。
図2は、4族遷移金属含有膜形成用組成物送出装置1の第2の実施形態の側面図である。図2では、入口導管3の末端8は、4族遷移金属含有膜形成用組成物11の表面より下に位置する一方、出口導管4の末端9は、4族遷移金属含有膜形成用組成物11の表面より上に位置する。図2は、任意選択の加熱要素14も含み、これによって4族遷移金属含有膜形成用組成物11の温度を上昇させることができる。4族遷移金属含有膜形成用組成物11は、固体または液体の形態であり得る。限定するものではないが、窒素、アルゴン、ヘリウムおよびそれらの混合物などの不活性ガスが入口導管3内に導入される。不活性ガスは、4族遷移金属含有膜形成用組成物11中を流れ、不活性ガスと気化した4族遷移金属含有膜形成用組成物11との混合物を出口導管4に運び、半導体処理ツールの構成要素まで運ぶ。
図1および2の両方は、バルブ6および7を含む。当業者は、それぞれ導管3および4を通して流れることができるように、バルブ6および7を開放位置または閉鎖位置に配置できることを認識するであろう。4族遷移金属含有膜形成用組成物11が蒸気形態である場合または固相/液相の上で十分な蒸気圧が存在する場合、図1もしくは2の送出装置1または存在する任意の固体もしくは液体の表面より上に末端がある1つの導管を有するより単純な送出装置のいずれかを使用することができる。この場合、図1のバルブ6または図2のバルブ7のそれぞれを単に開放することにより、導管3または4から4族遷移金属含有膜形成用組成物11が蒸気形態で送出される。例えば、任意選択の加熱要素14を用いることにより、4族遷移金属含有膜形成用組成物11を蒸気形態で送出するのに十分な蒸気圧を得るために適切な温度に送出装置1を維持することができる。
図1および2では、4族遷移金属含有膜形成用組成物送出装置1の2つの実施形態が開示されているが、当業者は、本明細書における本開示から逸脱することなく、入口導管3および出口導管4の両方を4族遷移金属含有膜形成用組成物11の表面より上に配置できることを認識するであろう。さらに、入口導管3は、充填口であり得る。
4族遷移金属含有膜形成用組成物が固体である場合、昇華器を用いてそれらの蒸気を反応器に送出することができる。図3は、適切な昇華器100の一実施形態を示す。昇華器100は、容器33を含む。容器33は、円筒形容器であり得、またはこれとは別に制限なくあらゆる形状であり得る。容器33は、ステンレス鋼、ニッケルおよびその合金、石英、ガラスならびに他の化学的に適合する材料などの材料で構成され、制限はない。ある場合には、容器33は、別の金属または金属合金で構成され、制限はない。ある場合には、容器33は、約8センチメートル〜約55センチメートルの内径を有し、あるいは約8センチメートル〜約30センチメートルの内径を有する。当業者によって理解されるように、別の構成は、別の寸法を有することができる。
容器33は、封止可能な上部15、封止部材18およびガスケット20を含む。封止可能な上部15は、外部環境から容器33を封止するように構成される。封止可能な上部15は、容器33に到達できるように構成される。さらに、封止可能な上部15は、容器33中への導管の通路のために構成される。あるいは、封止可能な上部15は、容器33中に流体が流れるように構成される。封止可能な上部15は、容器33との流体接触を維持するための浸漬管92を含む導管を収容し、それが貫通するように構成される。制御バルブ90および取付具95を有する浸漬管92は、キャリアガスが容器33中に流れるように構成される。ある場合には、浸漬管92は、容器33の中心軸の下方に延在する。さらに、封止可能な上部15は、出口管12を含む導管を収容し、それが貫通するように構成される。キャリアガスと4族遷移金属含有膜形成用組成物の蒸気とは、出口管12を通って容器33から取り出される。出口管12は、制御バルブ10および取付具5を含む。ある場合には、出口管12は、昇華器100から膜堆積チャンバーまで送るためにガス送出マニホールドと流体連結される。
容器33および封止可能な上部15は、少なくとも2つの封止部材18により、あるいは少なくとも約4つの封止部材により封止される。ある場合には、封止可能な上部15は、少なくとも約8つの封止部材18によって容器33に封止される。当業者によって理解されるように、封止部材18は、封止可能な上部15を容器33に取り外し可能に連結し、ガスケット20とともに耐ガス性シールを形成する。封止部材18は、容器33を封止するための当業者に周知のあらゆる適切な手段を含むことができる。ある場合には、封止部材18は、つまみねじを含む。
図3に示されるように、容器33は、内部に配置される少なくとも1つのディスクをさらに含む。ディスクは、固体材料のための棚または水平支持体を含む。ある実施形態では、ディスク30が容器33の内径または円周よりも小さい外径または円周を含み、開口部31を形成するように、内側ディスク30は、容器33内に環状に配置される。ディスク86が容器33の内径と同じ、ほぼ同じ、またはほぼ重なる外径または円周を含むように、容器内の周囲に外側ディスク86が配置される。外側ディスク86により、ディスクの中央に配置される開口部87が形成される。複数のディスクが容器33内に配置される。これらのディスクは、交互に積み重ねられ、内側ディスク30、34、36、44は、容器内で交互に外側ディスク62、78、82、86と垂直方向に積み重ねられる。実施形態では、内側ディスク30、34、36、44は、外側に向かって環状に延在し、外側ディスク62、78、82、86は、容器33の中央に向かって環状に延在する。図3の実施形態に示されるように、内側ディスク30、34、36、44は、外側ディスク62、78、82、86と物理的に接触しない。
組み立てられた昇華器100は、配列されかつ連結された支持脚50、内部通路51、同心の壁40、41、42および同心のスロット47、48、49を含む内側ディスク30、34、36、44を含む。内側ディスク30、34、36、44は、垂直に積み重ねられ、浸漬管92の周囲に環状の方向にある。さらに、昇華器は、外側ディスク62、78、82、86を含む。図3に示されるように、容器33からディスク62、78、82、86に熱が伝達するために良好な接触となるように、外側ディスク62、78、82、86は、容器33中に隙間なく嵌合すべきである。好ましくは、外側ディスク62、78、82、86は、容器33の内壁に結合されるかまたは物理的に接触する。
図示されるように、外側ディスク62、78、82、86と、内側ディスク30、34、36、44とは、容器33の内側に積み重ねられる。容器33中で組み立てて昇華器100が形成されると、内側ディスク30、34、36、44は、組み立てられた外側ディスク62、78、82、86間で外側ガス通路31、35、37、45を形成する。さらに、外側ディスク62、78、82、86は、内側ディスク30、34、36、44の支持脚と内側ガス通路56、79、83、87を形成する。内側ディスク30、34、36、44の壁40、41、42は、固体前駆体を保持するための溝付きスロットを形成する。外側ディスク62、78、82、86は、固体前駆体を保持するための壁68、69、70を含む。組立中、内側ディスク30、34、36、44の環状スロット47、48、49および外側ディスク62、78、82、86の環状スロット64、65、66中に固体前駆体が入れられる。
図3は、任意の固体4族遷移金属含有膜形成用組成物の蒸気を反応器に送出することができる昇華器の一実施形態を開示しているが、当業者であれば、本明細書の教示から逸脱しない別の昇華器設計も適切であり得ることを認識するであろう。さらに、当業者であれば、本明細書の教示から逸脱せずに、開示される4族遷移金属含有膜形成用組成物11は、Jurcikらの国際公開第2006/059187号パンフレットに開示されるアンプルなどの別の送出装置を用いて半導体処理ツールに送出できることを認識するであろう。
反応チャンバーは、限定するものではないが、平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、枚葉式反応器、多葉式反応器または別のそのような種類の堆積システムなど、堆積方法が行われるあらゆるエンクロージャーまたはチャンバーであり得る。これらの代表的な反応チャンバーのすべては、ALD反応チャンバーとして機能することができる。反応チャンバーは、約0.5mTorr〜約20Torrの範囲、好ましくは約0.1Torr〜約5Torrの圧力に維持することができる。さらに、反応チャンバー内の温度は、約50℃〜約600℃の範囲であり得る。所望の結果を得るために、それぞれのIV族遷移金属含有前駆体に最適な堆積温度範囲を実験的に求めることができることを当業者は認識するであろう。
反応器には、薄膜がその上に堆積される1つ以上の基板が収容される。基板は、プロセスが行われる材料として一般に定義される。基板は、半導体、光起電力、フラットパネルまたはLCD−TFTのデバイスの製造に使用されるあらゆる適切な基板であり得る。適切な基板の例としては、シリコン、SiGe、シリカ、ガラスまたはGeなどのウェハが挙げられる。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック基板を使用することもできる。基板は、前の製造ステップで上に既に堆積された異なる材料の1つ以上の層を有することもできる。例えば、ウェハは、シリコン層(結晶性、非晶質、多孔質など)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層またはそれらの組合せを含むことができる。さらに、ウェハは、銅、コバルト、ルテニウム、タングステンおよび/または別の金属の層(例えば、白金、パラジウム、ニッケル、ルテニウムまたは金)を含むことができる。ウェハは、障壁層または電極、例えばタンタル、窒化タンタル等を含むことができる。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層を使用することもできる。層は、平面である場合もパターン化される場合もある。基板は、有機パターン化されたフォトレジスト膜であり得る。基板は、MIM、DRAMまたはFeRam技術における誘電体材料として使用される酸化物層(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料など)または電極として使用される窒化物系膜(例えば、TaN、TiN、NbN)を含むことができる。開示される方法により、ウェハの上に直接またはウェハの上面上の1つもしくは2つ以上の層(パターン化された複数の層が基板を形成する場合)の上に直接、IV族含有層を堆積することができる。さらに、当業者であれば、本明細書において使用される「膜」または「層」という用語は、表面上に配置されるかまたは広げられるある厚さのある材料を意味し、この表面は、トレンチまたは線であり得ることを認識するであろう。本明細書および請求項の全体にわたって、ウェハおよびその上の任意の関連する層が基板と呼ばれる。使用される実際の基板は、使用される特定の前駆体の実施形態によっても左右され得る。しかし、多くの場合、使用される好ましい基板は、TiN、NbN、Ru、SiおよびSiGe型の基板、例えばポリシリコンまたは結晶シリコンの基板から選択される。例えば、4族金属酸化物膜をTiN基板上に堆積することができる。引き続く処理において、TiN層を4族金属酸化物層の上に堆積して、DRAMキャパシタとして使用されるTiN/4族金属酸化物/TiNスタックを形成することができる。金属酸化物層自体は、4族金属酸化物、5族金属酸化物、Al、SiOおよびMoOから一般に選択される種々の金属酸化物の数層のスタックから作られ得る。
反応器内の温度および圧力は、気相成長に適切な条件に維持される。換言すると、気化した組成物をチャンバー中に導入した後、チャンバー内の条件は、気化した4族遷移金属含有前駆体の一部が基板上に堆積して4族遷移金属含有膜を形成するような条件である。例えば、反応器内の圧力は、堆積パラメータに準拠して必要に応じて約1Pa〜約10Pa、より好ましくは約25Pa〜約10Paに維持することができる。同様に、反応器内の温度は、約100℃〜約500℃、好ましくは約200℃〜約450℃に維持することができる。当業者であれば、「気化した4族遷移金属含有前駆体の少なくとも一部が堆積する」とは、前駆体の一部またはすべてが基板と反応するかまたは基板に付着することを意味することを認識するであろう。
反応器の温度は、基板ホルダーの温度の制御または反応器壁の温度の制御のいずれかによって制御することができる。基板の加熱に使用される装置は、当技術分野において周知である。反応器壁は、十分な成長速度において、所望の物理的状態および組成を有する所望の膜を得るのに十分な温度に加熱される。反応器壁を加熱できる非限定的で代表的な温度範囲としては、約100℃〜約500℃を挙げることができる。プラズマ堆積プロセスが使用される場合、堆積温度は、約50℃〜約400℃の範囲であり得る。あるいは、熱プロセスが行われる場合、堆積温度は、約200℃〜約450℃の範囲であり得る。
開示される4族遷移金属含有膜形成用組成物に加えて、反応物を反応器中に導入することもできる。反応物は、O、O、HO、H、NO、NO、NO、ジオール(エチレングリコールまたは水和ヘキサフルオロアセトンなど)、O・もしくはOH・などの酸素含有ラジカル、NO、NO、カルボン酸、ギ酸、酢酸、プロピオン酸およびそれらの混合物の1つなどの酸化性ガスであり得る。好ましくは、酸化性ガスは、O、O、HO、H、O・またはOH・などの酸素含有ラジカルおよびそれらの混合物からなる群から選択される。
あるいは、反応物は、H、NH、ヒドラジン(N、MeHNNH、MeNNH、MeHNNHMe、フェニルヒドラジンなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH、環状アミン、例えばピロリジンまたはピリミジンなど)、ジアミン(エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミンなど)、アミノアルコール(エタノールアミン[HO−CH−CH−NH]、ビスエタノールアミン[HN(COH)]またはトリスエタノールアミン[N(COH)]など)、ピラゾリン、ピリジン、それらのラジカルまたはそれらの混合物であり得る。好ましくは、反応物は、H、NH、それらのラジカルまたはそれらの混合物である。
別の代替形態では、反応物は、(SiHN、ヒドリドシラン(SiH、Si、Si、Si10、Si10またはSi12など)、クロロシランおよびクロロポリシラン(SiHCl、SiHCl、SiHCl、SiCl、SiHClまたはSiClなど)、アルキルシラン(MeSiH、EtSiH、MeSiH、EtSiHまたはフェニルシランなど)およびアミノシラン(トリス−ジメチルアミノシラン、ビス−ジエチルアミノシラン、ジ−イソプロピルアミノシランまたは他のモノ、ジスもしくはトリスアミノシランなど)、それらのラジカルまたはそれらの混合物であり得る。好ましくは、反応物は、(SiHNまたはアミノシランである。
反応物を分解してそのラジカル形態にするために、反応物をプラズマで処理することができる。プラズマで処理する場合、還元性ガスとしてNを使用することもできる。例えば、プラズマは、約50W〜約2500W、好ましくは約100W〜約400Wの出力で発生させることができる。プラズマは、反応器自体の内部で発生させるか、または反応器自体の内部に存在することができる。あるいは、一般に反応器から離れた位置において、例えば遠隔配置されたプラズマシステム中にプラズマが存在する。当業者であれば、このようなプラズマ処理に適切な方法および装置を認識するであろう。
例えば、反応チャンバー中でプラズマが発生する直接プラズマ反応器中に反応物を導入して、反応チャンバー中でプラズマ処理された反応物を生成することができる。代表的な直接プラズマ反応器としては、Trion Technologiesによって製造されるTitan(商標)PECVD Systemが挙げられる。反応物は、プラズマ処理前に反応チャンバー中に導入し維持することができる。あるいは、反応物の導入と同時にプラズマ処理を行うことができる。その場プラズマは、典型的には、シャワーヘッドと基板ホルダーとの間で発生する13.56MHzのRF誘導結合プラズマである。基板またはシャワーヘッドは、陽イオン衝突が起こるかどうかにより、電力印加電極であり得る。その場プラズマ発生器中の典型的な印加電力は、約30W〜約1000Wである。好ましくは、開示される方法において約30W〜約600Wの電力が使用される。より好ましくは、電力は、約100W〜約500Wの範囲である。その場プラズマを用いた反応物の解離は、同じ電力入力の遠隔プラズマ源を用いて実現される場合よりも典型的には少なく、したがって遠隔プラズマシステムほど反応物の解離が効率的ではなく、プラズマによって容易に損傷する基板上の4族遷移金属含有膜の堆積に有益であり得る。
あるいは、プラズマ処理した反応物は、反応チャンバーの外部で生成することができる。MKS InstrumentsのASTRONi(登録商標)反応ガス発生器を用いて、反応チャンバー中に送る前に反応物を処理することができる。2.45GHz、7kWのプラズマ出力および約0.5Torr〜約10Torrの範囲の圧力で運転すると、反応物Oは、分解して2つのOラジカルになることができる。好ましくは、遠隔プラズマは、約1kW〜約10kW、より好ましくは約2.5kW〜約7.5kWの出力で発生させることができる。
チャンバー内の気相成長条件により、開示されるIV族遷移金属含有膜形成用組成物および反応物を反応させて、4族遷移金属含有膜を基板上に形成することができる。いくつかの実施形態では、本出願人らは、反応物のプラズマ処理により、開示される組成物との反応に必要なエネルギーを有する反応物を得ることができると考えている。
堆積が望まれる膜の種類により、追加の前駆体化合物を反応器中に導入することができる。前駆体を用いることで、追加の元素を4族遷移金属含有膜に加えることができる。追加の元素としては、ランタニド(例えば、イッテルビウム、エルビウム、ジスプロシウム、ガドリニウム、プラセオジム、セリウム、ランタン、イットリウム)、ゲルマニウム、ケイ素、アルミニウム、ホウ素、リン、3族元素(すなわちSc、Y、LaもしくはAc)、別の4族元素もしくは5族元素(すなわちV、NbもしくはTa)またはこれらの混合物を挙げることができる。追加の前駆体化合物が使用される場合、結果として基板上に堆積される膜は、少なくとも1つの追加の元素とともに4族遷移金属を含む。
4族遷移金属含有膜形成用組成物および反応物は、同時(化学気相成長)、逐次(原子層堆積)またはそれらの異なる組合せのいずれかで反応器中に導入することができる。反応器には、組成物野導入と反応物の導入との間に不活性ガスをパージすることができる。あるいは、反応物および組成物は、ともに混合して反応物/化合物混合物を形成し、次に混合物の形態で反応器に導入することができる。別の一例では、反応物を連続的に導入し、4族遷移金属含有膜形成用組成物をパルスで導入する(パルス化学気相成長)。
気化した組成物および反応物は、逐次または同時に(例えば、パルスCVD)反応器中に送ることができる。組成物のそれぞれのパルスは、約0.01秒〜約100秒、あるいは約0.3秒〜約30秒、あるいは約0.5秒〜約10秒の範囲の時間にわたって続くことができる。反応物もパルスで反応器中に送ることができる。このような実施形態では、それぞれのガスのパルスは、約0.01秒〜約100秒、あるいは約0.3秒〜約30秒、あるいは約0.5秒〜約10秒にわたって続くことができる。別の代替形態では、気化した組成物および1つ以上の反応物は、下に数枚のウェハを保持するサセプターが広がるシャワーヘッドから同時に噴霧することができる(空間ALD)。
個別のプロセスパラメータにより、種々の時間の長さで堆積を行うことができる。一般に、堆積は、必要な性質を有する膜を形成するために所望の長さまたは必要な長さで続けることができる。典型的な膜厚は、個別の堆積プロセスにより、数オングストローム〜数百ミクロンで変動し得る。堆積プロセスは、所望の膜を得るために必要な回数で行うこともできる。
非限定的で代表的なCVD型プロセスの1つでは、気相の開示される4族遷移金属含有膜形成用組成物および反応物が同時に反応器中に導入される。これらの2つが反応し、その結果として4族遷移金属含有薄膜が形成される。この代表的なCVDプロセスにおける反応物がプラズマで処理される場合、代表的なCVDプロセスは、代表的なPECVDプロセスになる。反応物は、チャンバーに導入される前または後にプラズマで処理することができる。
非限定的で代表的なALD型プロセスの1つでは、気相の開示される4族遷移金属含有膜形成用組成物が反応器中に導入され、そこで4族遷移金属含有前駆体の基板上への物理吸着または化学吸着が起こる。過剰の組成物は、次に反応器のパージおよび/または排気によって反応器から除去することができる。所望のガス(例えば、O)が反応器中に導入されて、それが物理吸着または化学吸着した前駆体と自己停止方法で反応する。いかなる過剰の還元性ガスも反応器のパージおよび/または排気によって反応器から除去される。所望の膜が4族遷移金属膜である場合、この2ステッププロセスによって所望の膜厚を得ることができるか、または必要な厚さを有する膜が得られるまで繰り返すことができる。
あるいは、所望の膜が4族遷移金属と第2の元素とを含む場合、前述の2ステッププロセス後、追加の前駆体化合物の蒸気を反応器中に導入することができる。追加の前駆体化合物は、堆積される4族遷移金属膜の性質に基づいて選択される。反応器中への導入後、追加の前駆体化合物は、基板と接触する。いかなる過剰の前駆体化合物も反応器のパージおよび/または排気によって反応器から除去される。再び、所望のガスを反応器中に導入して前駆体化合物と反応させることができる。過剰のガスは、反応器のパージおよび/または排気によって反応器から除去される。所望の膜厚が実現されれば、プロセスを終了することができる。しかし、より厚い膜が望まれる場合、この4ステッププロセス全体を繰り返すことができる。4族遷移金属含有化合物、追加の前駆体化合物および反応物の供給を交替で行うことにより、所望の組成および厚さの膜を堆積することができる。
この代表的なALDプロセスにおける反応物がプラズマで処理される場合、代表的なALDプロセスは、代表的なPEALDプロセスになる。反応物は、チャンバーに導入される前または後にプラズマで処理することができる。
第2の非限定的で代表的なALD型プロセスでは、気相の開示されるZr含有前駆体の1つ、例えばMeCpZr((−O−CH−CH−)N)が反応器中に導入され、そこでTiN基板と接触する。過剰のZr含有前駆体は、次に反応器のパージおよび/または排気によって反応器から除去することができる。所望のガス(例えば、O)が反応器中に導入されて、そこで吸収したZr含有前駆体と自己停止方法で反応してZrO膜を形成する。いかなる過剰の酸化性ガスも反応器のパージおよび/または排気によって反応器から除去される。これら2つのステップは、ZrO膜が所望の厚さになるまで繰り返すことができる。結果として得られるTiN/ZrO/TiNスタックは、DRAMキャパシタ中に使用することができる。ZrO金属酸化物膜は、種々の金属酸化物の積層体を含むより複雑なスタック中に含まれ得る。典型的には、ZrO/Al/ZrOのスタックが使用されるが、TiO/ZrO/Al/ZrO、ZrO/Nb/ZrO、ZrO/HfO/TiO/ZrOなどのスタックも使用される。
前述のプロセスの結果として得られる4族遷移金属含有膜は、4族遷移金属酸化物(MM’、ここで、iは、0〜1の範囲であり;xは、1〜6の範囲であり;およびM’は、3族元素、別の4族元素(すなわちM≠M’)、5族元素、ランタニド、Si、Al、B、PまたはGeから選択される)または4族遷移金属酸窒化物(MM’、ここで、iは、0〜1の範囲であり;xおよびyは、1〜6の範囲であり;およびM’は、3族元素、別の4族元素(すなわちM≠M’)、5族元素、ランタニド、Si、Al、B、PまたはGeから選択される)を含むことができる。当業者であれば、適切な開示される化合物、任意選択の前駆体化合物および反応物の化学種の公平な選択により、所望の膜組成を得ることができることを認識するであろう。
所望の膜厚を得た後、膜は、熱アニール、炉内アニール、高速熱アニール、UVもしくはeビーム硬化および/またはプラズマガス曝露などのさらなる処理を行うことができる。当業者であれば、これらのさらなる処理ステップを行うために用いられるシステムおよび方法を認識するであろう。例えば、4族遷移金属含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気またはそれらの組合せの下で、約200℃〜約1000℃からの範囲の温度に0.1秒〜約7200秒の範囲の時間にわたって曝露することができる。最も好ましくは、H含有雰囲気下またはO含有雰囲気下において、温度は、400℃で3600秒である。結果として得られる膜は、より少ない不純物を含むことができ、したがって密度を改善して漏れ電流を改善することができる。アニールステップは、堆積が行われる反応チャンバーと同じ反応チャンバー中で行うことができる。あるいは、基板を反応チャンバーから取り出すことができ、アニール/フラッシュアニールプロセスは、別の装置中で行われる。上記のいずれかの後処理方法、特に熱アニールは、4族遷移金属含有膜の炭素および窒素による汚染の軽減に有効であることが分かっている。これにより、したがって膜の抵抗率が改善される傾向にある。
本発明の性質を説明するために本明細書に記載され例示された詳細、材料、ステップおよび部品の配置の多くのさらなる変更形態は、添付の請求項に示される本発明の原理および範囲から逸脱せずに当業者によってなされ得ることを理解されたい。したがって、本発明は、前述の実施例および/または添付の図面中の特定の実施形態に限定されることを意図するものでない。

Claims (13)

  1. それぞれ次の構造式:
    Figure 0006934524
    を指す、式:
    L−M−C−1−[(ER−(ER−L’]−2−[(ER−(ER−L’]−および
    L−M−C−1−[(ER−(ER)−L’]−3−[(ER−(ER−L’]−
    (式中、Mは、Cp基へη結合モードで結合しているTi、Zr、またはHfであり;各Eは、独立してC、Si、B、またはPであり;mおよびnは、独立して0、1、または2であり、m+n>1であり;oおよびpは、独立して0、1、または2であり、o+p>1であり;各Rは、独立して水素またはC〜C炭化水素基であり;各Lは、独立して−1アニオン性配位子であり;各L’は、独立してNR”またはOであり、R”は、HまたはC〜C炭化水素基である)
    を有する4族遷移金属前駆体を含有する4族遷移金属膜形成用組成物。
  2. 前記−1アニオン性配位子は、NR’、OR’、Cp、アミジナート、β−ジケトナートおよびケト−イミナートからなる群から選択され、ここで、R’は、HまたはC〜C炭化水素基である、請求項1に記載の4族遷移金属含有膜形成用組成物。
  3. 各EがはCである、請求項2に記載の4族遷移金属含有膜形成用組成物。
  4. Mは、Zrである、請求項1に記載の4族遷移金属含有膜形成用組成物。
  5. 前記4族遷移金属前駆体が、(MeN)−Zr−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(MeN)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−、(Cp)−Zr−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、および(Cp)−Zr−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−からなる群から選択される、請求項4に記載の4族遷移金属含有膜形成用組成物。
  6. MがHfである、請求項3に記載の4族遷移金属含有膜形成用組成物。
  7. 前記4族遷移金属前駆体が、(MeN)−Hf−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、(MeN)−Hf−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−、(Cp)−Hf−C−1−(CH−CH−NMe)−3−(CH−CH−NMe)−、および(Cp)−Hf−C−1−Me−2−(CH−CH−NMe)−4−(CH−CH−NMe)−からなる群から選択される、請求項6に記載の4族遷移金属含有膜形成用組成物。
  8. MがTiである、請求項3に記載の4族遷移金属含有膜形成用組成物。
  9. 基板上への4族遷移金属含有膜の堆積の方法であって、
    反応器であって、その中に配置された基板を有する反応器内に請求項1〜8のいずれか一項に記載の4族遷移金属含有膜形成用組成物の蒸気を導入する工程と、
    前記4族遷移金属含有前駆体の少なくとも一部を前記基板上に堆積させる工程と
    を含む方法。
  10. 少なくとも1種の反応物を前記反応器に導入することをさらに含む、請求項9に記載の方法。
  11. 前記反応物が、O、O、HO、H、NO、NO、NO、これらの酸素ラジカル、およびこれらの混合物からなる群から選択される、請求項10に記載の方法。
  12. 前記反応物がM’含有前駆体であり、前記4族遷移金属含有膜がMM’(ここで、iは0〜1の範囲であり;xは1〜6の範囲であり、M’は3族元素、異なる4族元素、5族元素、ランタノイド、Si、Al、B、P、またはGeから選択される)である、請求項10に記載の方法。
  13. 前記4族遷移金属含有膜形成用組成物がDRAMキャパシタを形成するために使用される、請求項10に記載の方法。
JP2019536011A 2016-12-30 2017-12-14 ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積 Active JP6934524B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/396,183 US10465289B2 (en) 2016-12-30 2016-12-30 Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US15/396,183 2016-12-30
PCT/IB2017/001655 WO2018122602A1 (en) 2016-12-30 2017-12-14 Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same

Publications (2)

Publication Number Publication Date
JP2020504907A JP2020504907A (ja) 2020-02-13
JP6934524B2 true JP6934524B2 (ja) 2021-09-15

Family

ID=58523691

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019536011A Active JP6934524B2 (ja) 2016-12-30 2017-12-14 ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積

Country Status (5)

Country Link
US (2) US10465289B2 (ja)
JP (1) JP6934524B2 (ja)
KR (1) KR102459746B1 (ja)
CN (1) CN110121571B (ja)
WO (1) WO2018122602A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10337104B2 (en) 2016-12-30 2019-07-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10364259B2 (en) 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0328580B1 (en) 1987-06-17 1993-07-21 The Dow Chemical Company Catalyst and process for preparation of syndiotactic polystyrene
NZ235032A (en) 1989-08-31 1993-04-28 Dow Chemical Co Constrained geometry complexes of titanium, zirconium or hafnium comprising a substituted cyclopentadiene ligand; use as olefin polymerisation catalyst component
US5383778A (en) 1990-09-04 1995-01-24 James River Corporation Of Virginia Strength control embossing apparatus
DE4416876A1 (de) 1994-05-13 1995-11-16 Basf Ag Verfahren zur Herstellung von verbrückten Halbsandwichkomplexen
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
KR20000005030A (ko) 1996-03-27 2000-01-25 그레이스 스티븐 에스. 헤테로사이클릭 금속 착체 및 올레핀 중합 방법
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
BR9911158A (pt) 1998-06-12 2001-04-03 Univation Tech Llc Processos de polimerização de olefinas usando complexos ativados ácido-base de lewis
PT1225179E (pt) * 2001-01-18 2004-08-31 Repsol Quimica Sa Catalisadores de polimerizacao de olefinas
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
CN103147062A (zh) * 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
US20120145953A1 (en) 2009-07-01 2012-06-14 Pallem Venkateswara R LITHIUM PRECURSORS FOR LixMyOz MATERIALS FOR BATTERIES
US8592606B2 (en) * 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
US8404878B2 (en) * 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US20120196449A1 (en) 2011-01-27 2012-08-02 Advanced Technology Materials, Inc. Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films
US8946096B2 (en) * 2011-03-15 2015-02-03 Mecharonics Co. Ltd. Group IV-B organometallic compound, and method for preparing same
KR101263454B1 (ko) 2011-03-15 2013-11-27 주식회사 메카로닉스 지르코늄 금속을 함유하는 신규한 유기금속화합물 및 그 제조방법
US9598301B2 (en) 2011-11-29 2017-03-21 Corning Incorporated Temperature control of glass ribbons during forming
KR102215341B1 (ko) 2012-12-17 2021-02-16 솔브레인 주식회사 금속 전구체 및 이를 이용하여 제조된 금속 함유 박막
KR102251989B1 (ko) * 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법
KR102147190B1 (ko) * 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
JP6796950B2 (ja) * 2016-05-23 2020-12-09 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
KR101684660B1 (ko) 2016-07-08 2016-12-09 (주)이지켐 지르코늄 박막 형성용 전구체 조성물 및 이를 이용한 지르코늄 박막의 형성 방법
US20170107612A1 (en) 2016-12-30 2017-04-20 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10364259B2 (en) 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10337104B2 (en) 2016-12-30 2019-07-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same

Also Published As

Publication number Publication date
US20200032397A1 (en) 2020-01-30
US10465289B2 (en) 2019-11-05
CN110121571A (zh) 2019-08-13
US20170107617A1 (en) 2017-04-20
KR20190093220A (ko) 2019-08-08
JP2020504907A (ja) 2020-02-13
WO2018122602A1 (en) 2018-07-05
CN110121571B (zh) 2021-09-03
KR102459746B1 (ko) 2022-10-27

Similar Documents

Publication Publication Date Title
JP7022752B2 (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積
JP6865306B2 (ja) ニオブ含有膜形成用組成物及びニオブ含有膜の蒸着
JP6934521B2 (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを使用する第4族含有膜の堆積
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20200149165A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
JP6934524B2 (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積
US10689405B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
JP6941670B2 (ja) 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物
WO2018048480A1 (en) Group 4 transition metal-containing film forming compositions for vapor deposition of group 4 transition metal-containing films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190709

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20190712

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20190712

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210803

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210823

R150 Certificate of patent or registration of utility model

Ref document number: 6934524

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150