KR102492017B1 - 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물 - Google Patents

지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물 Download PDF

Info

Publication number
KR102492017B1
KR102492017B1 KR1020177018878A KR20177018878A KR102492017B1 KR 102492017 B1 KR102492017 B1 KR 102492017B1 KR 1020177018878 A KR1020177018878 A KR 1020177018878A KR 20177018878 A KR20177018878 A KR 20177018878A KR 102492017 B1 KR102492017 B1 KR 102492017B1
Authority
KR
South Korea
Prior art keywords
zirconium
cyclopentadienyl tris
tris
trifluoromethyl
cyclopentadienyl
Prior art date
Application number
KR1020177018878A
Other languages
English (en)
Other versions
KR20170097677A (ko
Inventor
클레멘트 랜슬렛-마트라스
줄리앙 레프리그
하나 이시이
크리스틴 더스사렛
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20170097677A publication Critical patent/KR20170097677A/ko
Application granted granted Critical
Publication of KR102492017B1 publication Critical patent/KR102492017B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F19/00Metal compounds according to more than one of main groups C07F1/00 - C07F17/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)

Abstract

하기 화학식들 중 하나를 갖는 게르마늄- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물이 개시된다. 또한, 개시된 조성물을 합성하는 방법 및 증기 증착 공정을 통해 기판 상에 지르코늄-함유 막을 증착시키기 위해 이러한 것을 사용하는 방법이 개시된다:
[화학식 I]
Figure 112017065172801-pct00011

[화학식 II]
Figure 112017065172801-pct00012

상기 식에서, 각각의 R1, R2, R3, R4, R5, R6, R7, R8, R9 및 R10은 독립적으로 H; C1-C5 선형, 분지형, 또는 환형 알킬 기; 및 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기로부터 선택된다.

Description

지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물{ZIRCONIUM-CONTAINING FILM FORMING COMPOSITIONS FOR VAPOR DEPOSITION OF ZIRCONIUM-CONTAINING FILMS}
관련 출원에 대한 상호 참조
본 출원은 2014년 12월 23일에 출원된 미국가출원 제14/580,352호의 이득을 청구하며, 이러한 문헌은 전문이 모든 목적을 위하여 본원에 참고로 포함된다.
기술분야
규소- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물이 기술된다. 또한, 기술된 조성물을 합성하는 방법, 및 증기 증착 공정을 통해 기판 상에 지르코늄-함유 막을 증착시키기 위한 이를 사용하는 방법이 기술된다.
다이나믹 랜덤 액세스 메모리(dynamic random access memory; DRAM)와 같은 반도체 소자가 축소됨에 따라, 높은 유전 상수를 갖는 새로운 물질이 요구되고 있다. 실제로, 보다 작은 표면적을 갖는 커패시터(capacitor)에서 충분한 전하를 저장하기 위하여, 보다 높은 유전율(permittivity)을 갖는 커패시터가 요구된다. 고-k 유전체들 중에서, 4족 계열 물질, 예를 들어, HfO2 또는 ZrO2는 이들의 유전 상수가 SiO2 또는 Al2O3 보다 더욱 높기 때문에, 매우 유망하다. 그러나, 이의 유전 상수는 이의 결정질 형태에 따라 달라진다[Thin Solid Films 486 (2005) 125-128].
두꺼운 ZrO2 층들은 불안정한 결정질 상(crystalline phase)을 가지고 보다 높은 누설 전류(leakage current)를 갖는 경향이 있다[Applied Physics Reviews (2012) version 14-9-2012]. 이러한 결함들을 방지하기 위하여, Al2O3의 얇은 층이 두 개의 ZrO2 층들 사이에 도입되어, 결정질 상을 안정화시키고 누설 전류를 감소시키는 소위 ZAZ 커패시터를 형성시켰다[Applied Physics Letters 93, 033511 (2008); J. Vac. Sci. Techno. A 4 (6), 1986; Microelectronic Engineering 86 (2009) 1789-1795].
입방체/정방정계 결정질 상의 ZrO2 층은 가장 높은 k-값을 갖는 상으로서, 이는 또한, ZrO2에 소량의 규소 또는 게르마늄을 도핑시킴으로써 안정화된다[규소에 대해 US2013/0208403A1호 및 게르마늄에 대해 문헌[Journal of Applied Physics, 2009, 106, 024107; Microelectronic Engineering, 2009, 86, 1626; Applied Physics Letters, 2011, 99, 121909] 참조].
하기에 나타낸 바와 같은, 시클로펜타디에닐 리간드를 함유한 4족 알칼아미드 전구체가 개발되었다[Dussarrat et al., WO2007/141059; Niinisto et al., Journal of Materials Chemistry (2008), 18(43), 5243-5247]. 이러한 전구체는 테트라키스 알킬아미드 전구체와 비교하여 보다 높은 열 안정성을 나타낸다.
Figure 112017065172801-pct00001
상기 식에서, R1은 H, Me, 또는 Et이며; R2 및 R3은 C1-C4 알킬 기이다.
이러한 화합물들과 유사하게, 하기에 나타낸 바와 같은 실릴시클로펜타디에닐 리간드를 함유한 4족 전구체가 문헌에 기재되어 있다:
Figure 112017065172801-pct00002
상기 식에서, R은 Cl, NMe2, OSiMe3, CH2SiMe3, C6H5 및 CH2Ph이다[Ti 메톡시 화합물에 대한 문헌[Journal of Organometallic Chemistry, 2004, 689, 1503], Ti 알킬아미노 화합물에 대해 JP2005/171291호(Tosoh), Zr 화합물에 대해 KR2008/0101040호(UP Chemical Co. Ltd.) 및 문헌[Journal of Organometallic Chemistry, 1997, 547, 287] 참조].
고온에서 조절된 두께 및 조성을 갖는 증기상 박막 증착을 위해 적합한 저점도를 가지면서 액체 또는 저융점(< 50℃)의 매우 열적으로 안정한 지르코늄 전구체 분자를 개발하는 것이 요구되고 있다.
하기 화학식들을 갖는 규소- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물이 기술된다:
[화학식 I]
Figure 112017065172801-pct00003
[화학식 II]
Figure 112017065172801-pct00004
상기 식에서, 각 R1, R2, R3, R4, R5, R6, R7, R8, R9 및 R10은 독립적으로 H; C1-C5 선형, 분지형, 또는 환형 알킬 기; 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기로부터 선택된다. R1, R2 및 R3은 동일하거나 상이할 수 있다. R4, R5, R6 및 R7은 동일하거나 상이할 수 있다. 각 R8 및 R9는 동일하거나 상이할 수 있다. 각 R10은 동일하거나 상이할 수 있다. 기술된 지르코늄-함유 막 형성 조성물은 하기 양태들 중 하나 이상을 추가로 포함할 수 있다:
● R1 및 R2 및 R3은 독립적으로 H, F, CF3, Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu임;
● R4, R5, R6 및 R7은 독립적으로 H, F, CF3, Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu임;
● R8 및 R9는 독립적으로 H, Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu임;
● R10은 Me, Et, nPr, iPr, nBu, iBu, sBu, 또는 tBu임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(TMS-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(TMS-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(TMS-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(TMS-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(TMS-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(TMS-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(TMS-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(TMS-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(이소-프로폭시)지르코늄(IV)(Zr(TMS-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(TMS-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(TMS-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(TMS-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(이소-부톡시)지르코늄(IV)(Zr(TMS-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(DMS-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(DMS-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(DMS-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(DMS-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(DMS-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(F3Si-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(F3Si-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(F3Si-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(F3Si-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(F2HSi-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(F2HSi-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (디플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(FH2Si-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(FH2Si-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (모노플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(FMe2Si-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(FMe2Si-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NMe2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NEt2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NEtMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NnPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NiPr2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NnBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NiBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHiBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NsBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NtBu2)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OMe)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OEt)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OnPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OiPr)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OtBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OsBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OnBu)3)임;
● 규소- 및 지르코늄-함유 전구체는 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OiBu)3)임;
● 지르코늄-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 전구체를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 98% w/w 내지 대략 100% w/w의 전구체를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 99% w/w 내지 대략 100% w/w의 전구체를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 5% w/w 내지 대략 50% w/w의 전구체를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 5.0% w/w 불순물를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 불순물를 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w 불순물를 포함함;
● 불순물은 ZrCp(OR10)3; Zr(OR10)4; ZrCp(NR2)3(각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기임); Zr(NR2)4(각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기; 알코올; 알킬아민; 디알킬아민; 알킬이민; 시클로펜타디엔; 디시클로펜타디엔; (실릴)시클로펜타디엔; 알킬실란; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화된 금속 화합물; 리튬, 소듐, 또는 칼륨 알킬아미노; 리튬, 소듐, 또는 칼륨 알콕시; 및 리튬, 소듐, 또는 칼륨 시클로펜타디에닐임)을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w ZrCp(OR10)3 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w Zr(OR10)4 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w ZrCp(NR2)3 불순물을 포함하며, 각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기임;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w Zr(NR2)4 불순물을 포함하며, 각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기임;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 알코올 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 알킬아민 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 알킬이민 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 시클로펜타디엔 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 디시클로펜타디엔 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w (실릴)시클로펜타디엔 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 알킬실란 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w THF 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 에테르 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 펜탄 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 시클로헥산 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 헵탄 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 벤젠 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 톨루엔 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 염소화된 금속 화합물 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 리튬, 소듐, 또는 칼륨 알킬아미노 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 리튬, 소듐, 또는 칼륨 알콕시 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 리튬, 소듐, 또는 칼륨 시클로펜타디에닐 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 1 ppmw 금속 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw 금속 불순물을 포함함;
● 금속 불순물은 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 소듐(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티탄(Ti), 우라늄(U), 및 아연(Zn)을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Al 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw As 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ba 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Be 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Bi 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Cd 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ca 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Cr 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Co 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Cu 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ga 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ge 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Hf 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Zr 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw In 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Fe 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Pb 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Li 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Mg 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Mn 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw W 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ni 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw K 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Na 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Sr 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Th 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Sn 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Ti 불순물을 포함함;
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw U 불순물을 포함함; 및
● 지르코늄-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw Zn 불순물을 포함함.
또한, 유입구 도관(inlet conduit) 및 유출구 도관(outlet conduit)을 갖는 캐니스터(canister)를 포함하고 상술된 임의 지르코늄-함유 막 형성 조성물을 함유하는 지르코늄-함유 막 형성 조성물 전달 디바이스가 기술된다. 기술된 디바이스는 하기 양태들 중 하나 이상을 포함할 수 있다:
● 지르코늄-함유 막 형성 조성물은 10 ppmw 미만의 금속 오염물질의 전체 농도를 가짐;
● 유입구 도관의 단부는 지르코늄-함유 막 형성 조성물의 표면 위에 위치되며, 유출구 도관의 단부는 지르코늄-함유 막 형성 조성물의 표면 아래에 위치됨;
● 유입구 도관의 단부는 지르코늄-함유 막 형성 조성물의 표면 아래에 위치되며, 유출구 도관의 단부는 지르코늄-함유 막 형성 조성물의 표면 위에 위치됨; 및
● 유입구 및 유출구 상에 다이어프램 밸브(diaphragm valve)를 추가로 포함함.
또한, 기판 상에 대한 지르코늄-함유 막의 증착 공정이 기술된다. 상술된 지르코늄-함유 막 형성 조성물은 반응기에 배치된 기판을 갖는 반응기내로 도입된다. 전구체 중 적어도 일부는 지르코늄-함유 막을 형성시키기 위해 기판 상에 증착된다. 기술된 공정들은 하기 양태들 중 하나 이상을 추가로 포함할 수 있다:
● 반응기내로 반응물을 도입시킴;
● 반응물은 플라즈마-처리됨;
● 반응물은 원격 플라즈마-처리됨;
● 반응물은 플라즈마-처리되지 않음;
● 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
● 반응물은 H2임;
● 반응물은 NH3임;
● 반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
● 반응물은 O3, 1Δg 싱글렛 산소(singlet oxygen), 1Σg + 싱글렛 산소, 3Σg - 트리플렛 산소(triplet oxygen), 또는 이들의 조합임;
● 반응물은 H2O임;
● 반응물은 플라즈마 처리된 O2임;
● 반응물은 O3임;
● 반응물은 지르코늄-함유 전구체임;
● 지르코늄-함유 전구체는 ZrCp(NMe2)3, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, Zr(iPrCp)(NMe2)3, Zr(tBuCp)(NMe2)3, Zr(Cp)(NMeEt)3으로 이루어진 군으로부터 선택됨;
● 지르코늄-함유 전구체는 ZrCp(NMe2)3임;
● 반응기내로 도입시키기 전에 혼합물을 형성시키기 위해 지르코늄-함유 막 형성 조성물과 지르코늄-함유 전구체를 혼합시킴;
● 지르코늄-함유 막 형성 조성물 및 반응물은 반응기내로 동시에 도입됨;
● 반응기는 화학적 증기 증착을 위해 구성됨;
● 반응기는 플라즈마 강화 화학적 증기 증착을 위해 구성됨;
● 지르코늄-함유 막 형성 조성물 및 반응물은 챔버내로 순차적으로 도입됨;
● 반응기는 원자층 증착을 위해 구성됨;
● 반응기는 플라즈마 강화 원자층 증착을 위해 구성됨;
● 반응기는 공간 원자층 증착을 위해 구성됨;
● 지르코늄-함유 막은 순수한 지르코늄 박막임;
● 순수한 지르코늄 막은 대략 95 원자% 내지 대략 100 원자%의 Zr 농도를 가짐;
● 지르코늄-함유 막은 지르코늄 실리사이드임(ZrkSil, 여기서, k 및 l 각각은 1 내지 6의 범위(경계값 포함)인 정수임);
● 지르코늄 실리사이드는 ZrSi2임;
● 지르코늄-함유 막은 지르코늄 옥사이드(ZrmOn, 여기서, m 및 n 각각은 1 내지 6의 범위(경계값 포함)인 정수임)임;
● 지르코늄 옥사이드는 ZrO2임;
● 지르코늄-함유 막은 규소-도핑된 지르코늄 옥사이드(ZroSipOq)이며, 여기서, o 및 p 각각은 0 내지 1 범위(경계값 포함)인 소수(decimal)이며, q는 1 내지 6 범위(경계값 포함)인 정수임;
● 규소-도핑된 지르코늄 옥사이드는 Zr(0.99-0.5)Si(0.5-0.01)O2임;
● 지르코늄-함유 막은 지르코늄 니트라이드(ZrqNr, 여기서, q 및 r 각각은 1 내지 6의 범위인 정수임(경계값 포함))임;
● 지르코늄 니트라이드는 ZrN임.
표기(Notation) 및 명명(Nomenclature)
특정 약어, 기호, 및 용어들은 하기 설명 및 청구항 전반에 걸쳐 사용되며, 하기를 포함한다:
본원에서 사용되는 단수 형태는 하나 이상을 의미한다.
본원에서 사용되는 용어 "대략" 또는 "약" 또는 (라틴어 "circa"로부터의) "ca."는 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 용어 "독립적으로"는 R 기를 기술하는 문맥에서 사용될 때, 대상 R 기가 동일하거나 상이한 윗첨자 또는 아래첨자를 지닌 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 그러한 동일한 R 기의 임의 추가적인 종들에 대해 독립적으로 선택된다는 것을 나타내는 것으로 이해될 것이다. 예를 들어, 화학식 Zr(TMSCp)(NR1R2)3에서, 3개의 R1 기는 서로 또는 R2와 동일할 수 있지만, 동일할 필요는 없다.
본원에서 사용되는 용어 "알킬 기"는 오로지 탄소 원자 및 수소 원자를 함유한 포화된 작용기를 지칭한다. 또한, 용어 "알킬 기"는 선형, 분지형, 또는 환형 알킬 기들을 지칭한다. 선형의 알킬 기의 예는 비제한적으로, 메틸 기, 에틸 기, 프로필 기, 부틸 기, 등을 포함한다. 분지형 알킬 기의 예는 비제한적으로, t-부틸을 포함한다. 환형 알킬 기의 예는 비제한적으로, 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기, 등을 포함한다.
본원에서 사용되는 약어 "Me"는 메틸 기를 지칭하며, 약어 "Et"는 에틸 기를 지칭하며, 약어 "Pr"은 프로필 기를 지칭하며, 약어 "nPr"은 "노말(normal)" 또는 선형 프로필 기를 지칭하며, 약어 "iPr"은 이소프로필 기를 지칭하며, 약어 "Bu"는 부틸 기를 지칭하며, 약어 "nBu"는 "노말" 또는 선형 부틸 기를 지칭하며, 약어 "tBu"는 1,1-디메틸에틸로서도 공지된 3차-부틸 기를 지칭하며, 약어 "sBu"는 1-메틸프로필로서도 공지된 2차-부틸 기를 지칭하며, 약어 "iBu"는 2-메틸프로필로서도 공지된 이소-부틸 기를 지칭하며, 약어 "아밀"은 아밀 또는 펜틸 기를 지칭하며, 약어 "t아밀"은 1,1-디메틸프로필로서도 공지된 3차-아밀 기를 지칭하며, 약어 "Cp"는 시클로펜타디에닐을 지칭하며, 약어 "Cp*"는 펜타메틸시클로펜타디에닐을 지칭하며, 약어 "op"는 (개방)펜타디에닐을 지칭하며, 약어 "TMSCp"는 리간드 (트리메틸실릴)시클로펜타디에닐[Me3SiCp]를 지칭하며, 약어 "TMSCpH"는 분자 (트리메틸실릴)시클로펜타디엔[Me3SiCpH]을 지칭하며, 약어 "DMSCp"는 리간드 (디메틸실릴)시클로펜타디에닐[Me2SiHCp]을 지칭한다.
지르코늄 옥사이드와 같은, 증착된 막 또는 층이 이의 적절한 화학양론(즉, ZrO2)을 언급하지 않으면서 명세서 및 청구항 전반에 걸쳐 나열되어 있다는 것이 주지되어야 한다. 층들은 순수한 (Zr) 층, 카바이드 (ZroCp) 층, 니트라이드 (ZrkNl) 층, 옥사이드 (ZrnOm) 층, 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, n, o, 및 p는 1 내지 6의 범위이다(경계값 포함). 예를 들어, 지르코늄 옥사이드는 ZrkOl이며, 여기서, k 및 l 각각은 0.5 내지 5의 범위이다. 더욱 바람직하게, 지르코늄 옥사이드는 ZrO2이다. 옥사이드 층은 상이한 이원 옥사이드 층 또는 삼원 옥사이드 층의 혼합물일 수 있다. 예를 들어, 옥사이드 층은 BaZrOx, HfZrOx, ZrYOx, ZrAlOx, ZrErOx, ZrLaOx, ZrDyOx일 수 있으며, 여기서, x는 1 내지 6의 범위이다. 옥사이드 층은 상이한 옥사이드 층들의 스택(stack), 예를 들어, ZrO2/Al2O3 나노라미네이트(nanolaminate)일 수 있다. 임의 언급된 층들은 또한, 실리콘 옥사이드 층, SinOm일 수 있으며, 여기서, n은 0.5 내지 1.5의 범위이며, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게, 실리콘 옥사이드 층은 SiO2 또는 SiO3이다. 실리콘 옥사이드 층은 실리콘 옥사이드 기반 유전 물질, 예를 들어, 유기 기반 또는 실리콘 옥사이드 기반 저-k 유전 물질, 예를 들어, Applied Materials, Inc.에 의해 Black Diamond II 또는 III 물질일 수 있다. 대안적으로, 임의 언급된 규소-함유 층은 순수한 실리콘일 수 있다. 임의 규소-함유 층들이 또한 B, C, P, As 및/또는 Ge와 같은 도펀트(dopant)를 포함할 수 있다.
원소 주기율표로부터의 원소들의 표준 약어들이 본원에서 사용된다. 원소들이 이러한 약어들에 의해 지칭될 수 있는 것으로 이해될 것이다(예를 들어, Mn은 망간을 지칭하며, Si는 규소를 지칭하며, C는 탄소를 지칭하며, 기타 등등을 지칭한다).
본 발명의 특성 및 목적의 추가 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조될 것이다.
도 1은 본원에 기술된 지르코늄-함유 막 형성 조성물 전달 디바이스의 일 구현예의 측면도이다.
도 2는 본원에 기술된 지르코늄-함유 막 형성 조성물 전달 디바이스의 제2 구현예의 측면도이다.
도 3은 Zr(TMSCp)(NMe2)31HNMR 스펙트럼이다.
도 4는 Zr(DMSCp)(NMe2)31HNMR 스펙트럼이다.
도 5는 Zr(TMSCp)(OiPr)31HNMR 스펙트럼이다.
도 6은 Zr(TMSCp)(NMe2)3의 온도를 증가시키면서 중량 손실 백분율(TGA) 또는 시차 온도(differential temperature)(DTA)를 나타낸 열중량분석(ThermoGravimetric Analysis; TGA)/시차열분석(Differential Thermal Analysis; DTA) 그래프이다.
도 7은 Zr(DMSCp)(NMe2)3의 온도를 증가시키면서 중량 손실의 백분율(TGA) 또는 시차 온도(DTA)를 나타낸 TGA/DTA 그래프이다.
도 8은 Zr(TMSCp)(OiPr)3의 온도를 증가시키면서 중량 손실의 백분율(TGA) 또는 시차 온도(DTA)를 나타낸 TGA/DTA 그래프이다.
도 9는 전구체 Zr(TMSCp)(NMe2)3을 사용하여 온도에 따른 지르코늄 옥사이드 박막의 ALD 성장률(growth rate)의 그래프이다.
도 10은 전구체 Zr(TMSCp)(NMe2)3을 사용하여 전구체 도입 시간에 따른 지르코늄 옥사이드 박막의 ALD 성장률의 그래프이다.
도 11은 전구체 Zr(TMSCp)(NMe2)3을 사용하여 300℃에서 형성된 막의 X-선 광전자 분광(XPS) 분석의 그래프이다.
도 12는 전구체 Zr(TMSCp)(NMe2)3을 사용하여 375℃에서 형성된 막의 XPS 분석의 그래프이다.
도 13은 325℃에서 전구체 Zr(TMSCp)(NMe2)3을 사용하여 1:10 종횡비 패턴 웨이퍼에 증착된 막의 주사전자현미경(SEM) 사진이다.
도 14는 325℃에서 전구체 Zr(TMSCp)(NMe2)3을 사용하여 1:40 종횡비 패턴 웨이퍼에 증착된 막의 SEM 사진이다.
바람직한 구현예들의 설명
하기 화학식을 갖는 규소- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물이 기술된다:
[화학식 I]
Figure 112017065172801-pct00005
[화학식 II]
Figure 112017065172801-pct00006
상기 식에서, 각 R1, R2, R3, R4, R5, R6, R7, R8, R9 및 R10은 독립적으로, H, C1-C5 선형, 분지형, 또는 환형 알킬 기; 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기로부터 선택된다. R1, R2 및 R3은 동일하거나 상이할 수 있다. R4, R5, R6 및 R7은 동일하거나 상이할 수 있다. 각 R8 및 R9는 동일하거나 상이할 수 있다. 각 R10은 동일하거나 상이할 수 있다.
바람직하게, R1, R2, R3, R4, R5, R6 및 R7은 H 또는 Me인데, 왜냐하면, 보다 작은 알킬 기가 규소- 및 지르코늄-함유 전구체의 휘발성을 증가시키고 규소- 및 지르코늄-함유 전구체의 융점을 감소시킬 수 있기 때문이다. 바람직하게, R8 및 R9는 H, Me 또는 Et인데, 왜냐하면 보다 작은 알킬 기가 규소- 및 지르코늄-함유 전구체의 휘발성을 증가시키고 규소- 및 지르코늄-함유 전구체의 융점을 감소시킬 수 있기 때문이다. 바람직하게, R10은 Me, Et, iPr 또는 tBu인데, 왜냐하면, 보다 작은 알킬 기(Me, Et)가 규소- 및 지르코늄-함유 전구체의 휘발성을 증가시킬 수 있으며, 보다 큰 알킬 기(iPr, tBu)가 규소- 및 지르코늄-함유 전구체의 융점을 감소시킬 수 있기 때문이다.
화학식 I의 예시적인 규소- 및 지르코늄-함유 전구체는 Zr(TMS-Cp)(NMe2)3, Zr(TMS-Cp)(NHMe)3, Zr(TMS-Cp)(NEt2)3, Zr(TMS-Cp)(NHEt)3, Zr(TMS-Cp)(NEtMe)3), Zr(TMS-Cp)(NnPr2)3, Zr(TMS-Cp)(NHnPr)3, Zr(TMS-Cp)(NiPr2)3 , Zr(TMS-Cp)(NHiPr)3, Zr(TMS-Cp)(NnBu2)3), Zr(TMS-Cp)(NHnBu)3, Zr(TMS-Cp)(NiBu2)3, Zr(TMS-Cp)(NHiBu)3, Zr(TMS-Cp)(NsBu2)3, Zr(TMS-Cp)(NHsBu)3, Zr(TMS-Cp)(NtBu2)3, Zr(TMS-Cp)(NHtBu)3, Zr(DMS-Cp)(NMe2)3, Zr(DMS-Cp)(NHMe)3, Zr(DMS-Cp)(NEt2)3, Zr(DMS-Cp)(NHEt)3, Zr(DMS-Cp)(NEtMe)3, Zr(DMS-Cp)(NnPr2)3, Zr(DMS-Cp)(NHnPr)3, Zr(DMS-Cp)(NiPr2)3, Zr(DMS-Cp)(NHiPr)3, Zr(DMS-Cp)(NnBu2)3, Zr(DMS-Cp)(NHnBu)3, Zr(DMS-Cp)(NiBu2)3, Zr(DMS-Cp)(NHiBu)3, Zr(DMS-Cp)(NsBu2)3, Zr(DMS-Cp)(NHsBu)3, Zr(DMS-Cp)(NtBu2)3, Zr(DMS-Cp)(NHtBu)3, Zr(F3Si-Cp)(NMe2)3, Zr(F3Si-Cp)(NHMe)3, Zr(F3Si-Cp)(NEt2)3, Zr(F3Si-Cp)(NHEt)3, Zr(F3Si-Cp)(NEtMe)3, Zr(F3Si-Cp)(NnPr2)3, Zr(F3Si-Cp)(NHnPr)3, Zr(F3Si-Cp)(NiPr2)3, Zr(F3Si-Cp)(NHiPr)3, Zr(F3Si-Cp)(NnBu2)3, Zr(F3Si-Cp)(NHnBu)3, Zr(F3Si-Cp)(NiBu2)3, Zr(F3Si-Cp)(NHiBu)3, Zr(F3Si-Cp)(NsBu2)3, Zr(F3Si-Cp)(NHsBu)3, Zr(F3Si-Cp)(NtBu2)3, Zr(F3Si-Cp)(NHtBu)3, Zr(F2HSi-Cp)(NMe2)3, Zr(F2HSi-Cp)(NHMe)3, Zr(F2HSi-Cp)(NEt2)3, Zr(F2HSi-Cp)(NHEt)3, Zr(F2HSi-Cp)(NEtMe)3, Zr(F2HSi-Cp)(NnPr2)3, Zr(F2HSi-Cp)(NHnPr)3, Zr(F2HSi-Cp)(NiPr2)3, Zr(F2HSi-Cp)(NHiPr)3, Zr(F2HSi-Cp)(NnBu2)3, Zr(F2HSi-Cp)(NHnBu)3, Zr(F2HSi-Cp)(NiBu2)3, Zr(F2HSi-Cp)(NHiBu)3, Zr(F2HSi-Cp)(NsBu2)3, Zr(F2HSi-Cp)(NHsBu)3, Zr(F2HSi-Cp)(NtBu2)3, Zr(F2HSi-Cp)(NHtBu)3, Zr(FH2Si-Cp)(NMe2)3, Zr(FH2Si-Cp)(NHMe)3, Zr(FH2Si-Cp)(NEt2)3, Zr(FH2Si-Cp)(NHEt)3, Zr(FH2Si-Cp)(NEtMe)3, Zr(FH2Si-Cp)(NnPr2)3, Zr(FH2Si-Cp)(NHnPr)3, Zr(FH2Si-Cp)(NiPr2)3, Zr(FH2Si-Cp)(NHiPr)3, Zr(FH2Si-Cp)(NnBu2)3, Zr(FH2Si-Cp)(NHnBu)3, Zr(FH2Si-Cp)(NiBu2)3, Zr(FH2Si-Cp)(NHiBu)3, Zr(FH2Si-Cp)(NsBu2)3, Zr(FH2Si-Cp)(NHsBu)3, Zr(FH2Si-Cp)(NtBu2)3, Zr(FH2Si-Cp)(NHtBu)3, Zr(FMe2Si-Cp)(NMe2)3, Zr(FMe2Si-Cp)(NHMe)3, Zr(FMe2Si-Cp)(NEt2)3, Zr(FMe2Si-Cp)(NHEt)3, Zr(FMe2Si-Cp)(NEtMe)3, Zr(FMe2Si-Cp)(NnPr2)3, Zr(FMe2Si-Cp)(NHnPr)3, Zr(FMe2Si-Cp)(NiPr2)3, Zr(FMe2Si-Cp)(NHiPr)3, Zr(FMe2Si-Cp)(NnBu2)3, Zr(FMe2Si-Cp)(NHnBu)3, Zr(FMe2Si-Cp)(NiBu2)3, Zr(FMe2Si-Cp)(NHiBu)3, Zr(FMe2Si-Cp)(NsBu2)3, Zr(FMe2Si-Cp)(NHsBu)3, Zr(FMe2Si-Cp)(NtBu2)3, Zr(FMe2Si-Cp)(NHtBu)3, Zr((CF3)3Si-Cp)(NMe2)3, Zr((CF3)3Si-Cp)(NHMe)3, Zr((CF3)3Si-Cp)(NEt2)3, Zr((CF3)3Si-Cp)(NHEt)3, Zr((CF3)3Si-Cp)(NEtMe)3, Zr((CF3)3Si-Cp)(NnPr2)3, Zr((CF3)3Si-Cp)(NHnPr)3, Zr((CF3)3Si-Cp)(NiPr2)3, Zr((CF3)3Si-Cp)(NHiPr)3, Zr((CF3)3Si-Cp)(NnBu2)3, Zr((CF3)3Si-Cp)(NHnBu)3, Zr((CF3)3Si-Cp)(NiBu2)3, Zr((CF3)3Si-Cp)(NHiBu)3, Zr((CF3)3Si-Cp)(NsBu2)3, Zr((CF3)3Si-Cp)(NHsBu)3, Zr((CF3)3Si-Cp)(NtBu2)3, Zr((CF3)3Si-Cp)(NHtBu)3, Zr((CF3)2HSi-Cp)(NMe)3, Zr((CF3)2HSi-Cp)(NHMe)3, Zr((CF3)2HSi-Cp)(NEt2)3, Zr((CF3)2HSi-Cp)(NHEt)3, Zr((CF3)2HSi-Cp)(NEtMe)3, Zr((CF3)2HSi-Cp)(NnPr2)3, Zr((CF3)2HSi-Cp)(NHnPr)3, Zr((CF3)2HSi-Cp)(NiPr2)3, Zr((CF3)2HSi-Cp)(NHiPr)3, Zr((CF3)2HSi-Cp)(NnBu2)3, Zr((CF3)2HSi-Cp)(NHnBu)3, Zr((CF3)2HSi-Cp)(NiBu2)3, Zr((CF3)2HSi-Cp)(NHiBu)3, Zr((CF3)2HSi-Cp)(NsBu2)3, Zr((CF3)2HSi-Cp)(NHsBu)3, Zr((CF3)2HSi-Cp)(NtBu2)3, Zr((CF3)2HSi-Cp)(NHtBu)3, Zr((CF3)Me2Si-Cp)(NMe2)3, Zr((CF3)Me2Si-Cp)(NHMe)3, Zr((CF3)Me2Si-Cp)(NEt2)3, Zr((CF3)Me2Si-Cp)(NHEt)3, Zr((CF3)Me2Si-Cp)(NEtMe)3, Zr((CF3)Me2Si-Cp)(NnPr2)3, Zr((CF3)Me2Si-Cp)(NHnPr)3, Zr((CF3)Me2Si-Cp)(NiPr2)3, Zr((CF3)Me2Si-Cp)(NHiPr)3, Zr((CF3)Me2Si-Cp)(NnBu2)3, Zr((CF3)Me2Si-Cp)(NHnBu)3, Zr((CF3)Me2Si-Cp)(NiBu2)3, Zr((CF3)Me2Si-Cp)(NHiBu)3, Zr((CF3)Me2Si-Cp)(NsBu2)3, Zr((CF3)Me2Si-Cp)(NHsBu)3, Zr((CF3)Me2Si-Cp)(NtBu2)3, 또는 Zr((CF3)Me2Si-Cp)(NHtBu)3을 포함하지만, 이로 제한되지 않는다.
화학식 II의 예시적인 규소- 및 지르코늄-함유 전구체는 Zr(TMS-Cp)(OMe)3, Zr(TMS-Cp)(OEt)3, Zr(TMS-Cp)(OnPr)3, Zr(TMS-Cp)(OiPr)3, Zr(TMS-Cp)(OtBu)3, Zr(TMS-Cp)(OsBu)3, Zr(TMS-Cp)(OnBu)3, Zr(TMS-Cp)(OiBu)3, Zr(DMS-Cp)(OMe)3, Zr(DMS-Cp)(OEt)3, Zr(DMS-Cp)(OnPr)3, Zr(DMS-Cp)(OiPr)3, Zr(DMS-Cp)(OtBu)3, Zr(DMS-Cp)(OsBu)3, Zr(DMS-Cp)(OnBu)3, Zr(DMS-Cp)(OiBu)3, Zr(F3Si-Cp)(OMe)3, Zr(F3Si-Cp)(OEt)3, Zr(F3Si-Cp)(OnPr)3, Zr(F3Si-Cp)(OiPr)3, Zr(F3Si-Cp)(OtBu)3, Zr(F3Si-Cp)(OsBu)3,Zr(F3Si-Cp)(OnBu)3, Zr(F3Si-Cp)(OiBu)3, Zr(F2HSi-Cp)(OMe)3, Zr(F2HSi-Cp)(OEt)3, Zr(F2HSi-Cp)(OnPr)3, Zr(F2HSi-Cp)(OiPr)3, Zr(F2HSi-Cp)(OtBu)3, Zr(F2HSi-Cp)(OsBu)3, Zr(F2HSi-Cp)(OnBu)3, Zr(F2HSi-Cp)(OiBu)3, Zr(FH2Si-Cp)(OMe)3, Zr(FH2Si-Cp)(OEt)3, Zr(FH2Si-Cp)(OnPr)3, Zr(FH2Si-Cp)(OiPr)3, Zr(FH2Si-Cp)(OtBu)3, Zr(FH2Si-Cp)(OsBu)3, Zr(FH2Si-Cp)(OnBu)3, Zr(FH2Si-Cp)(OiBu)3, Zr(FMe2Si-Cp)(OMe)3, Zr(FMe2Si-Cp)(OEt)3, Zr(FMe2Si-Cp)(OnPr)3, Zr(FMe2Si-Cp)(OiPr)3, Zr(FMe2Si-Cp)(OtBu)3, Zr(FMe2Si-Cp)(OsBu)3, Zr(FMe2Si-Cp)(OnBu)3, Zr(FMe2Si-Cp)(OiBu)3, Zr((CF3)3Si-Cp)(OMe)3, Zr((CF3)3Si-Cp)(OEt)3, Zr((CF3)3Si-Cp)(OnPr)3, Zr((CF3)3Si-Cp)(OiPr)3, Zr((CF3)3Si-Cp)(OtBu)3, Zr((CF3)3Si-Cp)(OsBu)3, Zr((CF3)3Si-Cp)(OnBu)3, Zr((CF3)3Si-Cp)(OiBu)3, Zr((CF3)2HSi-Cp)(OMe)3, Zr((CF3)2HSi-Cp)(OEt)3, Zr((CF3)2HSi-Cp)(OnPr)3, Zr((CF3)2HSi-Cp)(OiPr)3, Zr((CF3)2HSi-Cp)(OtBu)3, Zr((CF3)2HSi-Cp)(OsBu)3, Zr((CF3)2HSi-Cp)(OnBu)3, Zr((CF3)2HSi-Cp)(OiBu)3, Zr((CF3)Me2Si-Cp)(OMe)3, Zr((CF3)Me2Si-Cp)(OEt)3, Zr((CF3)Me2Si-Cp)(OnPr)3, Zr((CF3)Me2Si-Cp)(OiPr)3, Zr((CF3)Me2Si-Cp)(OtBu)3, Zr((CF3)Me2Si-Cp)(OsBu)3, Zr((CF3)Me2Si-Cp)(OnBu)3, 또는 Zr((CF3)Me2Si-Cp)(OiBu)3을 포함하지만, 이로 제한되지 않는다.
바람직하게, 규소- 및 지르코늄-함유 전구체는 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)인데, 이는 대기 열중량 분석에서의 이의 증기화 결과로 인해, 소량의 최종 잔부를 남긴다(실시예 1 참조). 실시예 3에서 (트리메틸실릴)시클로펜타디에닐 트리(이소프로폭시)지르코늄(IV)에 대한 TGA 결과에 의해 나타난 소량의 잔부는 또한, 증기 증착 적용을 위해 유망한 것이다.
기술된 지르코늄-함유 막 형성 조성물은 적합한 용매, 예를 들어, 톨루엔, THF 또는 에테르 중에서 상응하는 테트라키스(아미노)지르코늄(IV) 또는 상응하는 테트라키스(알콕시)지르코늄(IV)을 상응하는 (실릴)시클로펜타디엔과 반응시킴으로써 합성될 수 있다. (실릴)시클로펜타디엔은 통상적으로, 문헌[Catal Lett (2011) 141:1625-1634]에 기술된 절차에 따라 제조된다. 대안적으로, 기술된 (실릴)시클로펜타디에닐-트리스(알콕시) 지르코늄-함유 막 형성 조성물은 적합한 용매, 예를 들어, 톨루엔, THF 또는 에테르 중에서 3 당량의 상응하는 알코올로 상응하는 (실릴)시클로펜타디에닐-트리스(아미노)지르코늄-함유 화합물의 알코올분해에 의해 합성될 수 있다. 그러나, 이러한 합성 공정에서 과량의 알코올은 미반응된 반응물, 예를 들어, Zr(NR2)4 또는 Zr(OR)4, 및 원치않는 반응 부산물, 예를 들어, 비치환된 시클로펜타디에닐 반응 생성물, 예를 들어, 시클로펜타디에닐 트리스(알콕시) 지르코늄 또는 시클로펜타디에닐 트리스(아미노)지르코늄을 야기시킬 수 있다. 추가 세부사항을 함유한 예시적인 합성 방법은 하기 실시예에 제공된다.
기술된 지르코늄-함유 막 형성 조성물의 순도는 대략 95% w/w 내지 대략 100% w/w, 바람직하게, 98% w/w 보다 높은, 및 더욱 바람직하게, 99% w/w 보다 높은 범위이다. 당업자는, 순도가 H-NMR 또는 질량 분광법을 구비한 가스 또는 액체 크로마토그래피에 의해 결정될 수 있다. 기술된 지르코늄-함유 막 형성 조성물은 하기 불순물들 중 임의를 함유할 수 있다: ZrCp(OR10)3; Zr(OR10)4; ZrCp(NR2)3[여기서, 각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기임]; Zr(NR2)4[여기서, 각 R은 독립적으로 H, C1-C5 선형, 분지형 또는 환형 알킬 기, 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기임]; 시클로펜타디엔; (실릴)시클로펜타디엔; 디시클로펜타디엔; 알킬실란; 알킬아민, 예를 들어, 3차-부틸아민; 디알킬아민, 예를 들어, 디메틸아민; 알킬이민; 알코올, 예를 들어, 이소프로필알코올 또는 3차-부틸알코올; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 톨루엔; 벤젠; 염소화된 금속 화합물; 리튬, 소듐, 또는 칼륨 알콕시; 리튬, 소듐, 또는 칼륨 알킬아미노; 또는 리튬, 칼륨, 또는 소듐 시클로펜타디에닐. 이러한 불순물의 총량은 5% w/w 미만, 바람직하게, 2% w/w 미만, 및 더욱 바람직하게, 1% w/w 미만이다. 조성물은 제결정화, 승화, 증류에 의해 및/또는 적합한 흡착제, 예를 들어, 4A 분자체를 통해 가스 또는 액체를 통과시킴으로서 정제될 수 있다.
기술된 지르코늄-함유 막 형성 조성물의 정제는 또한, 0 ppbw(part per billion weight) 내지 1 ppmw(parts per million weight) 수준, 바람직하게, 0 내지 500 ppbw의 금속 불순물을 야기시킬 수 있다. 이러한 금속 불순물은 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 소듐(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티탄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)을 포함하지만, 이로 제한되지 않는다.
지르코늄-함유 막 형성 조성물은 기술된 지르코늄-함유 막 형성 조성물 전달 디바이스에 의해 반도체 가공 툴(semiconductor processing tool)(기술된 지르코늄-함유 막 형성 조성물 전달 디바이스)로 전달될 수 있다. 도 1 및 도 2는 기술된 전달 디바이스(1)의 두 개의 비-제한적인 구현예를 도시한 것이다.
도 1은 지르코늄-함유 막 형성 조성물 전달 디바이스(1)의 일 구현예의 측면도이다. 도 1에서, 기술된 지르코늄-함유 막 형성 조성물(10)은 두 개의 도관, 즉 유입구 도관(30) 및 유출구 도관(40)을 갖는 용기(20) 내에 포함된다. 전구체 분야의 당업자는 용기(20), 유입구 도관(30) 및 유출구 도관(40)이 심지어 상승된 온도 및 압력에서도, 가스상 형태의 지르코늄-함유 막 형성 조성물(10)의 누출(escape)을 방지하기 위해 제작된다는 것을 인식할 것이다.
전달 디바이스(1)는 누설밀봉(leak tight)되어야 하고 여기에는 심지어 미량의 물질의 누출을 허용하지 않는 밸브(35/45)가 장착되어야 한다. 적합한 밸브(35/45)는 스프링-로딩되거나 연결된 다이어프램 밸브(tied diaphragm valve)를 포함한다. 밸브(35/45)는 제한 흐름 오리피스(restrictive flow orifice; RFO)를 추가로 포함할 수 있다. 전달 디바이스(1)는 가스 매니폴드(gas manifold)(미도시됨)에 그리고 엔클로져(enclosure)(미도시됨) 안에 연결될 수 있다. 가스 매니폴드는, 임의 잔류 양의 Zr-함유 막 형성 조성물이 반응하지 않도록 전달 디바이스(1)가 교체될 때 공기에 노출될 수 있는 배관(piping)의 안전한 배기 및 퍼징(purging)을 가능하게 할 것이다. 엔클로져에는 물질 배출 또는 반응의 경우에 화염을 조절하기 위한 센서 및 화염 제어 능력(fire control capability)이 장착될 수 있다. 가스 매니폴드에는 또한, 격리 밸브(isolation valve), 진공 발생기가 장착되고, 이는 퍼지 가스(purge gas)의 도입을 최소한으로 허용한다.
전달 디바이스(1)는 밸브(35) 및 밸브(45)를 통해, 상술된 가스 캐비넷(gas cabinet)과 같은 반도체 가공 툴의 다른 부품들에 유체적으로 연결된다. 바람직하게, 용기(20), 유입구 도관(30), 밸브(35), 유출구 도관(40) 및 밸브(45)는 316L EP 또는 304 스테인레스 스틸로 제조된다. 그러나, 당업자는 다른 비-반응성 물질들이 또한 본원의 교시에서 사용될 수 있으며 임의 부식성 지르코늄-함유 막 형성 조성물(10)이 하스텔로이(Hastelloy) 또는 인코넬(Inconel)과 같은 더욱 내부식성의 물질들의 사용을 필요로 할 수 있음을 인식할 것이다.
도 1에서, 유입구 도관(30)의 단부(31)는 지르코늄-함유 막 형성 조성물(10)의 표면 위에 위치되며, 유출구 도관(40)의 단부(41)는 지르코늄-함유 막 형성 조성물(10)의 표면 아래에 위치된다. 이러한 구현예에서, 지르코늄-함유 막 형성 조성물(10)은 바람직하게 액체 형태이다. 질소, 아르곤, 헬륨 및 이들의 혼합물을 포함하지만 이로 제한되지 않는 불활성 가스는 유입구 도관(30)내로 도입될 수 있다. 불활성 가스는, 액체 지르코늄-함유 막 형성 조성물(10)이 유출구 도관(40)을 통해 그리고 반도체 가공 툴(미도시됨)에서의 부품들을 향해 가압(force)되도록 전달 디바이스(20)를 가압(pressurize)시킨다. 반도체 가공 툴은 수리될 웨이퍼가 위치되어 있고 처리가 증기 상에서 일어나는 챔버에 증기를 전달하기 위하여, 헬륨, 아르곤, 질소 또는 이들의 혼합물과 같은 운반 가스를 사용하거나 사용하지 않으면서, 액체 지르코늄-함유 막 형성 조성물(10)을 증기로 변형시키는 증기화기(vaporizer)를 포함할 수 있다. 대안적으로, 액체 지르코늄-함유 막 형성 조성물(10)은 웨이퍼 표면으로 제트(jet) 또는 에어로졸(aerosol)로서 직접적으로 전달될 수 있다.
도 2는 지르코늄-함유 막 형성 조성물 전달 디바이스(1)의 제2 구현예의 측면도이다. 도 2에서, 유입구 도관(30)의 단부(31)는 지르코늄-함유 막 형성 조성물(10)의 표면 아래에 위치되며, 유출구 도관(40)의 단부(41)는 지르코늄-함유 막 형성 조성물(10)의 표면 위에 위치된다. 도 2는 또한, 선택적 가열 부재(25)를 포함하는데, 이는 지르코늄-함유 막 형성 조성물(10)의 온도를 상승시킬 수 있다. 이러한 구현예에서, 지르코늄-함유 막 형성 조성물(10)은 고체 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는 불활성 가스는 유입구 도관(30)내로 도입된다. 불활성 가스는 지르코늄-함유 막 형성 조성물(10)을 통해 버블링되고, 불활성 가스와 증기화된 지르코늄-함유 막 형성 조성물(10)의 혼합물을 유출구 도관(40)으로 그리고 반도체 가공 툴에서의 부품들 상으로 이동시킨다.
도 1 및 도 2 둘 모두는 밸브(35) 및 밸브(45)를 포함한다. 당업자는 밸브(35) 및 밸브(45)가 도관(30) 및 도관(40)을 통해 각각 흐르게 하기 위해 개방 위치 또는 폐쇄 위치로 배치될 수 있다는 것을 인식할 것이다. 도 1 또는 도 2에서의 전달 디바이스(1), 또는 존재하는 임의 고체 또는 액체의 표면 위에서 종결하는 단일 도관을 갖는 보다 단순한 전달 디바이스는, 지르코늄-함유 막 형성 조성물(10)이 증기 형태로 존재하는 경우에, 또는 충분한 증기압이 고체/액체 상 위에 존재하는 경우에 사용될 수 있다. 이러한 경우에, 지르코늄-함유 막 형성 조성물(10)은 밸브(45)를 개방시킴으로써 도관(40)을 통해 간단하게 증기 형태로 전달된다. 전달 디바이스(1)는 예를 들어, 선택적 가열 부재(25)를 이용함으로써 지르코늄-함유 막 형성 조성물(10)이 증기 형태로 전달되기에 충분한 증기압을 제공하기 위해 적합한 온도에서 유지될 수 있다.
도 1 및 도 2가 지르코늄-함유 막 형성 조성물 전달 디바이스(1)의 두 개의 구현예를 기술하고 있지만, 당업자는 본원의 내용으로부터 벗어나지 않으면서 유입구 도관(30) 및 유출구 도관(40) 둘 모두가 지르코늄-함유 막 형성 조성물(10)의 표면(11) 위 또는 아래에 위치될 수 있다는 것을 인식할 것이다. 또한, 유입구 도관(30)은 충전 포트(filling port)일 수 있다. 마지막으로, 당업자는 기술된 지르코늄-함유 막 형성 조성물이 본원에 교시를 벗어나지 않으면서, WO 2006/059187호(Jurcik 등)에 기재된 앰플(ampoule)과 같은 다른 전달 디바이스를 이용하여 반도체 가공 툴에 전달될 수 있다는 것을 인식할 것이다.
또한, 증기 증착 공정을 이용하여 기판 상에 지르코늄-함유 층을 형성시키는 방법이 기술된다. 이러한 방법은 반도체, 광전지(photovoltaic), LCD-TFT 또는 평판 타입 디바이스의 제작에서 유용할 수 있다. 기술된 지르코늄-함유 막 형성 조성물은 당업자에게 공지된 임의 증착 방법을 이용하여 얇은 지르코늄-함유 막을 증착시키기 위해 사용될 수 있다.
적합한 증착 방법의 예는 비제한적으로, 화학적 증기 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열적 CVD, 플라즈마 강화 CVD(PECVD), 펄스화 CVD(PCVD), 저압 CVD(LPCVD), 부기압(sub-atmospheric) CVD(SACVD), 대기압 CVD(APCVD), 핫-와이어(hot-wire) CVD(HWCVD, 또한 cat-CVD로서 공지됨, 핫 와이어는 증착 공정을 위한 에너지원으로서 제공됨), 라디칼 도입 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간적 분리 ALD, 핫-와이어 ALD(HWALD), 라디칼 도입 ALD, 및 이들의 조합을 포함한다. 초임계 유체 증착이 또한 사용될 수 있다. 증착 방법은 적합한 단차 피복(step coverage) 및 막 두께 조절을 제공하기 위해 바람직하게 ALD, PE-ALD, 또는 공간적 ALD를 포함한다.
입방체/사면체 결정질 상의 ZrO2는 가장 높은 유전율(dielectric constant)의 상이한 ZrO2 결정질 형태를 제공한다(입방체, 사면체, 비정질, 단사정계, 사방정계, 및 이들의 조합은 이용 가능한 결정질 상이다). 소정 도핑 수준(doping level)(3 내지 12%)의 작은 이온 반경 사면체 도펀트, 예를 들어, Si는 사면체 지르코니아 상을 안정화시키는데 가장 효율적이라는 것이 실험적으로 보고되어 있다. 사면체 ZrO2 구조에서 Si에 의한 Zr 원자의 치환은 SiO2에서와 유사한 길이를 갖는 감소된 Si-O 결합을 야기시킨다. 이에 따라, ZrO2는 Si에 대한 우수한 호스트(host)이며, 이는 옥사이드의 "친화적인" 국소 환경에 용이하게 도입된다[J. Appl. Phys. 106, 024107, 2009]. 장점은 Si가 4가이고, 이에 따라, O 공격자점(vacancy)을 도입하지 않으면서 격자에서 Zr을 치환한다는 것이다. 실시예 4에 제공된 예비 R&D 시험 결과는, Zr(Me3SiCp)(NMe2)3을 사용한 증착이 적은 불순물 오염을 갖는 20 원자% 미만의 Si를 형성시킨다는 것을 나타내며, 이는 요망되는 결정질 상의 지르코늄 옥사이드 막을 증착시킬 수 있는 이러한 전구체의 가능성을 나타낸다.
본 출원인은 증기 증착 공정 조건이, Zr 단독 또는 Zr 및 Si 둘 모두가 지르코늄-함유 층에 증착될 수 있도록 조절될 수 있는 것으로 여긴다. 예를 들어, 일부 파라시틱(parasitic) CVD를 나타내기 위한 ALD 파라미터의 조정은 ZrO2 층에 한정된 양(finite amount)의 Si를 증착시키기 위해 유용할 수 있다. 대안적으로, ZrO2 막 중의 실리콘 함량은 기술된 지르코늄-함유 막 형성 조성물을 사용한 (Zr, Si)O2 막의 증착 및 다른 지르코늄-함유 전구체를 사용한 ZrO2의 증착을 교대함으로써 조절될 수 있다. 예를 들어, ZrCp(NMe2)3, Zr(MeCp)(NMe2)3 또는 Zr(EtCp)(NMe2)3은 순수한 ZrO2 막을 형성시키기 위해 Zr-함유 전구체로서 역할을 할 수 있다. 다시 말해서, 지르코늄-함유 막 형성 조성물을 사용한 (Zr, Si)O2 증착의 x회 서브사이클(subcycle)은 지르코늄-함유 전구체, 예를 들어, ZrCp(NMe2)3을 사용한 순수한 ZrO2 증착의 y회 서브사이클로 교대될 수 있다. (Zr, Si)O2의 x회 서브사이클 및 순수한 ZrO2의 y회 서브사이클로 이루어진 수퍼사이클(supercycle)은 (Zr, Si)O2 막의 요망되는 두께를 수득하기 위해 반복될 수 있으며, 여기서, x 및 y는 1 내지 20의 범위(경계값 포함)인 정수이다. Zr 및 Si 함량은 x 및 y를 조정함으로써 조절될 수 있다.
대안적으로, ZrO2 막 중의 실리콘 함량은 기술된 지르코늄-함유 막 형성 조성물 및 지르코늄-함유 전구체 둘 모두를 함유한 혼합물을 사용하여 (Zr, Si)O2 막을 증착시킴으로써 조절될 수 있다. 예를 들어, ZrCp(NMe2)3, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, Zr(iPrCp)(NMe2)3, 또는 Zr(tBuCp)(NMe2)3은 Zr-함유 전구체로 역할을 할 수 있다. Zr 및 Si 함량은 혼합물 중의 지르코늄-함유 막 형성 조성물과 지르코늄-함유 전구체 간의 비율을 조정함으로써 조절될 수 있다.
기술된 지르코늄-함유 막 형성 조성물은 순수한 형태로 또는 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 또는 도데칸과 같은 적합한 용매와의 블렌드(blend)로 공급될 수 있다. 기술된 조성물은 용매 중에 다양한 농도로 존재할 수 있다.
순수한 또는 블렌딩된 지르코늄-함유 막 형성 조성물은 통상적인 수단, 예를 들어, 배관(tubing) 및/또는 흐름 미터(flow meter)에 의해 증기 형태로 반응기내로 도입된다. 증기 형태의 조성물은 직접 증기화, 증류, 직접 액체 주입과 같은 통상적인 증기화 단계를 통해 순수한 또는 블렌딩된 조성물을 증기화시킴으로써, 또는 버블링에 의해, 또는 PCT 공개문 WO2009/087609호(Xu 등)에 기재된 것과 같은 승화기(sublimator)를 이용함으로써 생성될 수 있다. 순수한 또는 블렌딩된 조성물은 증기화기에 액체 상태로 공급될 수 있으며, 여기서, 이는 반응기내로 도입되기 전에 증기화된다. 대안적으로, 순수한 또는 블렌딩된 조성물은 조성물을 함유한 용기에 운반 가스를 진행시킴으로써, 또는 운반 가스를 조성물에 버블링시킴으로써 증기화될 수 있다. 운반 가스는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있지만, 이로 제한되지 않는다. 운반 가스로의 버블링은 또한, 순수한 또는 블렌딩된 조성물 중에 존재하는 임의 용해된 산소를 제거할 수 있다. 운반 가스 및 조성물은 이후에, 증기로서 반응기내로 도입된다.
필요한 경우에, 기술된 조성물의 용기는 조성물이 이의 액체 상이게 하고 충분한 증기압을 갖게 하는 온도까지 가열될 수 있다. 용기는 예를 들어, 대략 0℃ 내지 대략 150℃ 범위의 온도에서 유지될 수 있다. 당업자는, 용기의 온도가 증기화되는 조성물의 양을 조절하는 공지된 방식으로 조절될 수 있다는 것을 인식한다.
반응기는, 증착 방법이 일어나는 디바이스 내의 임의 엔클로져(enclosure) 또는 챔버, 예를 들어, 비제한적으로, 평행판 타입 반응기, 냉각-벽 타입 반응기, 고온-벽 타입 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기(즉, 배치 반응기), 또는 전구체를 반응시키고 층들을 형성시키기에 적합한 조건 하에서의 다른 타입의 증착 시스템일 수 있다.
일반적으로, 반응기는 그 위에 박막이 증착되는 기판(들)을 함유한다. 기판은 일반적으로, 공정이 수행되는 물질로서 규정된다. 기판은 반도체, 광전지, 평판, 또는 LCD-TFT 디바이스 제작에서 사용되는 임의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예를 들어, 실리콘, 실리카, 유리 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전 제작 단계로부터 이러한 웨이퍼 상에 증착된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 실리콘 층(결정질, 비정질, 다공성, 등), 실리콘 옥사이드 층, 실리콘 니트라이드 층, 실리콘 옥시 니트라이드 층, 탄소 도핑된 실리콘 옥사이드(SiCOH) 층, 또는 이들의 조합을 포함할 수 있다. 추가적으로, 웨이퍼는 구리 층, 텅스텐 층, 또는 귀금속 층(예를 들어, 백금, 팔라듐 로듐, 또는 금)을 포함할 수 있다. 플라스틱 층, 예를 들어, 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌설포네이트)[PEDOT:PSS]가 또한 사용될 수 있다. 층들은 평면이거나 패턴화될 수 있다.
기술된 공정들은 Zr-함유 층을 웨이퍼 상에 직접적으로 또는 (기판으로부터 층들을 패턴화할 때) 웨이퍼의 상부 상의 층들 중 하나 또는 하나 초과 상에 직접적으로 증착시킬 수 있다. 기판은 높은 종횡비를 갖는 비아(via) 또는 트랜치(trench)를 포함하기 위해 패턴화될 수 있다. 예를 들어, 콘포말한(conformal) Zr-함유 막, 예를 들어, ZrO2는 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 쓰루 실리콘 비아(through silicon via, TSA) 상에 임의 ALD 기술을 이용하여 증착될 수 있다. 또한, 당업자는, 본원에서 사용되는 용어 "막" 또는 "층"이 표면 상에 놓여 있거나 표면에 걸쳐 분산된 소정 두께의 일부 물질을 지칭하며 표면이 트랜치 또는 라인일 수 있다는 것을 인식할 것이다. 명세서 및 청구항 전반에 걸쳐, 웨이퍼 및 그 위의 임의 관련된 층들은 기판으로서 지칭된다. 예를 들어, ZrO2 막은 TiN 기판 상에 증착될 수 있다. 후속 가공에서, TiN 층은 ZrO2 층 상에 증착되어, DRAM 커패시터로서 사용되는 TiN/ZrO2/TiN 스택을 형성시킬 수 있다.
반응기 내의 온도 및 압력은 증기 증착을 위해 적합한 조건에서 유지된다. 다시 말해서, 챔버내로 증기화된 조성물의 도입 후에, 챔버 내의 조건들은, 지르코늄-함유 막을 형성시키기 위해 전구체의 적어도 일부가 기판 상에 증착되게 한다. 예를 들어, 반응기에서의 압력은 증착 파라미터에 따라 요구되는 경우에, 약 1 Pa 내지 약 105 Pa, 더욱 바람직하게, 약 25 Pa 내지 약 103 Pa로 유지될 수 있다. 마찬가지로, 반응기에서의 온도는 약 100℃ 내지 약 500℃, 바람직하게 약 150℃ 내지 약 400℃로 유지될 수 있다. 당업자는, "전구체의 적어도 일부가 증착된다는 것"이 기술된 Zr-함유 막 형성 조성물 중의 일부 또는 모든 전구체가 그 자체로 또는 반응물의 도움으로 기판과 반응하거나 기판에 접착함을 의미한다는 것을 인식할 것이다.
반응기의 온도는 기판 홀더의 온도를 조절하거나 반응기 벽의 온도를 조절함으로써 조절될 수 있다. 기판을 가열시키기 위해 사용되는 디바이스는 당해 분야에 공지되어 있다. 반응기 벽은 충분한 성장률에서 그리고 요망되는 물리적 상태 및 조성을 갖는 요망되는 막을 수득하기 위해 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비-제한적인 예시적인 온도는 대략 100℃ 내지 대략 500℃를 포함한다. 플라즈마 증착 공정이 사용될 때, 증착 온도는 대략 150℃ 내지 대략 400℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행될 때, 증착 온도는 대략 200℃ 내지 대략 500℃의 범위일 수 있다.
기술된 전구체 이외에, 반응물은 또한, 반응기내로 도입될 수 있다. 반응물은 산화 가스, 예를 들어, O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼, 예를 들어, O· 또는 OH·, NO, NO2, 카복실산, 포름산, 아세트산, 프로피온산, 및 이들의 혼합물일 수 있다. O21Δg 싱글렛 산소(singlet oxygen), 1Σg + 싱글렛 산소, 통상적인 3Σg - 트리플렛 산소(triplet oxygen), 또는 이들의 조합을 포함할 수 있다. 보다 높은 에너지 상태의 O2는 전기 방전, JP2012/087025호(Ito 등)에 의해 기술된 것과 같은 감광제의 조사를 이용하여, 또는 문헌[Velasquez-Garcia et al. (J Microelectromechanical Systems Vol. 16, No. 6, December 2007)]에 의해 기술된 것과 같은 MEMS 칩에 의해 발생될 수 있다. 본 출원인은 트리플렛 산소를 갖는 싱글렛 산소 및/또는 오존의 혼합물이 보다 높은 에너지 상태 O2의 짧은 수명으로 인해 하부 기판을 손상시키지 않으면서 적합한 반응성을 제공할 수 있는 것으로 여긴다. 대안적으로, 산화 가스는 O2, O3, H2O, H2O2, 이의 산소 함유 라디칼, 예를 들어, O· 또는 OH·, 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
대안적으로, 반응물은 환원 가스, 예를 들어, H2, H2CO, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N-함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이의 라디칼 종들, 및 이들의 혼합물일 수 있다. 바람직하게, 환원 가스는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이의 수소 라디칼, 또는 이들의 혼합물이다.
반응물을 이의 라디칼 형태로 분해시키기 위해, 반응물은 플라즈마에 의해 처리될 수 있다. N2는 또한, 플라즈마로 처리될 때 환원 가스로서 사용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게 약 100 W 내지 약 400 W 범위의 출력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로, 예를 들어, 원거리에 위치된 플라즈마 시스템에서, 반응기로부터 제거된 위치에 존재할 수 있다. 당업자는 이러한 플라즈마 처리를 위해 적합한 방법 및 장치를 인식할 것이다.
예를 들어, 반응물은 반응 챔버에서 플라즈마-처리된 반응물을 형성시키기 위해, 반응 챔버에서 플라즈마를 발생시키는 직접 플라즈마 반응기내로 도입될 수 있다. 예시적인 직접 플라즈마 반응기는 Trion Technologies에 의해 생산된 Titan™ PECVD System을 포함한다. 반응물은 플라즈마 가공 이전에 반응 챔버내로 도입되고 유지될 수 있다. 대안적으로, 플라즈마 가공은 반응물의 도입과 동시에 일어날 수 있다. 인-시튜 플라즈마(in-situ plasma)는 통상적으로, 샤워 헤드와 기판 홀더 사이에서 발생되는 13.56 MHz RF 유도 결합된 플라즈마이다. 기판 또는 샤워 헤드는 양이온 충돌이 일어나는 지의 여부에 따라 전력공급된 전극(powered electrode)일 수 있다. 인-시튜 플라즈마 발생기에서 통상적으로 인가된 전력은 대략 30 W 내지 대략 1000 W이다. 바람직하게, 대략 30 W 내지 대략 600 W의 전력이 기술된 방법에서 사용된다. 더욱 바람직하게, 전력은 대략 100 W 내지 대략 500 W의 범위이다. 인-시튜 플라즈마를 사용한 반응물의 해리는 통상적으로, 동일한 전력 투여를 위한 원격 플라즈마 소스를 이용하여 달성된 것보다 적고, 이에 따라, 원격 플라즈마 시스템 정도로 반응물 해리에 있어서 효율적이지 않는데, 이는 플라즈마에 의해 용이하게 손상되는 기판 상에 지르코늄-함유 막의 증착을 위해 유익할 수 있다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버 외측에서 형성될 수 있다. MKS Instruments' ASTRONi® 반응성 가스 발생기는 반응 챔버로 통과하기 전에 반응물을 처리하기 위해 사용될 수 있다. 2.45 GHz, 7 kW 플라즈마 전력, 및 대략 0.5 Torr 내지 대략 10 Torr 범위의 압력에서 작동시키는 경우에, 반응물 O2는 O· 라디칼로 분해될 수 있다. 바람직하게, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 더욱 바람직하게, 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 발생될 수 있다.
챔버 내의 증기 증착 조건은 기술된 전구체 및 반응물을 기판 상에서 반응시켜 지르코늄-함유 막을 형성시킬 수 있다. 일부 구현예에서, 본 출원인은, 반응물을 플라즈마-처리하는 것이 기술된 전구체와 반응시키기 위해 요구되는 에너지와 함께 반응물을 제공할 수 있을 것으로 여긴다.
증착되기 위해 요망되는 막의 타입에 따라, 추가적인 전구체 물질은 반응기내로 도입될 수 있다. 추가적인 전구체는 지르코늄-함유 막에 동일한 원소(즉, Zr) 또는 추가적인 원소를 제공하기 위해 사용될 수 있다. 추가적인 원소는 하프늄, 니오븀, 탄탈, 란타나이드(이터븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 게르마늄, 실리콘, 티탄, 망간, 코발트, 니켈, 루테늄, 비스무트, 납, 마그네슘, 알루미늄, 또는 이들의 혼합물을 포함할 수 있다. 추가적인 전구체가 사용될 때, 기판 상에 증착된 얻어진 막은 적어도 하나의 추가적인 원소와 함께 지르코늄을 함유할 수 있다.
지르코늄-함유 막 형성 조성물 및 반응물은 동시에(화학적 증기 증착), 순차적으로(원자층 증착) 중 어느 하나, 또는 이들의 상이한 조합으로 반응기내로 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 가스로 퍼징될 수 있다. 대안적으로, 반응물 및 조성물은 반응물/조성물 혼합물을 형성시키기 위해 함께 혼합될 수 있고, 이후에, 혼합물 형태로 반응기내로 도입될 수 있다. 다른 예는 반응물을 연속적으로 도입시키고 펄스(펄스화된 화학적 증기 증착)에 의해 지르코늄-함유 막 형성 조성물을 도입시키는 것이다.
증기화된 조성물 및 반응물은 반응기에 순차적으로 또는 동시에 펄스화될 수 있다(예를 들어, 펄스화된 CVD). 조성물의 각 펄스는 약 0.01초 내지 약 10초, 대안적으로, 약 0.3초 내지 약 3초, 대안적으로, 약 0.5초 내지 약 2초 범위의 시간 동안 지속할 수 있다. 다른 구현예에서, 반응물은 또한, 반응기에 펄스화될 수 있다. 이러한 구현예에서, 각 가스의 펄스는 약 0.01초 내지 약 10초, 대안적으로, 약 0.3초 내지 약 3초, 대안적으로, 약 0.5초 내지 약 2초 범위의 시간 동안 지속할 수 있다. 다른 대안으로, 증기화된 조성물 및 하나 이상의 반응물은 수 개의 웨이퍼들을 보유하는 서셉터가 회전 시에 샤워 헤드(shower head)로부터 동시에 분사될 수 있다(공간적 ALD).
특정 공정 파라미터에 따라, 증착은 다양한 시간 길이 동안 수행할 수 있다. 일반적으로, 증착은 필수적인 성질들을 갖는 막을 형성시키기 위해 요망되는 만큼 또는 필요한 만큼 길게 지속할 수 있다. 통상적인 박막 두께는 특정 증착 공정에 따라, 수 옹스트롱 내지 수백 마이크론으로 달라질 수 있다. 증착 공정은 또한, 요망되는 막 두께를 수득하기 위해 필요한 만큼 여러 차례 수행될 수 있다.
하나의 비-제한적인 예시적 CVD 타입 공정에서, 기술된 지르코늄-함유 막 형성 조성물 및 반응물의 증기 상은 반응기내로 동시에 도입된다. 두 가지 물질은 반응하여 얻어진 지르코늄-함유 박막을 형성시킨다. 이러한 예시적 CVD 공정에서 반응물이 플라즈마로 처리될 때, 예시적 CVD 공정은 예시적인 PECVD 공정이 된다. 반응물은 챔버내로 도입시키기 전 또는 후에 플라즈마로 처리될 수 있다.
하나의 비-제한적인 예시적 ALD 타입 공정에서, 기술된 지르코늄-함유 막 형성 조성물의 증기 상은 반응기내로 도입되며, 여기서, 이는 적합한 기판과 접촉된다. 과량의 조성물은 이후에, 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거될 수 있다. 반응물(예를 들어, H2)은 반응기내로 도입되며, 여기서, 이는 자기-제한적 방식으로 물리-흡착 반도체 또는 화학-흡착 전구체와 반응한다. 임의 과량의 반응물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 요망되는 막이 지르코늄 막인 경우에, 이러한 2-단계 공정은 요망되는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 요망되는 막이 지르코늄 및 제2 원소를 함유하는 경우에, 상기 2-단계 공정 이후에, 반응기내로 추가적인 전구체 화합물의 증기를 도입시킬 수 있다. 추가적인 전구체 화합물은 증착될 지르코늄 막의 특성을 기초로 하여 선택될 것이다. 반응기내로 도입시킨 후에, 추가적인 전구체 화합물은 기판과 접촉된다. 임의 과량의 전구체 화합물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 다시 한번, 반응물은 물리-흡착 전구체 화합물 또는 화학-흡착 전구체 화합물과 반응시키기 위해 반응기내로 도입될 수 있다. 과량의 반응물 가스는 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 요망되는 막 두께가 달성되는 경우에, 공정은 종결될 수 있다. 그러나, 보다 두꺼운 막이 요망되는 경우에, 전체 4-단계 공정이 반복될 수 있다. 지르코늄-함유 막 형성 조성물, 추가적인 전구체 화합물, 및 반응물의 제공을 교대함으로써, 요망되는 조성 및 두께의 막이 증착될 수 있다.
이러한 예시적인 ALD 공정에서의 반응물이 플라즈마로 처리될 때, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 반응물은 챔버내로 도입 전 또는 후에 플라즈마로 처리될 수 있다.
제2의 비-제한적인 예시적인 ALD 타입 공정에서, 기술된 Zr-함유 막 형성 조성물 중 하나, 예를 들어, (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)의 증기 상은 반응기내로 도입되며, 여기서, 이는 TiN 기판과 접촉된다. 과량의 Zr-함유 막 형성 조성물은 이후에, 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거될 수 있다. 반응물(예를 들어, O3)은 반응기내로 도입되며, 여기서, 이는 ZrO2 또는 (Zr, Si)O2 막을 형성시키기 위해 자기-제한적 방식으로 물리-흡착 전구체 또는 화학-흡착 전구체와 반응한다. 임의 과량의 반응물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 이러한 2 단계는 ZrO2 또는 (Zr, Si)O2 막이 요망되는 두께를 수득할 때까지 반복될 수 있다. TiN 층은 이후에, ZrO2 또는 (Zr, Si)O2 층의 상부 상에 증착될 수 있다. 얻어진 TiN/ZrO2/TiN 또는 TiN/(Zr, Si)O2/TiN 스택이 DRAM 커패시터에서 사용될 수 있다.
제3의 비-제한적인 예시적 ALD 타입 공정에서, 기술된 Zr-함유 막 형성 조성물 중 하나, 예를 들어, (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)의 증기 상은 제1 단계에서 반응기내로 도입되며, 여기서, 이는 TiN 기판과 접촉된다. 과량의 Zr-함유 막 형성 조성물은 이후에 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거될 수 있다. 반응물(예를 들어, O3)은 반응기내로 도입되며, 여기서, 이는 (Zr, Si)O2 막을 형성시키기 위해 자기-제한적인 방식으로 화학-흡착 전구체 또는 물리-흡착 전구체와 반응한다. 임의 과량의 반응물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 이러한 2 단계는 서브사이클로서 고려될 수 있고, 요망되는 두께의 (Zr, Si)O2 막을 수득하기 위해 x회 반복될 수 있다.
제2 단계에서, Zr-함유 전구체, 예를 들어, (메틸)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV) 또는 (에틸)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)의 증기 상은 동일한 반응기내로 도입된다. 과량의 Zr-함유 전구체는 이후에, 반응기를 퍼징시키고/거나 배기시킴으로서 반응기로부터 제거될 수 있다. 반응물(예를 들어, O3)은 반응기내로 도입되며, 여기서, 이는 ZrO2 막을 형성시키기 위해 자기-제한적인 방식으로 화학-흡착 Zr-함유 전구체 또는 물리-흡착 Zr-함유 전구체와 반응한다. 임의 과량의 반응물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 이러한 2 단계는 서브사이클로서 간주될 수 있고, 요망되는 두께의 순수한 ZrO2 막을 수득하기 위해 y회 반복될 수 있다. (Zr, Si)O2의 x회 서브사이클 및 ZrO2의 y회 서브사이클로 이루어진 수퍼사이클은 요망되는 두께의 (Zr, Si)O2 필름을 수득하기 위해 반복될 수 있다. Zr 함량 및 Si 함량은 x회 사이클 및 y회 사이클의 수를 조정함으로써 조절될 수 있다(x 및 y는 독립적으로 1 내지 20의 범위일 수 있다). TiN 층은 이후에, ZrO2 또는 (Zr, Si)O2 층의 상부 상에 증착될 수 있다. 얻어진 TiN/ZrO2/TiN 또는 TiN/(Zr, Si)O2/TiN 스택이 DRAM 커패시터에서 사용될 수 있다.
제4의 비-제한적인 예시적 ALD 타입 공정에서, 기술된 Zr-함유 막 형성 조성물, 예를 들어, (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV), 및 Zr-함유 전구체, 예를 들어, (이소프로필)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV) 또는 (3차부틸)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 함유한 혼합물의 증기상은 반응기내로 도입되며, 여기서, 이는 기판, 예를 들어, TiN, NbN, Ru, TiO2, MoO2 또는 MoO3과 접촉된다. 과량의 혼합물은 이후에, 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거될 수 있다. 반응물(예를 들어, O3)은 반응기내로 도입되며, 여기서, 이는 (Zr, Si)O2 막을 형성시키기 위해 자기-제한 방식으로 화학-흡착 또는 물리-흡착 전구체와 반응한다. 임의 과량의 반응물은 반응기를 퍼징시키고/거나 배기시킴으로써 반응기로부터 제거된다. 이러한 두 개의 단계들은 (Zr, Si)O2 막이 요망되는 두께를 수득할 때까지 반복될 수 있다. Zr 함량 및 Si 함량은 혼합물 중의 지르코늄-함유 막 형성 조성물과 지르코늄-함유 전구체 간의 비율을 조정함으로써 조절될 수 있다. TiN 층은 (Zr, Si)O2 층의 상부 상에 증착될 수 있다. 얻어진 TiN/ZrO2/TiN 또는 TiN/(Zr, Si)O2/TiN 스택(stack)이 DRAM 커패시터에서 사용될 수 있다.
상기에 논의된 공정들로부터 형성된 지르코늄-함유 막은 순수한 지르코늄, 지르코늄 실리사이드(ZrkSil), 지르코늄 옥사이드(ZrmOn), 규소-도핑된 지르코늄 옥사이드(ZroSipOq), 지르코늄 니트라이드(ZrrNs), 또는 규소-도핑된 지르코늄 니트라이드(ZrtSiuNv)를 포함하며, 여기서, k, l, m, n, o, p, q, r, s, t, u, 및 v는 1 내지 6을 포괄적으로 포함하는 정수이다. 당업자는 적절하게 기술된 Zr-함유 막 형성 조성물, 선택적 전구체 화합물, 및 반응물 종의 차별되는 선택에 의해, 요망되는 막 조성물이 수득될 수 있다는 것을 인식할 것이다.
요망되는 막 두께를 수득 시에, 막은 가열 어닐링(thermal annealing), 로-어닐링(furnace-annealing), 급속 가열 어닐링(rapid thermal annealing), UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 가공으로 처리될 수 있다. 당업자는 이러한 추가적인 가공 단계들을 수행하기 위해 사용되는 시스템 및 방법을 인식한다. 예를 들어, 지르코늄-함유 막은 불활성 대기, H-함유 대기, N-함유 대기, O-함유 대기, 또는 이들의 조합 하에서, 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게, 온도는 H-함유 대기 또는 O-함유 대기 하에서 3600초에서 400℃이다. 얻어진 막은 보다 적은 불순물을 함유할 수 있고, 이에 따라, 개선된 밀도를 가져서 개선된 누설 전류를 야기시킬 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있으며, 어닐링/플래시 어닐링 공정(annealing/flash annealing process)은 별도의 장치에서 수행된다. 임의 상기 후-처리 방법, 특히 가열 어닐링은 지르코늄-함유 막의 탄소 및 질소 오염을 감소시키는데 효과적인 것으로 확인되었다. 이는 또한, 막의 저항률(resistivity)을 개선시키는 경향이 있다.
실시예
하기 실시예는 본원의 개시와 함께 수행된 실험들을 예시한 것이다. 실시예들은 모두 포함하는 것으로 의도되지 않고, 본원에 기술된 본 발명의 범위를 제한하는 것으로 의도되지 않는다.
실시예 1 : ( 트리메틸실릴 ) 시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)[Zr (TMS-Cp)(NMe 2 ) 3 ] 합성
실온에서, ca. 300 mL의 톨루엔 중 Zr(NMe2)4(66.9 g, 0.25 mol)의 용액에 새로이 증류된 TMSCpH(34.1 g, 0.25 mol)를 적가하였다. 혼합물을 밤새 교반하였다. 이후에, 용매를 진공 하에서 제거하여 밝은 황색의 액체를 수득하였다. 이후에, 물질을 130℃ @ 180 mTorr에서의 증류에 의해 정제하여 68.9 g(76%)의 순수한 황색 액체를 수득하였다. NMR1H 스펙트럼은 도 3에 제공되어 있다. NMR1H (δ, ppm, C6D6): 6.28 (m, 4H), 2.94 (s, 18H), 0.27 (s, 9H).
200 mL/min에서 질소를 흘려 보내는 대기 중에서 10℃/min의 온도 상승 속도로 측정된 TGA 분석 동안 오일에는 3.6% 잔류 물질이 잔류하였다. 이러한 결과는 중량 손실 백분율(TGA) 및 온도 증가 시에 시차 온도(DTA)를 예시하는 TGA/DTA 그래프인 도 6에 도시되어 있다.
실시예 2 : ( 디메틸실릴 ) 시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)[Zr (DMS-Cp)(NMe 2 ) 3 ] 합성
실온에서 ca. 20 mL의 톨루엔 중 Zr(NMe2)4(1.23 g, 4.6 mmol)의 용액에 새로이 증류된 DMSCp(0.73 g, 4.6 mmol)를 적가하였다. 혼합물을 밤새 교반하였다. 이후에, 용매를 진공 하에 제거하여 밝은 황색 액체를 수득하였다. 이후에, 물질을 120℃ @ 14 mTorr에서의 증류에 의해 정제하여 0.63 g(40%)의 순수한 황색 액체를 수득하였다. NMR1H 스펙트럼은 도 4에 제공되어 있다. NMR1H (δ, ppm, C6D6): 6.26-6.29 (m, 4H), 4.65 (m, 1H), 2.94 (s, 18H), 0.27 (d, 6H).
200 mL/min에서 질소를 흘려 보내는 대기 중에서 10℃/min의 온도 상승 속도로 측정된 TGA 분석 동안 오일에는 27% 잔류 물질이 잔류하였다. 이러한 결과는 중량 손실 백분율(TGA) 및 온도 증가 시에 시차 온도(DTA)를 예시하는 TGA/DTA 그래프인 도 7에 도시되어 있다.
실시예 3: ( 트리메틸실릴 ) 시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)[Zr (TMS-Cp)(OiPr) 3 ] 합성
0℃에서 ca. 20 mL의 THF 중 Zr(TMSCp)(NMe2)3(2.00 g, 5.5 mmol)의 용액에 무수 이소프로판올(1.00 g, 16.6 mmol)을 적가하였다. 혼합물을 실온에서 밤새 교반하였다. 이후에, 용매를 진공 하에 제거하여 황색 오일을 수득하였다. 이후에, 물질을 100℃에서 증류에 의해 정제하여 1.28 g(57%)의 순수한 옅은 황색 오일을 수득하였다. NMR1H 스펙트럼은 도 5에 제공되어 있다. NMR1H (δ, ppm, C6D6): 6.59 (t, 2H), 6.42 (t, 2H), 4.24 (m, 3H), 1.16 (d, 18H), 0.36 (s, 9H).
200 mL/min에서 질소를 흘려 보내는 대기 중에서 10℃/min의 온도 상승 속도로 측정된 TGA 분석 동안 오일에는 2.5% 잔류 물질이 잔류하였다. 이러한 결과는 중량 손실 백분율(TGA) 및 온도 증가 시에 시차 온도(DTA)를 예시하는 TGA/DTA 그래프인 도 8에 도시되어 있다.
실시예 4 : ( 트리메틸실릴 ) 시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr (TMS-Cp)(NMe 2 ) 3 )의 ALD
ALD 시험을 60℃까지 가열된 용기에 배치된, 실시예 1에서 제조된 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 사용하여 그리고 산화 반응물로서 O3을 사용하여 수행하였다. 약 0.5 Torr에서 고정된 반응기 압력과 함께 통상적인 ALD 조건을 사용하였다. 완전한 표면 포화 및 반응을 갖는 ALD 거동은 실리콘 웨이퍼 상에서 200 내지 450℃의 온도 윈도우(temperature window)에서 평가되었다. 도 9는 온도에 따른 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 사용한 ALD 모드에서의 ZrO의 성장률을 도시한 것이다. 성장률은 전구체가 열적으로 분해되지 않는 최대 ALD 온도인 200℃ 내지 375℃에서 0.56 내지 0.7Å/사이클인 것으로 평가되었다. 도 10은 300℃ 및 375℃에서 전구체 도입 시간에 따른 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 사용한 ALD 모드에서의 ZrO의 성장률을 도시한 것이다. 375℃까지 관찰된 전구체 도입 시간에 따른 안정한 성장률을 갖는 완전한 포화는 이러한 전구체의 특별한 열적 안정성을 나타낸다.
도 11 및 도 12는 300℃ 및 375℃ 각각에서 형성된 막의 X-선 광전자 분광법(XPS) 분석을 도시한 것이다. 도 13은 325℃에서 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 사용하여 1:10 종횡비 패턴 웨이퍼에 증착된 막의 주사전자현미경(SEM)을 도시한 것이고, 100% 단차 피복 성능을 도시한 것이다. 도 14는 또한, 325℃에서 (트리메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)을 사용하여 1:40 종횡비 패턴 웨이퍼에서 증착된 막의 SEM을 도시한 것이고, 85% 단차 피복 성능을 도시한 것이다.
본 발명의 특성을 설명하기 위해 본원에 기술되고 예시된, 세부사항, 물질, 단계 및 배열의 여러 추가적인 변형이 첨부된 청구범위에서 표현된 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있는 것으로 이해될 것이다. 이에 따라, 본 발명은 상기에 제공된 실시예 및/또는 첨부된 도면에서의 특정 구현예로 제한되도록 의도되지 않는다.

Claims (15)

  1. 하기 화학식 I을 갖는 규소- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물로서,
    [화학식 I]
    Figure 112022087558472-pct00009
    .
    (상기 식에서, 각각의 R1, R2, R3, R4, R5, R6, R7, R8 및 R9 은 독립적으로 H; C1-C5 선형, 분지형, 또는 환형 알킬 기; 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기로부터 선택됨)
    상기 전구체가 (디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NMe2)3); (디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHMe)3); (디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NEt2)3); (디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHEt)3); (디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NEtMe)3); (디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NnPr2)3); (디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NHnPr)3); (디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NiPr2)3); (디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(DMS-Cp)(NHiPr)3); (디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NnBu2)3); (디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHnBu)3); (디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NiBu2)3); (디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHiBu)3); (디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NsBu2)3); (디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHsBu)3); (디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NtBu2)3); (디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(DMS-Cp)(NHtBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NMe2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHMe)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NEt2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHEt)3); (트리플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NEtMe)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NnPr2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHnPr)3) (트리플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NiPr2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHiPr)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NnBu2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHnBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NiBu2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHiBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NsBu2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHsBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NtBu2)3); (트리플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(F3Si-Cp)(NHtBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NMe2)3); (디플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHMe)3); (디플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NEt2)3); (디플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHEt)3); (디플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NEtMe)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NnPr2)3); (디플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHnPr)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NiPr2)3); (디플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHiPr)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NnBu2)3); (디플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHnBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NiBu2)3); (디플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHiBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NsBu2)3); (디플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHsBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NtBu2)3); (디플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(F2HSi-Cp)(NHtBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NMe2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHMe)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NEt2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHEt)3); (모노플루오로실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NEtMe)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NnPr2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHnPr)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NiPr2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHiPr)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NnBu2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHnBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NiBu2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHiBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NsBu2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHsBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NtBu2)3); (모노플루오로실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(FH2Si-Cp)(NHtBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NMe2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHMe)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NEt2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHEt)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NEtMe)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NnPr2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHnPr)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NiPr2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHiPr)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NnBu2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHnBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NiBu2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHiBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NsBu2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHsBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NtBu2)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr(FMe2Si-Cp)(NHtBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NMe2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHMe)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NEt2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHEt)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NEtMe)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NnPr2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHnPr)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NiPr2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHiPr)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NnBu2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHnBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NiBu2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHiBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NsBu2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHsBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NtBu2)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)3Si-Cp)(NHtBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NMe2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHMe)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NEt2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHEt)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NEtMe)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NnPr2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHnPr)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NiPr2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHiPr)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NnBu2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHnBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NiBu2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHiBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NsBu2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHsBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NtBu2)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)2HSi-Cp)(NHtBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NMe2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHMe)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디에틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NEt2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHEt)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에틸메틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NEtMe)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 n-프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NnPr2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHnPr)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 이소프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NiPr2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소프로필아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHiPr)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 n-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NnBu2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHnBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 이소부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NiBu2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHiBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 2차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NsBu2)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(2차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHsBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(디 3차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NtBu2)3); 및 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(3차-부틸아미노)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(NHtBu)3)으로 이루어진 군으로부터 선택되는 지르코늄-함유 막 형성 조성물.
  2. 하기 화학식 II를 갖는 규소- 및 지르코늄-함유 전구체를 포함하는 지르코늄-함유 막 형성 조성물로서,
    [화학식 II]
    Figure 112022087558472-pct00010
    .
    (상기 식에서, 각각의 R1, R2, R3, R4, R5, R6, R7 및 R10 은 독립적으로 H; C1-C5 선형, 분지형, 또는 환형 알킬 기; 또는 C1-C5 선형, 분지형, 또는 환형 플루오로알킬 기로부터 선택됨)
    상기 전구체가 (디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(DMS-Cp)(OMe)3); (디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(DMS-Cp)(OEt)3); (디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(DMS-Cp)(OnPr)3); (디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(DMS-Cp)(OiPr)3); (디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OtBu)3); (디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OsBu)3); (디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(DMS-Cp)(OnBu)3); (디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(DMS-Cp)(OiBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(F3Si-Cp)(OMe)3); (트리플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(F3Si-Cp)(OEt)3); (트리플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(F3Si-Cp)(OnPr)3); (트리플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(F3Si-Cp)(OiPr)3); (트리플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OtBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OsBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OnBu)3); (트리플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(F3Si-Cp)(OiBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OMe)3); (디플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OEt)3); (디플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(F2HSi-Cp)(OnPr)3); (디플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(F2HSi-Cp)(OiPr)3); (디플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OtBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OsBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OnBu)3); (디플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(F2HSi-Cp)(OiBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OMe)3); (모노플루오로실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OEt)3); (모노플루오로실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(FH2Si-Cp)(OnPr)3); (모노플루오로실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(FH2Si-Cp)(OiPr)3); (모노플루오로실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OtBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OsBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OnBu)3); (모노플루오로실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(FH2Si-Cp)(OiBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OMe)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OEt)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr(FMe2Si-Cp)(OnPr)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr(FMe2Si-Cp)(OiPr)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OtBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OsBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OnBu)3); (플루오로 디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr(FMe2Si-Cp)(OiBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OMe)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OEt)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OnPr)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OiPr)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OtBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OsBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OnBu)3); (트리스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr((CF3)3Si-Cp)(OiBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OMe)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OEt)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OnPr)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OiPr)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OtBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OsBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OnBu)3); (비스(트리플루오로메틸)실릴)시클로펜타디에닐 트리스(이소-부톡시)지르코늄(IV)(Zr((CF3)2HSi-Cp)(OiBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(메톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OMe)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(에톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OEt)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-프로폭시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OnPr)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소프로폭시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OiPr)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(3차-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OtBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(2차-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OsBu)3); ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(n-부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OnBu)3); 및 ((트리플루오로메틸)디메틸실릴)시클로펜타디에닐 트리스(이소부톡시)지르코늄(IV)(Zr((CF3)Me2Si-Cp)(OiBu)3)으로 이루어진 군으로부터 선택되는 지르코늄-함유 막 형성 조성물.
  3. 제1항 또는 제2항에 있어서, 조성물이 95% w/w 내지 100% w/w의 전구체를 포함하는 지르코늄-함유 막 형성 조성물.
  4. 제1항 또는 제2항에 있어서, 조성물이 0.0% w/w 초과 내지 5.0% w/w의 불순물을 포함하고,
    상기 불순물은 알코올; 알킬아민; 디알킬아민; 알킬이민; 시클로펜타디엔; 디시클로펜타디엔; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화된 금속 화합물; 리튬, 소듐, 또는 칼륨 알킬아미노; 리튬, 소듐, 또는 칼륨 알콕시; 및/또는 리튬, 소듐, 또는 칼륨 시클로펜타디에닐으로부터 선택되는 하나 이상인 지르코늄-함유 막 형성 조성물.
  5. 제1항 또는 제2항에 있어서, 조성물이 0 ppbw 초과 내지 1 ppmw 금속 불순물을 포함하고,
    상기 금속 불순물은 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 소듐(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티탄(Ti), 우라늄(U), 및/또는 아연(Zn)으로부터 선택되는 하나 이상인 지르코늄-함유 막 형성 조성물.
  6. 내부에 기판이 배치된 반응기내로 제1항 또는 제2항의 지르코늄-함유 막 형성 조성물의 증기를 도입시키는 단계 및 기판 상에 규소- 및 지르코늄-함유 전구체 중 적어도 일부를 증착시키는 단계를 포함하는, 기판 상에 지르코늄-함유 막을 증착시키는 방법.
  7. 제6항에 있어서, 반응기내로 적어도 하나의 반응물을 도입시키는 단계를 추가로 포함하며, 반응물이 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  8. 제6항에 있어서, 반응기내로 적어도 하나의 반응물을 도입시키는 단계를 추가로 포함하며, 반응물이 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020177018878A 2014-12-23 2015-12-17 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물 KR102492017B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/580,352 US9663547B2 (en) 2014-12-23 2014-12-23 Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US14/580,352 2014-12-23
PCT/US2015/066450 WO2016106090A1 (en) 2014-12-23 2015-12-17 Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films

Publications (2)

Publication Number Publication Date
KR20170097677A KR20170097677A (ko) 2017-08-28
KR102492017B1 true KR102492017B1 (ko) 2023-01-25

Family

ID=53399381

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177018878A KR102492017B1 (ko) 2014-12-23 2015-12-17 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물

Country Status (6)

Country Link
US (1) US9663547B2 (ko)
JP (1) JP6492178B2 (ko)
KR (1) KR102492017B1 (ko)
CN (1) CN107210219A (ko)
TW (1) TWI693229B (ko)
WO (1) WO2016106090A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6501544B2 (ja) * 2015-02-10 2019-04-17 東ソー株式会社 第4族金属錯体、その製造方法、第4族金属含有薄膜の作製方法
JP6941670B2 (ja) * 2016-09-09 2021-09-29 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物
KR20180038823A (ko) 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10364259B2 (en) * 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10925804B2 (en) 2017-10-04 2021-02-23 Sundance Spas, Inc. Remote spa control system
CN109338331A (zh) * 2018-11-27 2019-02-15 合肥安德科铭半导体科技有限公司 一种高介电常数的硅掺杂的氧化锆薄膜的制备方法及其产物

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4931417A (en) 1987-11-09 1990-06-05 Chisso Corporation Transition-metal compound having a bis-substituted-cyclopentadienyl ligand of bridged structure
CA2070654C (en) * 1990-10-05 2003-06-03 Takuji Okamoto Process for producing cyclic olefin based polymers, cyclic olefin copolymers, compositions and molded articles comprising the copolymers
ATE153680T1 (de) * 1992-03-03 1997-06-15 Idemitsu Kosan Co Pfropfcopolymer, verfahren zu seiner herstellung und harzzusammensetzung, worin es enthalten ist
US6428623B2 (en) 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
IT1272939B (it) 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
JP3787574B2 (ja) 1995-02-28 2006-06-21 マイクロン・テクノロジー・インコーポレーテッド プレカーサを用いた化学蒸着
US5527752A (en) 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
IT1283010B1 (it) 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US6638876B2 (en) * 2000-09-19 2003-10-28 Mattson Technology, Inc. Method of forming dielectric films
US6943224B2 (en) * 2001-04-30 2005-09-13 W. R. Grace & Co.-Conn. Process for preparing supported transition metal catalyst systems and catalyst systems prepared thereby
US6669990B2 (en) 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
DE02772548T1 (de) 2001-10-26 2004-11-11 Epichem Ltd., Wirral Vorlaeuferverbindungen für chemische dampfphasenabscheidung
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
WO2004010469A2 (en) 2002-07-18 2004-01-29 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7307177B2 (en) * 2004-12-17 2007-12-11 Exxonmobil Chemical Patents Inc. Metallocene complexes, their synthesis and use in catalyst systems for olefin polymerization
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
CA2615982C (en) 2005-07-19 2012-02-21 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007066546A1 (ja) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
EP2464652A4 (en) 2009-08-14 2013-01-09 Air Liquide HAFNIUM AND ZIRCONIUM-CONTAINING PRECEDENTS AND METHOD OF USE THEREOF
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US9034761B2 (en) * 2011-07-22 2015-05-19 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US8760845B2 (en) 2012-02-10 2014-06-24 Nanya Technology Corp. Capacitor dielectric comprising silicon-doped zirconium oxide and capacitor using the same
TW201410688A (zh) * 2012-05-25 2014-03-16 Air Liquide 用於蒸氣沈積之含鋯前驅物

Also Published As

Publication number Publication date
KR20170097677A (ko) 2017-08-28
CN107210219A (zh) 2017-09-26
WO2016106090A1 (en) 2016-06-30
TW201630921A (zh) 2016-09-01
US9663547B2 (en) 2017-05-30
JP2018503247A (ja) 2018-02-01
JP6492178B2 (ja) 2019-03-27
TWI693229B (zh) 2020-05-11
US20150176120A1 (en) 2015-06-25

Similar Documents

Publication Publication Date Title
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR102536435B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
KR102492017B1 (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US20160307904A1 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
JP7022752B2 (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積
KR102514667B1 (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
US20170152144A1 (en) Niobium-nitride film forming compositions and vapor deposition of niobium-nitride films
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9786671B2 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US20200277315A1 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20170018425A1 (en) Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
JP6941670B2 (ja) 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant