CN107210219A - 用于气相沉积含锆膜的含锆膜形成组合物 - Google Patents

用于气相沉积含锆膜的含锆膜形成组合物 Download PDF

Info

Publication number
CN107210219A
CN107210219A CN201580073605.6A CN201580073605A CN107210219A CN 107210219 A CN107210219 A CN 107210219A CN 201580073605 A CN201580073605 A CN 201580073605A CN 107210219 A CN107210219 A CN 107210219A
Authority
CN
China
Prior art keywords
zirconium
cyclopentadienyl group
silicyl
dimetylsilyl
trifluoromethyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201580073605.6A
Other languages
English (en)
Inventor
克莱蒙特·兰斯洛特-马特拉斯
尤利安·利夫里希
石井华
克里斯汀·杜斯拉特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN107210219A publication Critical patent/CN107210219A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F19/00Metal compounds according to more than one of main groups C07F1/00 - C07F17/00
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)

Abstract

披露了含锆膜形成组合物,这些组合物包含具有下式之一的含锗和锆的前体:其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10各自独立地选自H;C1‑C5直链、支链或环状烷基;和C1‑C5直链、支链或环状氟烷基。还披露了合成所披露的组合物以及使用其通过气相沉积工艺在基板上沉积含锆膜的方法。

Description

用于气相沉积含锆膜的含锆膜形成组合物
相关申请的交叉引用
本申请要求于2014年12月23日提交的美国申请序列号14/580,352的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了含锆膜形成组合物,这些组合物包括含硅和锆的前体。还披露了合成所披露的组合物以及使用其通过气相沉积工艺在基板上沉积含锆膜的方法。
背景
随着半导体装置诸如动态随机存取存储器(DRAM)的按比例缩小,需要具有高介电常数的新材料。确实,为了在具有较小表面积的电容器中存储足够的电荷,需要具有较高电容率的电容器。在高-k电介质中,基于第4族的材料,例如HfO2或ZrO2,是非常有前途的,因为它们的介电常数高于SiO2或Al2O3。然而,它们的介电常数根据其晶型而变化(固体薄膜(Thin Solid Films),486(2005)125-128)。
厚的ZrO2层倾向于具有不稳定的晶相并具有较高的泄漏电流(应用物理评论(Applied Physics Reviews)(2012)版本,14-9-2012)。为了防止这些缺陷,已经在两层ZrO2之间引入了Al2O3薄层,形成了所谓的ZAZ电容器,稳定晶相并降低泄漏电流(应用物理学快报(Applied Physics Letters)93,033511(2008);真空科学与技术杂志A(J.Vac.Sci.Techno.A)4(6),1986;微电子工程(Microelectronic Engineering)86(2009)1789-1795)。
作为具有最高k-值的相的ZrO2层的立方/四方晶相还已经通过用少量硅或锗掺杂ZrO2来稳定(对于硅,US2013/0208403A1,以及对于锗,应用物理杂志(Journal of AppliedPhysics),2009,106,024107;微电子工程,2009,86,1626;应用物理学快报,2011,99,121909)。
已经开发了含有环戊二烯基配体的第4族烷基酰胺前体,如以下所示的前体(Dussarrat等人,WO2007/141059;Niinisto等人,材料化学杂志(Journal of MaterialsChemistry),(2008),18(43),5243-5247)。与四烷基酰胺前体相比,这些前体显示更高的热稳定性。
其中R1=H、Me或Et;R2和R3=C1-C4烷基。
与这些化合物类似,已经在文献中描述了含有甲硅烷基环戊二烯基配体的第4族前体,如下所示:
其中R=Cl、NMe2、OSiMe3、CH2SiMe3、C6H5和CH2Ph(对于Ti甲氧基化合物,有机金属化学杂志(Journal of Organometallic Chemistry),2004,689,1503;对于Ti烷氨基化合物,东曹(Tosoh)的JP2005/171291;对于Zr化合物,UP化学品有限公司(UP ChemicalCo.Ltd.)的KR2008/0101040和有机金属化学杂志,1997,547,287)。
依然需要开发液体或低熔点(<50℃)、高度热稳定的、具有低粘度的锆前体分子,这些锆前体分子适用于在高温下具有受控的厚度和组成的气相薄膜沉积。
概述
披露了含锆膜形成组合物,这些组合物包含具有下式的含硅和锆的前体:
其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10各自独立地选自H;C1-C5直链、支链或环状烷基;或C1-C5直链、支链或环状氟烷基。-R1、R2和R3可以是相同或不同的。-R4、R5、R6和R7可以是相同或不同的。每个R8和R9可以是相同或不同的。每个R10可以是相同或不同的。所披露的含锆膜形成组合物还可以包括以下方面中的一项或多项:
●R1和R2以及R3独立地为H、F、CF3、Me、Et、nPr、iPr、nBu、iBu、sBu或tBu;
●R4、R5、R6和R7独立地为H、F、CF3、Me、Et、nPr、iPr、nBu、iBu、sBu或tBu;
●R8和R9独立地为H、Me、Et、nPr、iPr、nBu、iBu、sBu或tBu;
●R10为Me、Et、nPr、iPr、nBu、iBu、sBu、或tBu;
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(TMS-Cp)(NMe2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(TMS-Cp)(NHMe)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(TMS-Cp)(NEt2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(TMS-Cp)(NHEt)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(TMS-Cp)(NEtMe)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(TMS-Cp)(NnPr2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(TMS-Cp)(NHnPr)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(TMS-Cp)(NiPr2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(异丙氨基)锆(IV)(Zr(TMS-Cp)(NHiPr)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(TMS-Cp)(NnBu2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(TMS-Cp)(NHnBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(TMS-Cp)(NiBu2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(TMS-Cp)(NHiBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(TMS-Cp)(NsBu2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(TMS-Cp)(NHsBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(TMS-Cp)(NtBu2)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(TMS-Cp)(NHtBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(TMS-Cp)(OMe)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(TMS-Cp)(OEt)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(TMS-Cp)(OnPr)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(TMS-Cp)(OiPr)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(TMS-Cp)(OtBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(TMS-Cp)(OsBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(TMS-Cp)(OnBu)3);
●含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(TMS-Cp)(OiBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(DMS-Cp)(NMe2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(DMS-Cp)(NHMe)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(DMS-Cp)(NEt2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(DMS-Cp)(NHEt)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(DMS-Cp)(NEtMe)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(DMS-Cp)(NnPr2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(DMS-Cp)(NHnPr)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(DMS-Cp)(NiPr2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(异丙氨基)锆(IV)(Zr(DMS-Cp)(NHiPr)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(DMS-Cp)(NnBu2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(DMS-Cp)(NHnBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(DMS-Cp)(NiBu2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(DMS-Cp)(NHiBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(DMS-Cp)(NsBu2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(DMS-Cp)(NHsBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(DMS-Cp)(NtBu2)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(DMS-Cp)(NHtBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(DMS-Cp)(OMe)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(DMS-Cp)(OEt)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(DMS-Cp)(OnPr)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(DMS-Cp)(OiPr)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(DMS-Cp)(OtBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(DMS-Cp)(OsBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(DMS-Cp)(OnBu)3);
●含硅和锆的前体是(二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(DMS-Cp)(OiBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(F3Si-Cp)(NMe2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(F3Si-Cp)(NHMe)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(F3Si-Cp)(NEt2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(F3Si-Cp)(NHEt)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(F3Si-Cp)(NEtMe)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(F3Si-Cp)(NnPr2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(F3Si-Cp)(NHnPr)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(F3Si-Cp)(NiPr2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(F3Si-Cp)(NHiPr)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(F3Si-Cp)(NnBu2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHnBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(F3Si-Cp)(NiBu2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHiBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(F3Si-Cp)(NsBu2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHsBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(F3Si-Cp)(NtBu2)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHtBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(F3Si-Cp)(OMe)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(F3Si-Cp)(OEt)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(F3Si-Cp)(OnPr)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(F3Si-Cp)(OiPr)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(F3Si-Cp)(OtBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(F3Si-Cp)(OsBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(F3Si-Cp)(OnBu)3);
●含硅和锆的前体是(三氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(F3Si-Cp)(OiBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NMe2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NHMe)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(F2HSi-Cp)(NEt2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHEt)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NEtMe)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NnPr2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHnPr)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NiPr2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHiPr)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NnBu2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHnBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NiBu2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHiBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NsBu2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHsBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NtBu2)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHtBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(F2HSi-Cp)(OMe)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(F2HSi-Cp)(OEt)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(F2HSi-Cp)(OnPr)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(F2HSi-Cp)(OiPr)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(F2HSi-Cp)(OtBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(F2HSi-Cp)(OsBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(F2HSi-Cp)(OnBu)3);
●含硅和锆的前体是(二氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(F2HSi-Cp)(OiBu)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NMe2)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NHMe)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(FH2Si-Cp)(NEt2)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHEt)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NEtMe)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NnPr2)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHnPr)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NiPr2)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHiPr)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NnBu2)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHnBu)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NiBu2)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHiBu)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NsBu2)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHsBu)3);
·含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NtBu2)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHtBu)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(FH2Si-Cp)(OMe)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(FH2Si-Cp)(OEt)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(FH2Si-Cp)(OnPr)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(FH2Si-Cp)(OiPr)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(FH2Si-Cp)(OtBu)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(FH2Si-Cp)(OsBu)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(FH2Si-Cp)(OnBu)3);
●含硅和锆的前体是(一氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(FH2Si-Cp)(OiBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NMe2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHMe)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NEt2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHEt)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NEtMe)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NnPr2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHnPr)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NiPr2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHiPr)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NnBu2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHnBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NiBu2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHiBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NsBu2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHsBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NtBu2)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHtBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(FMe2Si-Cp)(OMe)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(FMe2Si-Cp)(OEt)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(FMe2Si-Cp)(OnPr)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(FMe2Si-Cp)(OiPr)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OtBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OsBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OnBu)3);
●含硅和锆的前体是(氟二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OiBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NMe2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHMe)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NEt2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHEt)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NEtMe)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NnPr2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHnPr)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NiPr2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHiPr)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NnBu2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHnBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NiBu2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHiBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NsBu2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHsBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NtBu2)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHtBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)3Si-Cp)(OMe)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OEt)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OnPr)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OiPr)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OtBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OsBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OnBu)3);
●含硅和锆的前体是(三(三氟甲基)甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OiBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NMe2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHMe)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NEt2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHEt)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NEtMe)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NnPr2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHnPr)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NiPr2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHiPr)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NnBu2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHnBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NiBu2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHiBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NsBu2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHsBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NtBu2)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHtBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OMe)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OEt)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OnPr)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OiPr)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OtBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OsBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OnBu)3);
●含硅和锆的前体是(二(三氟甲基)甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OiBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NMe2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHMe)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NEt2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHEt)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NEtMe)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NnPr2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHnPr)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NiPr2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHiPr)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NnBu2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHnBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NiBu2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHiBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NsBu2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHsBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NtBu2)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHtBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OMe)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OEt)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OnPr)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OiPr)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OtBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OsBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OnBu)3);
●含硅和锆的前体是((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OiBu)3);
●含锆膜形成组合物包含在大约95%w/w与大约100%w/w之间的该前体;
●含锆膜形成组合物包含在大约98%w/w与大约100%w/w之间的该前体;
●含锆膜形成组合物包含在大约99%w/w与大约100%w/w之间的该前体;
●含锆膜形成组合物包含在大约5%w/w与大约50%w/w之间的该前体;
●含锆膜形成组合物包含在大约0.0%w/w与大约5.0%w/w之间的杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约1.0%w/w之间的杂质;
●这些杂质包括ZrCp(OR10)3;Zr(OR10)4;ZrCp(NR2)3,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;Zr(NR2)4,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;醇;烷基胺;二烷基胺;烷基亚胺;环戊二烯;二环戊二烯;(甲硅烷基)环戊二烯;烷基硅烷;THF;醚;戊烷;环己烷;庚烷;苯;甲苯;氯化的金属化合物;烷基氨基锂、烷基氨基钠或烷基氨基钾;烷氧基锂、烷氧基钠或烷氧基钾;以及环戊二烯基锂、环戊二烯基钠或环戊二烯基钾;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的ZrCp(OR10)3杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的Zr(OR10)4杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的ZrCp(NR2)3杂质,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的Zr(NR2)4杂质,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的醇杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的烷基胺杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的烷基亚胺杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的环戊二烯杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的二环戊二烯杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的(甲硅烷基)环戊二烯杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的烷基硅烷杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的THF杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的醚杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的戊烷杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的环己烷杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的庚烷杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的苯杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的甲苯杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的氯化的金属化合物杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的烷基氨基锂、烷基氨基钠或烷基氨基钾杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的烷氧基锂、烷氧基钠或烷氧基钾杂质;
●含锆膜形成组合物包含在大约0.0%w/w与大约2.0%w/w之间的环戊二烯基锂、环戊二烯基钠或环戊二烯基钾杂质;
●含锆膜形成组合物包含在大约0ppbw与大约1ppmw之间的金属杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的金属杂质;
●这些金属杂质包括铝(Al)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、锆(Zr)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、钛(Ti)、铀(U)及锌(Zn);
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Al杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的As杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ba杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Be杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Bi杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Cd杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ca杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Cr杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Co杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Cu杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ga杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ge杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Hf杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Zr杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的In杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Fe杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Pb杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Li杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Mg杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Mn杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的W杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ni杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的K杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Na杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Sr杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Th杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Sn杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Ti杂质;
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的U杂质;并且
●含锆膜形成组合物包含在大约0ppbw与大约500ppmw之间的Zn杂质。
还披露了含锆膜形成组合物输送装置,该输送装置包括罐,该罐具有入口导管和出口导管并且含有以上披露的含锆膜形成组合物的任一种。所披露的装置可以包括以下方面中的一项或多项:
●含锆膜形成组合物具有小于10ppmw的金属污染物的总浓度;
●该入口导管末端的末端位于含锆膜形成组合物的表面的上方并且该出口导管的末端位于含锆膜形成组合物的该表面的下方;
●该入口导管末端的末端位于含锆膜形成组合物的表面的下方并且该出口导管的末端位于含锆膜形成组合物的该表面的上方;并且
●还包括在该入口和该出口上的膈膜阀。
还披露了用于在基板上沉积含锆膜的方法。将以上披露的含锆膜形成组合物引入到其中设置有基板的反应器内。将该前体的至少一部分沉积到基板上以形成含锆膜。所披露的方法还可以包括以下方面中的一项或多项:
●将反应物引入该反应器中;
●将该反应物进行等离子体处理;
●将该反应物进行远程等离子体处理;
●该反应物不进行等离子体处理;
●该反应物选自下组,该组由以下各项组成:H2、H2CO、N2H4、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、以及其混合物;
●该反应物是H2
●该反应物是NH3
●该反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、N2O、NO2、其氧自由基、以及其混合物;
●该反应物是O31Δg单线态氧、1Σg +单线态氧、3Σg -三线态氧、或其组合;
●该反应物是H2O;
●该反应物是等离子体处理的O2
●该反应物是O3
●该反应物是含锆前体;
●该含锆前体选自下组,该组由以下各项组成:ZrCp(NMe2)3、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、Zr(iPrCp)(NMe2)3、Zr(tBuCp)(NMe2)3、Zr(Cp)(NMeEt)3
●该含锆前体为ZrCp(NMe2)3
●将含锆膜形成组合物和含锆前体混合,以便在引入到反应器中之前形成混合物;
●将含锆膜形成组合物和反应物同时引入到反应器中;
●该反应器被配置用于化学气相沉积;
●该反应器被配置用于等离子体增强化学气相沉积;
●将含锆膜形成组合物和反应物顺序地引入到腔室中;
●该反应器被配置用于原子层沉积;
●该反应器被配置用于等离子体增强原子层沉积;
●该反应器被配置用于空间原子层沉积;
●含锆膜是纯锆薄膜;
●该纯锆膜具有在大约95原子%至大约100原子%的Zr浓度;
●含锆膜是硅化锆(ZrkSil,其中k和l各自为范围从1至6(包括端点)的整数);
●该硅化锆是ZrSi2
●含锆膜是氧化锆(ZrmOn,其中m和n各自为在范围从1至6(包括端点)的整数);
●该氧化锆是ZrO2
●含锆膜为硅掺杂的氧化锆(ZroSipOq),其中o和p各自为范围从0至1(包括端点)的小数且q为范围从1至6(包括端点)的整数;
●硅掺杂的氧化锆是Zr(0.99-0.5)Si(0.5-0.01)O2
·含锆膜是氮化锆(ZrqNr,其中q和r各自为范围从1至6(包括端点)的整数);并且
●该氮化锆为ZrN。
标记和命名
某些缩写、符号以及术语贯穿以下说明书和权利要求书使用,并且包括:
如在此所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如在此所使用,术语“大约(approximately)”或“约(about)”或“ca.”(来自拉丁语“circa”)意指所陈述的值的±10%。
如在此所使用,当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同一R基团的任何附加物种独立地选择。例如在式Zr(TMSCp)(NR1R2)3中,三个R1基团可以但是不必是彼此或与R2相同。
如在此所使用,术语“烷基”是指仅仅含有碳和氢原子的饱和官能团。进一步地,术语“烷基”是指直链、支链、或环状烷基。直链烷基的实例包括但不限于,甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环烷基的实例包括但不限于,环丙基、环戊基、环己基等。
如在此所使用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指丙基;缩写“nPr”是指“正”或直链丙基;缩写“iPr”是指异丙基;缩写“Bu”是指丁基;缩写“nBu”是指“正”或直链丁基;缩写“tBu”是指叔丁基,也称为1,1-二甲基乙基;缩写“sBu”是指仲丁基,也称为1-甲基丙基;缩写“iBu”是指异丁基,也称为2-甲基丙基;缩写“amyl”是指戊基(amyl)或戊基(pentyl group);缩写“tAmyl”是指叔戊基,也称为1,1-二甲基丙基;缩写“Cp”是指环戊二烯基;缩写“Cp*”是指五甲基环戊二烯基;缩写“op”是指(开放式)戊二烯基;缩写“TMSCp”是指配体(三甲基甲硅烷基)环戊二烯基[Me3SiCp];缩写“TMSCpH”是指分子(三甲基甲硅烷基)环戊二烯[Me3SiCpH];并且缩写“DMSCp”是指配体(二甲基甲硅烷基)环戊二烯基[Me2SiHCp]。
请注意,在整个说明书和权利要求书中列出了沉积的膜或层,例如氧化锆,而没有提及其适当化学计量(即,ZrO2)。这些层可以包括纯(Zr)层、碳化物(ZroCp)层、氮化物(ZrkNl)层、氧化物(ZrnOm)层或其混合物,其中k、l、m、n、o和p范围从1至6(包括端点)。例如,氧化锆是ZrkOl,其中k和l各自范围从0.5至5。更优选地,氧化锆是ZrO2。氧化物层可以是不同的二元或三元氧化物层的混合物。例如,该氧化物层可以是BaZrOx、HfZrOx、ZrYOx、ZrAlOx、ZrErOx、ZrLaOx、ZrDyOx,其中x范围是从1至6。该氧化物层可以是不同氧化物层的堆叠体,例如像ZrO2/Al2O3纳米层压物。任何参考层还可以包括氧化硅层SinOm,其中n范围是从0.5至1.5,并且m范围是从1.5至3.5。更优选地,氧化硅层是SiO2或SiO3。该氧化硅层可为基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(Applied Materials、Inc.)的Black Diamond II或III材料。可替代地,任何参考的含硅层可以是纯硅。任何含硅层还可包括掺杂剂,诸如B、C、P、As和/或Ge。
在此使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Mn是指锰,Si是指硅,C是指碳等)。
附图简要说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,其中:
图1是在此披露的含锆膜形成组合物输送装置的一个实施例的侧视图;
图2是在此披露的含锆膜形成输送装置的第二实施例的侧视图;
图3是Zr(TMSCp)(NMe2)31HNMR谱;
图4是Zr(DMSCp)(NMe2)31HNMR谱;
图5是Zr(TMSCp)(OiPr)31HNMR谱;
图6是表明Zr(TMSCp)(NMe2)3随温度增加的重量损失的百分比(TGA)或温度差(DTA)的热重分析(TGA)/差热分析(DTA)曲线图;
图7是表明Zr(DMSCp)(NMe2)3随温度增加的重量损失的百分比(TGA)或温度差(DTA)的TGA/DTA曲线图;
图8是表明Zr(TMSCp)(OiPr)3随温度增加的重量损失的百分比(TGA)或温度差(DTA)的TGA/DTA曲线图;
图9是使用前体Zr(TMSCp)(NMe2)3作为温度的函数的氧化锆薄膜的ALD生长速度的曲线图;
图10是作为前体引入时间的函数并且使用前体Zr(TMSCp)(NMe2)3的氧化锆薄膜的ALD生长速度的曲线图;
图11是使用前体Zr(TMSCp)(NMe2)3在300℃下生产的膜的X射线光电子能谱(XPS)分析的曲线图;
图12是使用前体Zr(TMSCp)(NMe2)3在375℃下生产的膜的XPS分析的曲线图;
图13是使用前体Zr(TMSCp)(NMe2)3在325℃下沉积在1:10纵横比图案晶片中的膜的扫描电子显微镜(SEM)照片;并且
图14是使用前体Zr(TMSCp)(NMe2)3在325℃下沉积在1:40纵横比图案晶片中的膜的SEM照片。
优选实施方式的说明
披露了含锆膜形成组合物,这些组合物包括含硅和锆的具有下式的前体:
其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10各自独立地选自H;C1-C5直链、支链或环状烷基;或C1-C5直链、支链或环状氟烷基。-R1、R2和R3可以是相同或不同的。-R4、R5、R6和R7可以是相同或不同的。每个R8和R9可以是相同或不同的。每个R10可以是相同或不同的。
优选地,R1、R2、R3、R4、R5、R6和R7是H或Me,因为较小的烷基可增加该含硅和锆的前体的挥发性并且降低其熔点。优选地,R8和R9是H、Me或Et,因为较小的烷基可增加含硅和锆的前体的挥发性且降低其熔点。优选地,R10是Me、Et、iPr或tBu,因为较小的烷基(Me、Et)可增加挥发性,并且较大的烷基(iPr、tBu)可降低含硅和锆的前体的熔点。
式I的示例性的含硅和锆的前体包括但不限于Zr(TMS-Cp)(NMe2)3、Zr(TMS-Cp)(NHMe)3、Zr(TMS-Cp)(NEt2)3、Zr(TMS-Cp)(NHEt)3、Zr(TMS-Cp)(NEtMe)3)、Zr(TMS-Cp)(NnPr2)3、Zr(TMS-Cp)(NHnPr)3、Zr(TMS-Cp)(NiPr2)3、Zr(TMS-Cp)(NHiPr)3、Zr(TMS-Cp)(NnBu2)3)、Zr(TMS-Cp)(NHnBu)3、Zr(TMS-Cp)(NiBu2)3、Zr(TMS-Cp)(NHiBu)3、Zr(TMS-Cp)(NsBu2)3、Zr(TMS-Cp)(NHsBu)3、Zr(TMS-Cp)(NtBu2)3、Zr(TMS-Cp)(NHtBu)3、Zr(DMS-Cp)(NMe2)3、Zr(DMS-Cp)(NHMe)3、Zr(DMS-Cp)(NEt2)3、Zr(DMS-Cp)(NHEt)3、Zr(DMS-Cp)(NEtMe)3、Zr(DMS-Cp)(NnPr2)3、Zr(DMS-Cp)(NHnPr)3、Zr(DMS-Cp)(NiPr2)3、Zr(DMS-Cp)(NHiPr)3、Zr(DMS-Cp)(NnBu2)3、Zr(DMS-Cp)(NHnBu)3、Zr(DMS-Cp)(NiBu2)3、Zr(DMS-Cp)(NHiBu)3、Zr(DMS-Cp)(NsBu2)3、Zr(DMS-Cp)(NHsBu)3、Zr(DMS-Cp)(NtBu2)3、Zr(DMS-Cp)(NHtBu)3、Zr(F3Si-Cp)(NMe2)3、Zr(F3Si-Cp)(NHMe)3、Zr(F3Si-Cp)(NEt2)3、Zr(F3Si-Cp)(NHEt)3、Zr(F3Si-Cp)(NEtMe)3、Zr(F3Si-Cp)(NnPr2)3、Zr(F3Si-Cp)(NHnPr)3、Zr(F3Si-Cp)(NiPr2)3、Zr(F3Si-Cp)(NHiPr)3、Zr(F3Si-Cp)(NnBu2)3、Zr(F3Si-Cp)(NHnBu)3、Zr(F3Si-Cp)(NiBu2)3、Zr(F3Si-Cp)(NHiBu)3、Zr(F3Si-Cp)(NsBu2)3、Zr(F3Si-Cp)(NHsBu)3、Zr(F3Si-Cp)(NtBu2)3、Zr(F3Si-Cp)(NHtBu)3、Zr(F2HSi-Cp)(NMe2)3、Zr(F2HSi-Cp)(NHMe)3、Zr(F2HSi-Cp)(NEt2)3、Zr(F2HSi-Cp)(NHEt)3、Zr(F2HSi-Cp)(NEtMe)3、Zr(F2HSi-Cp)(NnPr2)3、Zr(F2HSi-Cp)(NHnPr)3、Zr(F2HSi-Cp)(NiPr2)3、Zr(F2HSi-Cp)(NHiPr)3、Zr(F2HSi-Cp)(NnBu2)3、Zr(F2HSi-Cp)(NHnBu)3、Zr(F2HSi-Cp)(NiBu2)3、Zr(F2HSi-Cp)(NHiBu)3、Zr(F2HSi-Cp)(NsBu2)3、Zr(F2HSi-Cp)(NHsBu)3、Zr(F2HSi-Cp)(NtBu2)3、Zr(F2HSi-Cp)(NHtBu)3、Zr(FH2Si-Cp)(NMe2)3、Zr(FH2Si-Cp)(NHMe)3、Zr(FH2Si-Cp)(NEt2)3、Zr(FH2Si-Cp)(NHEt)3、Zr(FH2Si-Cp)(NEtMe)3、Zr(FH2Si-Cp)(NnPr2)3、Zr(FH2Si-Cp)(NHnPr)3、Zr(FH2Si-Cp)(NiPr2)3、Zr(FH2Si-Cp)(NHiPr)3、Zr(FH2Si-Cp)(NnBu2)3、Zr(FH2Si-Cp)(NHnBu)3、Zr(FH2Si-Cp)(NiBu2)3、Zr(FH2Si-Cp)(NHiBu)3、Zr(FH2Si-Cp)(NsBu2)3、Zr(FH2Si-Cp)(NHsBu)3、Zr(FH2Si-Cp)(NtBu2)3、Zr(FH2Si-Cp)(NHtBu)3、Zr(FMe2Si-Cp)(NMe2)3、Zr(FMe2Si-Cp)(NHMe)3、Zr(FMe2Si-Cp)(NEt2)3、Zr(FMe2Si-Cp)(NHEt)3、Zr(FMe2Si-Cp)(NEtMe)3、Zr(FMe2Si-Cp)(NnPr2)3、Zr(FMe2Si-Cp)(NHnPr)3、Zr(FMe2Si-Cp)(NiPr2)3、Zr(FMe2Si-Cp)(NHiPr)3、Zr(FMe2Si-Cp)(NnBu2)3、Zr(FMe2Si-Cp)(NHnBu)3、Zr(FMe2Si-Cp)(NiBu2)3、Zr(FMe2Si-Cp)(NHiBu)3、Zr(FMe2Si-Cp)(NsBu2)3、Zr(FMe2Si-Cp)(NHsBu)3、Zr(FMe2Si-Cp)(NtBu2)3、Zr(FMe2Si-Cp)(NHtBu)3、Zr((CF3)3Si-Cp)(NMe2)3、Zr((CF3)3Si-Cp)(NHMe)3、Zr((CF3)3Si-Cp)(NEt2)3、Zr((CF3)3Si-Cp)(NHEt)3、Zr((CF3)3Si-Cp)(NEtMe)3、Zr((CF3)3Si-Cp)(NnPr2)3、Zr((CF3)3Si-Cp)(NHnPr)3、Zr((CF3)3Si-Cp)(NiPr2)3、Zr((CF3)3Si-Cp)(NHiPr)3、Zr((CF3)3Si-Cp)(NnBu2)3、Zr((CF3)3Si-Cp)(NHnBu)3、Zr((CF3)3Si-Cp)(NiBu2)3、Zr((CF3)3Si-Cp)(NHiBu)3、Zr((CF3)3Si-Cp)(NsBu2)3、Zr((CF3)3Si-Cp)(NHsBu)3、Zr((CF3)3Si-Cp)(NtBu2)3、Zr((CF3)3Si-Cp)(NHtBu)3、Zr((CF3)2HSi-Cp)(NMe)3、Zr((CF3)2HSi-Cp)(NHMe)3、Zr((CF3)2HSi-Cp)(NEt2)3、Zr((CF3)2HSi-Cp)(NHEt)3、Zr((CF3)2HSi-Cp)(NEtMe)3、Zr((CF3)2HSi-Cp)(NnPr2)3、Zr((CF3)2HSi-Cp)(NHnPr)3、Zr((CF3)2HSi-Cp)(NiPr2)3、Zr((CF3)2HSi-Cp)(NHiPr)3、Zr((CF3)2HSi-Cp)(NnBu2)3、Zr((CF3)2HSi-Cp)(NHnBu)3、Zr((CF3)2HSi-Cp)(NiBu2)3、Zr((CF3)2HSi-Cp)(NHiBu)3、Zr((CF3)2HSi-Cp)(NsBu2)3、Zr((CF3)2HSi-Cp)(NHsBu)3、Zr((CF3)2HSi-Cp)(NtBu2)3、Zr((CF3)2HSi-Cp)(NHtBu)3、Zr((CF3)Me2Si-Cp)(NMe2)3、Zr((CF3)Me2Si-Cp)(NHMe)3、Zr((CF3)Me2Si-Cp)(NEt2)3、Zr((CF3)Me2Si-Cp)(NHEt)3、Zr((CF3)Me2Si-Cp)(NEtMe)3、Zr((CF3)Me2Si-Cp)(NnPr2)3、Zr((CF3)Me2Si-Cp)(NHnPr)3、Zr((CF3)Me2Si-Cp)(NiPr2)3、Zr((CF3)Me2Si-Cp)(NHiPr)3、Zr((CF3)Me2Si-Cp)(NnBu2)3、Zr((CF3)Me2Si-Cp)(NHnBu)3、Zr((CF3)Me2Si-Cp)(NiBu2)3、Zr((CF3)Me2Si-Cp)(NHiBu)3、Zr((CF3)Me2Si-Cp)(NsBu2)3、Zr((CF3)Me2Si-Cp)(NHsBu)3、Zr((CF3)Me2Si-Cp)(NtBu2)3、或Zr((CF3)Me2Si-Cp)(NHtBu)3
式II的示例性的含硅和锆的前体包括但不限于Zr(TMS-Cp)(OMe)3、Zr(TMS-Cp)(OEt)3、Zr(TMS-Cp)(OnPr)3、Zr(TMS-Cp)(OiPr)3、Zr(TMS-Cp)(OtBu)3、Zr(TMS-Cp)(OsBu)3、Zr(TMS-Cp)(OnBu)3、Zr(TMS-Cp)(OiBu)3、Zr(DMS-Cp)(OMe)3、Zr(DMS-Cp)(OEt)3、Zr(DMS-Cp)(OnPr)3、Zr(DMS-Cp)(OiPr)3、Zr(DMS-Cp)(OtBu)3、Zr(DMS-Cp)(OsBu)3、Zr(DMS-Cp)(OnBu)3、Zr(DMS-Cp)(OiBu)3、Zr(F3Si-Cp)(OMe)3、Zr(F3Si-Cp)(OEt)3、Zr(F3Si-Cp)(OnPr)3、Zr(F3Si-Cp)(OiPr)3、Zr(F3Si-Cp)(OtBu)3、Zr(F3Si-Cp)(OsBu)3,Zr(F3Si-Cp)(OnBu)3、Zr(F3Si-Cp)(OiBu)3、Zr(F2HSi-Cp)(OMe)3、Zr(F2HSi-Cp)(OEt)3、Zr(F2HSi-Cp)(OnPr)3、Zr(F2HSi-Cp)(OiPr)3、Zr(F2HSi-Cp)(OtBu)3、Zr(F2HSi-Cp)(OsBu)3、Zr(F2HSi-Cp)(OnBu)3、Zr(F2HSi-Cp)(OiBu)3、Zr(FH2Si-Cp)(OMe)3、Zr(FH2Si-Cp)(OEt)3、Zr(FH2Si-Cp)(OnPr)3、Zr(FH2Si-Cp)(OiPr)3、Zr(FH2Si-Cp)(OtBu)3、Zr(FH2Si-Cp)(OsBu)3、Zr(FH2Si-Cp)(OnBu)3、Zr(FH2Si-Cp)(OiBu)3、Zr(FMe2Si-Cp)(OMe)3、Zr(FMe2Si-Cp)(OEt)3、Zr(FMe2Si-Cp)(OnPr)3、Zr(FMe2Si-Cp)(OiPr)3、Zr(FMe2Si-Cp)(OtBu)3、Zr(FMe2Si-Cp)(OsBu)3、Zr(FMe2Si-Cp)(OnBu)3、Zr(FMe2Si-Cp)(OiBu)3、Zr((CF3)3Si-Cp)(OMe)3、Zr((CF3)3Si-Cp)(OEt)3、Zr((CF3)3Si-Cp)(OnPr)3、Zr((CF3)3Si-Cp)(OiPr)3、Zr((CF3)3Si-Cp)(OtBu)3、Zr((CF3)3Si-Cp)(OsBu)3、Zr((CF3)3Si-Cp)(OnBu)3、Zr((CF3)3Si-Cp)(OiBu)3、Zr((CF3)2HSi-Cp)(OMe)3、Zr((CF3)2HSi-Cp)(OEt)3、Zr((CF3)2HSi-Cp)(OnPr)3、Zr((CF3)2HSi-Cp)(OiPr)3、Zr((CF3)2HSi-Cp)(OtBu)3、Zr((CF3)2HSi-Cp)(OsBu)3、Zr((CF3)2HSi-Cp)(OnBu)3、Zr((CF3)2HSi-Cp)(OiBu)3、Zr((CF3)Me2Si-Cp)(OMe)3、Zr((CF3)Me2Si-Cp)(OEt)3、Zr((CF3)Me2Si-Cp)(OnPr)3、Zr((CF3)Me2Si-Cp)(OiPr)3、Zr((CF3)Me2Si-Cp)(OtBu)3、Zr((CF3)Me2Si-Cp)(OsBu)3、Zr((CF3)Me2Si-Cp)(OnBu)3、或Zr((CF3)Me2Si-Cp)(OiBu)3
优选地,含硅和锆的前体是(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV),因为其蒸发导致大气热重分析,留下少量的最终残余物(参见实例1)。通过实例3中(三甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)的TGA结果表明的低量的残余物对于气相沉积应用也是有希望的。
所披露的含锆膜形成组合物可以通过使相应的四(氨基)锆(Ⅳ)或相应的四(烷氧基)锆(Ⅳ)与相应的(甲硅烷基)环戊二烯在合适的溶剂如甲苯、THF或醚中反应合成。(甲硅烷基)环戊二烯典型地根据催化快报(Catal Lett)(2011)141:1625-1634中所述的程序制备。可替代地,所披露的含(甲硅烷基)环戊二烯基-三(烷氧基)锆的膜形成组合物可以通过相应的含(甲硅烷基)环戊二烯基-三(氨基)锆的化合物与3当量相应的醇在合适的溶剂如甲苯、THF或醚中醇解来合成。然而,在此合成过程中过量的醇可能导致未反应的反应物,例如Zr(NR2)4或Zr(OR)4,和不期望的反应副产物,例如未取代的环戊二烯基反应产物像环戊二烯基三(烷氧基)锆或环戊二烯基三(氨基)锆。在下面的实例中提供了包含进一步细节的示例性合成方法。
所披露的含锆膜形成组合物的纯度范围是从大约95%w/w至大约100%w/w,优选高于98%w/w、并且更优选高于99%w/w。本领域普通技术人员将认识到该纯度可以通过HNMR或气相色谱或液相色谱法与质谱法确定。所披露的含锆膜形成组合物可以含有以下杂质中的任一种:ZrCp(OR10)3;Zr(OR10)4;ZrCp(NR2)3,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;Zr(NR2)4,其中每个R独立地为H,C1-C5直链、支链或环状烷基,或C1-C5直链、支链或环状氟烷基;环戊二烯;(甲硅烷基)环戊二烯;二环戊二烯;烷基硅烷;烷基胺如叔丁胺;二烷基胺如二甲胺;烷基亚胺;醇如异丙醇或叔丁醇;THF;醚;戊烷;环己烷;庚烷;甲苯;苯;氯化的金属化合物;烷氧基锂、烷氧基钠或烷氧基钾;烷基氨基锂、烷基氨基钠或烷基氨基钾;或环戊二烯基锂、环戊二烯基钾或环戊二烯基钠。这些杂质的总量为低于5%w/w、优选低于2%w/w、并且更优选低于1%w/w。该组合物可通过重结晶、升华、蒸馏和/或使气体或液体通过适合的吸附剂(诸如4A分子筛)纯化。
所披露的含锆膜形成组合物的纯化还可导致0ppbw(十亿分之一重量)至1ppmw(百万分之一重量)水平的金属杂质,优选为0-500ppbw。这些金属杂质包括但不限于铝(Al)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、锆(Zr)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、钛(Ti)、铀(U)、钒(V)及锌(Zn)。
通过所披露的含锆膜形成组合物输送装置,可将含锆膜形成组合物输送至半导体加工工具。图1和图2示出了所披露的输送装置1的两个非限制性实施例。
图1是含锆膜形成组合物输送装置1的一个实施例的侧视图。在图1中,所披露的含锆膜形成组合物10被包含在具有两个导管(入口导管30和出口导管40)的容器20内。前体领域中的普通技术人员将认识到,容器20、入口导管30和出口导管40被制造成甚至在升高的温度和压力下防止气态形式的含锆膜形成组合物10逸出。
输送装置1必须是不漏的并且配备有不允许甚至微量的材料逸出的阀35/45。合适的阀35/45包括弹簧加载的或束缚的膈膜阀。阀35/45还可包括限制流量孔口(RFO)。输送装置1可以连接到气体歧管(未示出)和外壳(未示出)中。该气体歧管应当允许在更换输送装置1时可能暴露于空气的管道的安全抽空和吹扫,使得任何残留量的含Zr膜形成组合物不反应。该外壳可以配备有传感器和控火能力,以便在材料释放或反应的情况下控制火。该气体歧管还可以配备有隔离阀、真空发生器,并允许以最小量引入吹扫气体。
输送装置1经由阀35和45流体地连接到半导体加工工具的其他部件,例如以上披露的气柜。优选地,容器20、入口导管30、阀35、出口导管40和阀45由316L EP或304不锈钢制成。然而,本领域普通技术人员将认识到,其他非反应性材料也可用于在此的传授内容中,并且任何腐蚀性的含锆膜形成组合物10可以要求使用更耐腐蚀的材料,例如哈司特镍基合金或铬镍铁合金。
在图1中,入口导管30的末端31位于含锆膜形成组合物10的表面的上方,而出口导管40的末端41位于含锆膜形成组合物10的表面的下方。在此实施例中,含锆膜形成组合物10优选呈液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体可被引入入口导管30中。该惰性气体对输送装置20加压,使得迫使液体含锆膜形成组合物10通过出口导管40并且进入半导体加工工具(未示出)中的部件。该半导体加工工具可以包括蒸发器,该蒸发器在使用或不使用载气如氦气、氩气、氮气或其混合物下将液体的含锆膜形成组合物10转化为蒸气,以便将该蒸气输送到腔室中,在该腔室中放置待修复的晶片并且处理在蒸气相中发生。可替代地,液体含锆膜形成组合物10可以作为射流或气溶胶直接输送到晶片表面。
图2是含锆膜形成组合物输送装置1的第二实施例的侧视图。在图2中,入口导管30的末端31位于含锆膜形成组合物10的表面的下方,而出口导管40的末端41位于含锆膜形成组合物10的表面的上方。图2还包括任选的加热元件25,该加热元件可以升高含锆膜形成组合物10的温度。在此实施例中,含锆膜形成组合物10可以呈固体或液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体被引入入口导管30中。该惰性气体鼓泡通过含锆膜形成组合物10,并携带该惰性气体和汽化的含锆膜形成组合物10的混合物进入出口导管40中并到半导体加工工具中的组件上。
图1和图2二者都包括阀35和45。本领域普通技术人员将认识到,阀35和45可以被置于打开或关闭位置,以分别允许流过导管30和40。如果含锆膜形成组合物10是呈蒸汽形式或如果足够的蒸气压力存在于该固体/液体相上方,则可以使用图1或图2中的输送装置1或者具有在存在的任何固体或液体表面上方终止的单个导管的更简单的输送装置。在这种情况下,仅通过打开阀45将含锆膜形成组合物10以蒸汽形式输送通过导管40。输送装置1可以被保持在合适的温度下以提供足够的蒸汽压力用于使含锆膜形成组合物10以蒸气形式输送,例如通过使用任选的加热元件25。
虽然图1和图2披露了含锆膜形成组合物输送装置1的两个实施例,但是本领域普通技术人员将认识到,入口导管30和出口导管40都可以位于含锆膜形成组合物10的表面11的上方或下方,而不脱离在此的披露内容。此外,入口导管30可以是填充端口。最后,本领域普通技术人员将认识到,所披露的含锆膜形成组合物可以使用其他输送装置(例如Jurcik等人的WO 2006/059187中披露的安瓿)输送到半导体加工工具,而不脱离来自在此的传授内容。
还披露了用于使用气相沉积工艺在基板上形成含锆层的方法。该方法可以在制造半导体、光伏、LCD-TFT、或平板型装置中是有用的。所披露的含锆膜形成组合物可用于使用本领域技术人员已知的任何沉积方法沉积薄的含锆膜。
合适的沉积方法的实例包括但不限于化学气相沉积(CVD)或原子层沉积(ALD)。示例性CVD方法包括热CVD、等离子体增强的CVD(PECVD)、脉冲CVD(PCVD)、低压CVD(LPCVD)、低于大气压的CVD(SACVD)、大气压CVD(APCVD)、热丝CVD(HWCVD,还被称为cat-CVD,其中热丝充当用于沉积工艺的能源),自由基结合的CVD、以及其组合。示例性ALD方法包括热ALD、等离子体增强ALD(PEALD)、空间隔离ALD、热丝ALD(HWALD)、自由基结合的ALD、以及其组合。还可以使用超临界流体沉积。沉积方法优选地是ALD、PE-ALD、或空间ALD,以便提供适合的阶梯覆盖和膜厚度控制。
ZrO2的立方/四方晶相提供不同ZrO2晶型的最高介电常数(立方晶相、四方晶相、无定形晶相、单斜晶相、斜方晶相、及其组合是可用的晶相)。经过实验报道,小离子半径四价掺杂剂如Si的掺杂水平(3%-12%)在稳定四方氧化锆相上是最有效的。在四方ZrO2结构中用Si取代Zr原子导致减小的Si-O键,该Si-O键具有的长度与SiO2中的长度类似。因此,ZrO2是Si的优异宿主,Si易于并入该氧化物的“友好”局部环境中(应用物理期刊(J.Appl.Phys.)106,024107,2009)。优点是Si是四价的,因此它取代晶格中的Zr而不引入O空位。实例4中提供的初步研发测试结果表明,使用Zr(Me3SiCp)(NMe2)3的沉积产生低于20原子%的具有很少杂质污染的Si,证明这些前体能够沉积所希望的晶相的氧化锆膜的潜能。
申请人认为,可以控制气相沉积工艺条件,使得Zr单独地或者Zr和Si二者可沉积在含锆层中。例如,调整ALD参数以展现一些附加的CVD可能有助于在ZrO2层中沉积有限量的Si。可替代地,可以通过交替使用所披露的含锆膜形成组合物的(Zr,Si)O2膜沉积和使用另一种含锆前体的ZrO2沉积来控制ZrO2膜中的硅含量。例如,ZrCp(NMe2)3、Zr(MeCp)(NMe2)3或Zr(EtCp)(NMe2)3可以充当含Zr的前体以产生纯的ZrO2膜。换句话说,使用含锆膜形成组合物的(Zr,Si)O2沉积的x个子循环可以与使用含锆前体如ZrCp(NMe2)3的纯ZrO2沉积的y个子循环交替。可以重复由x个(Zr,Si)O2子循环和y个纯ZrO2子循环组成的超循环,以获得所希望的(Zr,Si)O2膜厚度,其中x和y是范围从1至20(包括端点)的整数。可以通过调整x和y来控制Zr和Si含量。
可替代地,可以通过使用含有所披露的含锆膜形成组合物和含锆前体二者的混合物沉积(Zr,Si)O2膜来控制ZrO2膜中的硅含量。例如,ZrCp(NMe2)3、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、Zr(iPrCp)(NMe2)3、或Zr(tBuCp)(NMe2)3可以充当含Zr前体。可以通过调整混合物中含锆膜形成组合物与含锆前体之间的比例来控制Zr和Si含量。
所披露的含锆膜形成组合物可以以纯净形式或以与合适的溶剂如乙苯、二甲苯、均三甲苯、癸烷或十二烷的共混物形式供应。所披露的组合物可以是以变化浓度存在于该溶剂中。
通过常规手段如管道系统和/或流量计将纯净的或共混的含锆膜形成组合物以蒸气形式引入至反应器内。呈蒸气形式的组合物可以通过以下方式产生:通过常规蒸发步骤(如直接蒸发、蒸馏、直接液体注射)、或通过鼓泡、或通过使用升华器(如Xu等人的PCT公开WO2009/087609中披露的那种)蒸发该纯净的或共混的组合物。该纯净的或共混的组合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。可替代地,可通过将载气传送至含有该组合物的容器内或通过将载气鼓泡进该组合物内使该纯净的或共混的组合物蒸发。该载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡还可移除该纯净的或共混的组合物中存在的任何溶解氧。该载气和组合物然后作为蒸气被引入反应器内。
如果必要,所披露的组合物的容器可以被加热到允许组合物处于其液相并具有足够的蒸气压的温度。可以将该容器维持在例如大约0℃至大约150℃的范围内的温度下。本领域技术人员认识到可以按已知方式调整该容器的温度以控制蒸发的组合物的量。
该反应器可以是其中沉积方法发生的装置内的任何外壳或腔室,如但不限于:平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器(即,分批反应器)、或在适于引起前体反应并形成层的条件下的其他类型的沉积系统。
通常,该反应器含有薄膜将沉积到其上的一个或多个基板。基板一般定义为在其上进行工艺的材料。基板可为用于半导体、光伏、平板或LCD-TFT装置制造中的任何合适的基板。合适的基板的实例包括晶片,如硅、二氧化硅、玻璃、或GaAs晶片。该晶片可具有从先前的制造步骤沉积在其上的一个或多个不同材料层。例如,这些晶片可包括硅层(结晶、非晶形、多孔等)、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂的氧化硅(SiCOH)层或其组合。此外,这些晶片可包括铜层、钨层或贵金属层(例如铂、钯铑或金)。也可使用塑料层诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。
所披露的方法可直接在晶片上或直接在晶片顶部的一个或多于一个(当图案化层形成该基板时)层上沉积该含Zr层。该基板可以被图案化以包括具有高纵横比的通孔或沟槽。例如,可以使用任何ALD技术将共形的含Zr膜如ZrO2沉积在具有范围从大约20:1至大约100:1纵横比的硅通孔(TSV)上。此外,本领域普通技术人员将认识到,在此所使用的术语“膜”或“层”指的是放置或铺展在表面上的一些材料的厚度且该表面可为沟槽或线条。贯穿本说明书和权利要求书,晶圆和其上的任何结合层称为基板。例如,ZrO2膜可以沉积到TiN基板上。在后续加工中,TiN层可以沉积到ZrO2层上,形成用作DRAM电容器的TiN/ZrO2/TiN堆叠体。
反应器内的温度和压力保持在适用于蒸气沉积的条件下。换言之,在将该蒸发的组合物引入至该腔室内之后,该腔室内的条件是使得该前体的至少一部分被沉积到该基板上以形成该含锆膜。例如,根据每个沉积参数所要求的,反应器中的压力可以保持在约1Pa与约105Pa之间,更优选在约25Pa与约103Pa之间。同样,反应器中的温度可以保持在约100℃与约500℃之间,优选在约150℃与约400℃之间。本领域普通技术人员将认识到“该前体的至少一部分被沉积”是指所披露的含Zr膜形成组合物中的该前体的一些或全部与基板反应或粘附于基板上,单独地或借助于反应物。
该反应器的温度可通过控制该基板固持器的温度或控制该反应器壁的温度来进行控制。现有技术中已知用于加热该基板的装置。该反应器壁被加热至足够温度以在足够增长率下以及所希望的物理状态和组成获得所希望的膜。非限制性示例性温度范围(可以将该反应器壁加热到该温度范围)包括从大约100℃至大约500℃。当利用等离子体沉积工艺时,该沉积温度范围可以是从大约150℃至大约400℃。可替代地,当进行热工艺时,该沉积温度范围可以是从大约200℃至大约500℃。
除了所披露的前体之外,还可以将反应物引入至该反应器内。该反应物可以是氧化气体,例如O2、O3、H2O、H2O2、NO、N2O、NO2,含氧自由基如O·或OH·,NO,NO2,羧酸,甲酸,乙酸,丙酸,及其混合物。O2可以包括1Δg单线态氧、1Σg +单线态氧、常规3Σg -三线态氧、或其组合。O2的较高能态可以使用放电,辐照光敏剂,如Ito等人在JP2012/087025中披露的那种,或者通过MEMS芯片,诸如Velásquez-García等人所披露的那种(微机电系统杂志(JMicroelectromechanical Systems),第16卷,第6期,2007年12月)产生。申请人认为,单线态氧与三线态氧和/或臭氧的混合物可以提供合适的反应性,而不会由于较高能态O2的短寿命而损坏下面的基板。可替代地,该氧化气体选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基(如O·或OH·)、以及其混合物。
可替代地,该反应物可以是还原气体如H2、H2CO、NH3、SiH4、Si2H6、Si3H8、(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3、苯基硅烷、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、苯肼、含N分子、B2H6、9-硼双环[3,3,1]壬烷、二氢苯并呋喃、吡唑啉、三甲基铝、二甲基锌、二乙基锌、其自由基物种、及其混合物。优选地,该还原气体是H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、或其混合物。
该反应物可以通过等离子体处理,以便将该反应物分解成其自由基形式。当用等离子体处理时,N2还可以被用作还原气体。例如,可以用范围是从约50W至约500W、优选地从约100W至约400W的功率产生等离子体。等离子体可产生或存在于反应器本身内。可替代地,例如在远程定位的等离子体系统中,等离子体总体上可处于远离该反应器的位置处。本领域技术人员将认识到适用于此种等离子体处理的方法及装置。
例如,反应物可以被引入到直接等离子体反应器(该反应器在反应腔室中产生等离子体)中,以在该反应腔室中产生等离子体处理过的反应物。示例性的直接等离子体反应器包括由垂恩技术公司(Trion Technologies)生产的TitanTM PECVD系统。反应物可以在等离子体加工之前被引入并保持在反应腔室中。可替代地,等离子体加工可以与引入反应物同时发生。原位等离子体典型地是在喷淋头与基板固持器之间产生的13.56MHz RF电感耦合等离子体。根据是否发生正离子碰撞,基板或喷淋头可以是被供电电极。原位等离子体产生器中的典型施加功率为从大约30W至大约1000W。优选地,在所披露的方法中使用从大约30W至大约600W的功率。更优选地,功率的范围是从大约100W至大约500W。使用原位等离子体的反应物的解离典型地小于对于相同功率输入使用远程等离子体源实现的,并且因此在反应物解离中不如远程等离子体系统有效,这可能有利于将含锆膜沉积在容易被等离子体损坏的基板上。
可替代地,等离子体处理过的反应物可以在反应腔室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应腔室之前处理反应物。在2.45Ghz、7kW等离子体功率和从大约0.5托至大约10托范围内的压力下操作,反应物O2可分解成两个O自由基。优选地,可以用范围从约1kW至约10kW、更优选地从约2.5kW至约7.5kW的功率产生远程等离子体。
腔室内的气相沉积条件允许所披露的前体和反应物反应并在基板上形成含锆膜。在一些实施例中,申请人认为等离子体处理反应物可以向反应物提供与所披露的前体反应所需的能量。
根据希望沉积哪种类型的膜,可以将另外的前体化合物引入反应器中。该另外的前体可用于向含锆膜提供相同的(即Zr)或另外的元素。这些另外的元素可包括铪、铌、钽、镧系元素(镱、铒、镝、钆、镨、铈、镧、钇)、锗、硅、钛、锰、钴、镍、钌、铋、铅、镁、铝或这些元素的混合物。当使用另外的前体时,沉积在基板上的所得膜可以含有与至少一种另外元素组合的锆。
可以将含锆膜形成组合物和反应物同时(化学气相沉积)、顺序地(原子层沉积)或其不同组合引入反应器。可以在引入组合物和引入反应物之间用惰性气体吹扫反应器。可替代地,反应物和组合物可以混合在一起以形成反应物/组合物混合物,并且然后以混合物形式引入反应器。另一个实例是连续引入反应物并通过脉冲(脉冲化学气相沉积)引入含锆膜形成组合物。
蒸发的组合物和反应物可以顺序地或同时地(例如脉冲CVD)脉冲进入反应器。组合物的每次脉冲可持续范围从约0.01秒至约10秒、可替代地从约0.3秒至约3秒、可替代地从约0.5秒至约2秒的一段时间。在另一个实施例中,反应物也可以脉冲进入反应器。在此类实施例中,每种气体的脉冲可持续范围从约0.01秒至约10秒、可替代地从约0.3秒至约3秒、可替代地从约0.5秒至约2秒的一段时间。在另一个替代方案中,可以同时地从喷淋头喷射该蒸发的组合物和一种或多种反应物,在该喷淋头下旋转保持若干晶片的承受器(空间ALD)。
根据具体的工艺参数,沉积可能进行不同的时间长度。通常,可使沉积继续所希望或所必需长度的时间以产生具有必需特性的膜。根据具体的沉积工艺,典型的薄膜厚度可以从几埃到几百微米变化。沉积工艺也可以进行获得所需膜厚度所必需的很多次。
在一个非限制性的示例性CVD型方法中,将所披露的含锆膜形成组合物的蒸气相和反应物同时引入反应器。两者反应以形成所得的含锆薄膜。当在此示例性CVD工艺中的反应物用等离子体处理时,示例性CVD工艺变成示例性的PECVD工艺。反应物可以在引入腔室之前或之后用等离子体处理。
在一个非限制性示例性ALD型工艺中,将所披露的含锆膜形成组合物的蒸气相引入至反应器内,在该反应器中使其与适合的基板接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的组合物。将反应物(例如,H2)引入反应器中,在该反应器中它以自限制的方式与物理或化学吸附的前体反应。通过吹扫和/或排空该反应器从该反应器中去除任何过量反应物。如果所希望的膜是锆膜,则此两步工艺可提供所需的膜厚度或可被重复直至获得了具有必需厚度的膜。
可替代地,如果所希望的膜含有锆和第二元素,则可以在以上两步工艺之后将另外的前体化合物的蒸气引入反应器中。将基于沉积的锆膜的性质来选择另外的前体化合物。引入反应器之后,使该另外的前体化合物与基板接触。通过吹扫和/或排空该反应器从该反应器中去除任何过量的前体化合物。再次,可以将反应物引入反应器以与物理或化学吸附的前体化合物反应。通过吹扫和/或排空该反应器从该反应器中去除过量反应物气体。如果已经实现了所希望的膜厚度,则可终止该工艺。然而,如果较厚的膜是所希望的,则可重复整个四步骤工艺。通过交替提供含锆膜形成组合物、另外的前体化合物和反应物,可以沉积所希望的组成和厚度的膜。
当在此示例性ALD工艺中的反应物用等离子体处理时,示例性ALD工艺变成示例性的PEALD工艺。反应物可以在引入腔室之前或之后用等离子体处理。
在第二非限制性示例性ALD型方法中,将所披露的含Zr膜形成组合物之一(例如(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV))的蒸气相引入反应器,在该反应器中使其与TiN基板接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的含Zr膜形成组合物。将反应物(例如,O3)引入反应器中,在该反应器中它以自限制的方式与物理或化学吸附的前体反应以便形成ZrO2或(Zr,Si)O2膜。通过吹扫和/或排空该反应器从该反应器中去除任何过量反应物。可以重复这两个步骤,直到ZrO2或(Zr,Si)O2膜获得所希望的厚度。然后可以在ZrO2或(Zr,Si)O2层的顶部上沉积TiN层。所得到的TiN/ZrO2/TiN或TiN/(Zr,Si)O2/TiN堆叠体可用于DRAM电容器中。
在第三非限制性示例性ALD型方法中,将所披露的含Zr膜形成组合物之一(例如(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV))的蒸气相在第一步骤中引入反应器,在该反应器中使其与TiN基板接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的含Zr膜形成组合物。将反应物(例如,O3)引入反应器中,在该反应器中它以自限制的方式与化学或物理吸附的前体反应以便形成(Zr,Si)O2膜。通过吹扫和/或排空该反应器从该反应器中去除任何过量反应物。这两个步骤可以被认为是子循环,并且可以重复x次以获得(Zr,Si)O2膜的所希望的厚度。
在第二步骤中,将含Zr前体,例如(甲基)环戊二烯基三(二甲基氨基)锆(IV)或(乙基)环戊二烯基三(二甲基氨基)锆(IV))的蒸气相引入同一反应器中。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的含Zr前体。将反应物(例如,O3)引入反应器中,在该反应器中它以自限制的方式与化学或物理吸附的含Zr前体反应以便形成ZrO2膜。通过吹扫和/或排空该反应器从该反应器中去除任何过量反应物。这两个步骤可以被认为是子循环,并且可以重复y次以获得纯ZrO2膜的所希望的厚度。可以重复由x个(Zr,Si)O2子循环和y个ZrO2子循环组成的超循环,以获得所需的(Zr,Si)O2膜厚度。可以通过调整x和y循环的数目来控制Zr和Si含量(x和y可以独立地范围从1至20)。然后可以在ZrO2或(Zr,Si)O2层的顶部上沉积TiN层。所得到的TiN/ZrO2/TiN或TiN/(Zr,Si)O2/TiN堆叠体可用于DRAM电容器中。
在第四非限制性示例性ALD型方法中,将含有所披露的含Zr膜形成组合物(例如(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV))和含Zr前体(例如(异丙基)环戊二烯基三(二甲基氨基)锆(IV)或(叔丁基)环戊二烯基三(二甲基氨基)锆(IV))的混合物的蒸气相引入反应器中,在该反应器中使其与基板(例如TiN、NbN、Ru、TiO2、MoO2或MoO3)接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的混合物。将反应物(例如,O3)引入反应器中,在该反应器中它以自限制的方式与化学或物理吸附的前体反应以便形成(Zr,Si)O2膜。通过吹扫和/或排空该反应器从该反应器中去除任何过量反应物。可以重复这两个步骤,直到(Zr,Si)O2膜获得所需厚度。可以通过调整混合物中含锆膜形成组合物与含锆前体之间的比例来控制Zr和Si含量。可以在(Zr,Si)O2层的顶部上沉积TiN层。所得到的TiN/ZrO2/TiN或TiN/(Zr,Si)O2/TiN堆叠体可用于DRAM电容器中。
由以上讨论的方法得到的含锆膜可以包括纯锆、硅化锆(ZrkSil)、氧化锆(ZrmOn)、硅掺杂的氧化锆(ZroSipOq)、氮化锆(ZrrNs)或硅掺杂的氮化锆(ZrtSiuNv),其中k、l、m、n、o、p、q、r、s、t、u和v是范围从1至6(包括端点)的整数。本领域普通技术人员将认识到,通过适当的所披露的含Zr膜形成组合物、任选的前体化合物以及反应物物种的明断选择,可以获得所希望的膜组成。
一经获得所希望的膜厚度,可以使该膜经受另外的加工,如热退火、炉退火、快速热退火、UV或电子束固化、和/或等离子体气体暴露。本领域技术人员认识到用于进行这些附加的加工步骤的系统和方法。例如,可以使该含锆膜暴露于在惰性气氛、含H气氛、含N气氛、含O气氛、或其组合下范围是从大约200℃与大约1000℃的温度持续范围是从大约0.1秒至大约7200秒的时间。最优选地,在含H气氛或含O气氛下,温度为400℃持续3600秒。所得到的膜可含有较少的杂质,并且因此可具有改善的密度,导致改善的泄漏电流。退火步骤可以在进行该沉积工艺的同一反应腔室中进行。可替代地,可以从该反应腔室中移除该基板,其中在单独的装置中进行退火/快速退火工艺。已经发现任何以上后处理方法但尤其热退火有效地减少该含锆膜的碳和氮污染。这进而倾向于改善膜的电阻率。
实例
以下实例说明结合在此的披露内容进行的实验。这些实例不旨在包括所有情况并且并不旨在限制在此所描述的披露的范围。
实例1:(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)合成[Zr(TMS-Cp)(NMe2)3]
向在室温下的Zr(NMe2)4(66.9g,0.25mol)在大约300mL甲苯中的溶液中滴加新蒸馏的TMSCpH(34.1g,0.25mol)。将混合物搅拌过夜。然后在真空下除去溶剂,以产生亮黄色液体。然后将该物质通过在130℃下在180毫托下蒸馏纯化,以产生68.9g(76%)纯黄色液体。在图3中提供了NMR1H谱。NMR1H(δ,ppm,C6D6):6.28(m,4H),2.94(s,18H),0.27(s,9H)。
在TGA分析期间,在10℃/min的温度升高速率下,在200mL/min流动的氮气气氛中测量的,油状物留下3.6%剩余质量。这些结果示于图6中,该图是说明温度升高时的重量损失百分比(TGA)和温度差(DTA)的TGA/DTA曲线图。
实例2:(二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)合成[Zr(DMS-Cp)(NMe2)3]
向在室温下的Zr(NMe2)4(1.23g,4.6mmol)在大约20mL甲苯中的溶液中滴加新蒸馏的DMSCp(0.73g,4.6mmol)。将混合物搅拌过夜。然后在真空下除去溶剂,以产生亮黄色液体。然后将该物质通过在120℃下在14毫托下蒸馏纯化,以产生0.63g(40%)纯黄色液体。在图4中提供了NMR1H谱。NMR1H(δ,ppm,C6D6):6.26-6.29(m,4H),4.65(m,1H),2.94(s,18H),0.27(d,6H)。
在TGA分析期间,在10℃/min的温度升高速率下,在200mL/min流动的氮气气氛中测量的,油状物留下27%剩余质量。这些结果示于图7中,该图是说明温度升高时的重量损失百分比(TGA)和温度差(DTA)的TGA/DTA曲线图。
实例3:(三甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)合成[Zr(TMS-Cp)(OiPr)3]
向在0℃下的Zr(TMSCp)(NMe2)3(2.00g,5.5mmol)在大约20mLTHF中的溶液中滴加无水异丙醇(1.00g,16.6mmol)。将混合物在室温下搅拌过夜。然后在真空下除去溶剂,以产生黄色油状物。然后将该物质通过在100℃下蒸馏纯化,以产生1.28g(57%)纯淡黄色油状物。在图5中提供了NMR1H谱。NMR1H(δ,ppm,C6D6):6.59(t,2H),6.42(t,2H),4.24(m,3H),1.16(d,18H),0.36(s,9H)。
在TGA分析期间,在10℃/min的温度升高速率下,在200mL/min流动的氮气气氛中测量的,油状物留下2.5%剩余质量。这些结果示于图8中,该图是说明温度升高时的重量损失百分比(TGA)和温度差(DTA)的TGA/DTA曲线图。
实例4:(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(TMS-Cp)(NMe2)3)的ALD
ALD测试使用实例1中制备的(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)进行,将其置于加热至60℃的容器中并且将O3用作氧化反应物。使用典型的ALD条件,其中反应器压力固定在约0.5托。在硅晶片上以200℃-450℃的温度窗口评估在完全表面饱和和反应情况下的ALD行为。图9示出了随温度变化的使用(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)的ALD模式中ZrO的生长速率。在200℃与375℃(其为前体不热分解的最大ALD温度)之间生长速率被评估为0.56至/循环。图10示出了随在300℃和375℃下随前体引入时间变化的使用(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)的ALD模式中ZrO的生长速率。最高达375℃观察到的随前体引入时间的具有稳定生长速率的完美饱和证明了此前体的异常的热稳定性。
图11和图12分别示出了在300℃和375℃下产生的膜的X射线光电子能谱(XPS)分析。图13示出了在325℃下使用(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)在1:10纵横比图案晶片中沉积的膜的扫描电子显微镜(SEM),并且示出100%的阶梯覆盖性能。图14还示出了在325℃下使用(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)在1:40纵横比图案晶片中沉积的膜的SEM,并且示出85%的阶梯覆盖性能。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明原则和范围内做出在此已经描述且阐明以解释本发明的性质的细节、材料、步骤和零件安排上的许多附加的改变。因此,本发明不旨在限于以上给出的实例和/或附图中的具体实施例。

Claims (15)

1.一种含锆膜形成组合物,包含具有下式之一的含硅和锗的前体:
其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10各自独立地选自H;C1-C5直链、支链或环状烷基;或C1-C5直链、支链或环状氟烷基。
2.如权利要求1所述的含锆膜形成组合物,该前体具有式I:
3.如权利要求2所述的含锆膜形成组合物,其中该前体选自下组,该组由以下各项组成:(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(TMS-Cp)(NMe2)3);(三甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(TMS-Cp)(NHMe)3);(三甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(TMS-Cp)(NEt2)3);(三甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(TMS-Cp)(NHEt)3);(三甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(TMS-Cp)(NEtMe)3);(三甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(TMS-Cp)(NnPr2)3);(三甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(TMS-Cp)(NHnPr)3);(三甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(TMS-Cp)(NiPr2)3);(三甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(TMS-Cp)(NHiPr)3);(三甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(TMS-Cp)(NnBu2)3);(三甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(TMS-Cp)(NHnBu)3)(三甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(TMS-Cp)(NiBu2)3);(三甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(TMS-Cp)(NHiBu)3);(三甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(TMS-Cp)(NsBu2)3);(三甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(TMS-Cp)(NHsBu)3);(三甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(TMS-Cp)(NtBu2)3);(三甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(TMS-Cp)(NHtBu)3);(二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(DMS-Cp)(NMe2)3);(二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(DMS-Cp)(NHMe)3);(二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(DMS-Cp)(NEt2)3);(二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(DMS-Cp)(NHEt)3);(二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(DMS-Cp)(NEtMe)3);(二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(DMS-Cp)(NnPr2)3);(二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(DMS-Cp)(NHnPr)3);(二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(DMS-Cp)(NiPr2)3);(二甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(DMS-Cp)(NHiPr)3);(二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(DMS-Cp)(NnBu2)3);(二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(DMS-Cp)(NHnBu)3);(二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(DMS-Cp)(NiBu2)3);(二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(DMS-Cp)(NHiBu)3);(二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(DMS-Cp)(NsBu2)3);(二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(DMS-Cp)(NHsBu)3);(二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(DMS-Cp)(NtBu2)3);(二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(DMS-Cp)(NHtBu)3);(三氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(F3Si-Cp)(NMe2)3);(三氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(F3Si-Cp)(NHMe)3);(三氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(F3Si-Cp)(NEt2)3);(三氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(F3Si-Cp)(NHEt)3);(三氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(F3Si-Cp)(NEtMe)3);(三氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(F3Si-Cp)(NnPr2)3);(三氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(F3Si-Cp)(NHnPr)3)(三氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(F3Si-Cp)(NiPr2)3);(三氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(F3Si-Cp)(NHiPr)3);(三氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(F3Si-Cp)(NnBu2)3);(三氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHnBu)3);(三氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(F3Si-Cp)(NiBu2)3);(三氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHiBu)3);(三氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(F3Si-Cp)(NsBu2)3);(三氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHsBu)3);(三氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(F3Si-Cp)(NtBu2)3);(三氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(F3Si-Cp)(NHtBu)3);(二氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NMe2)3);(二氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NHMe)3);(二氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(F2HSi-Cp)(NEt2)3);(二氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHEt)3);(二氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(F2HSi-Cp)(NEtMe)3);(二氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NnPr2)3);(二氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHnPr)3);(二氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NiPr2)3);(二氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(F2HSi-Cp)(NHiPr)3);(二氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NnBu2)3);(二氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHnBu)3);(二氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NiBu2)3);(二氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHiBu)3);(二氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NsBu2)3);(二氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHsBu)3);(二氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NtBu2)3);(二氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(F2HSi-Cp)(NHtBu)3);(一氟甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NMe2)3);(一氟甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NHMe)3);(一氟甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(FH2Si-Cp)(NEt2)3);(一氟甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHEt)3);(一氟甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(FH2Si-Cp)(NEtMe)3);(一氟甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NnPr2)3);(一氟甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHnPr)3);(一氟甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NiPr2)3);(一氟甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(FH2Si-Cp)(NHiPr)3);(一氟甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NnBu2)3);(一氟甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHnBu)3);(一氟甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NiBu2)3)(一氟甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHiBu)3);(一氟甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NsBu2)3);(一氟甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHsBu)3);(一氟甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NtBu2)3);(一氟甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(FH2Si-Cp)(NHtBu)3);(氟二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NMe2)3);(氟二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHMe)3);(氟二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NEt2)3);(氟二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHEt)3);(氟二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr(FMe2Si-Cp)(NEtMe)3);(氟二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NnPr2)3);(氟二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHnPr)3);(氟二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NiPr2)3);(氟二甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHiPr)3);(氟二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NnBu2)3);(氟二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHnBu)3);(氟二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NiBu2)3);
(氟二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHiBu)3);(氟二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NsBu2)3);(氟二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHsBu)3);(氟二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NtBu2)3);(氟二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr(FMe2Si-Cp)(NHtBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NMe2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHMe)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NEt2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHEt)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NEtMe)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NnPr2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHnPr)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NiPr2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHiPr)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NnBu2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHnBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NiBu2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHiBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NsBu2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHsBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NtBu2)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)3Si-Cp)(NHtBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NMe2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHMe)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NEt2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHEt)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NEtMe)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NnPr2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHnPr)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NiPr2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHiPr)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NnBu2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHnBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NiBu2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHiBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NsBu2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHsBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NtBu2)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)2HSi-Cp)(NHtBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NMe2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHMe)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二乙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NEt2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHEt)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙基甲基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NEtMe)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二正丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NnPr2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHnPr)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二异丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NiPr2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丙基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHiPr)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二正丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NnBu2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHnBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二异丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NiBu2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHiBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二仲丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NsBu2)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(仲丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHsBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(二叔丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NtBu2)3);以及((三氟甲基)二甲基甲硅烷基)环戊二烯基三(叔丁基氨基)锆(IV)(Zr((CF3)Me2Si-Cp)(NHtBu)3)。
4.如权利要求3所述的含锆膜形成组合物,其中该前体是(三甲基甲硅烷基)环戊二烯基三(二甲基氨基)锆(Ⅳ)[Zr(TMS-Cp)(NMe2)3]。
5.如权利要求1所述的含锆膜形成组合物,该前体具有式II:
6.如权利要求5所述的含锆膜形成组合物,其中该前体选自下组,该组由以下各项组成:(三甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(TMS-Cp)(OMe)3);(三甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(TMS-Cp)(OEt)3);(三甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(TMS-Cp)(OnPr)3);(三甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(TMS-Cp)(OiPr)3);(三甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(TMS-Cp)(OtBu)3);(三甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(TMS-Cp)(OsBu)3);(三甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(TMS-Cp)(OnBu)3);(三甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(TMS-Cp)(OiBu)3);(二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(DMS-Cp)(OMe)3);(二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(DMS-Cp)(OEt)3);(二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(DMS-Cp)(OnPr)3);(二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(DMS-Cp)(OiPr)3);(二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(DMS-Cp)(OtBu)3);(二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(DMS-Cp)(OsBu)3);(二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(DMS-Cp)(OnBu)3);(二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(DMS-Cp)(OiBu)3);(三氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(F3Si-Cp)(OMe)3);(三氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(F3Si-Cp)(OEt)3);(三氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(F3Si-Cp)(OnPr)3);(三氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(F3Si-Cp)(OiPr)3);(三氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(F3Si-Cp)(OtBu)3);(三氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(F3Si-Cp)(OsBu)3);(三氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(F3Si-Cp)(OnBu)3);(三氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(F3Si-Cp)(OiBu)3);(二氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(F2HSi-Cp)(OMe)3);(二氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(F2HSi-Cp)(OEt)3);(二氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(F2HSi-Cp)(OnPr)3);(二氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(F2HSi-Cp)(OiPr)3);(二氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(F2HSi-Cp)(OtBu)3);(二氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(F2HSi-Cp)(OsBu)3);(二氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(F2HSi-Cp)(OnBu)3);(二氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(F2HSi-Cp)(OiBu)3);(一氟甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(FH2Si-Cp)(OMe)3);(一氟甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(FH2Si-Cp)(OEt)3);(一氟甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(FH2Si-Cp)(OnPr)3);(一氟甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(FH2Si-Cp)(OiPr)3);(一氟甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(FH2Si-Cp)(OtBu)3);(一氟甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(FH2Si-Cp)(OsBu)3);(一氟甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(FH2Si-Cp)(OnBu)3);(一氟甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(FH2Si-Cp)(OiBu)3);(氟二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr(FMe2Si-Cp)(OMe)3);(氟二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr(FMe2Si-Cp)(OEt)3);(氟二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr(FMe2Si-Cp)(OnPr)3);(氟二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr(FMe2Si-Cp)(OiPr)3);(氟二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OtBu)3);(氟二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OsBu)3);(氟二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OnBu)3);(氟二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr(FMe2Si-Cp)(OiBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)3Si-Cp)(OMe)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OEt)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OnPr)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)3Si-Cp)(OiPr)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OtBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OsBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OnBu)3);(三(三氟甲基)甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)3Si-Cp)(OiBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OMe)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OEt)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OnPr)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OiPr)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OtBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OsBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OnBu)3);(二(三氟甲基)甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)2HSi-Cp)(OiBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(甲氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OMe)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(乙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OEt)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OnPr)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OiPr)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(叔丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OtBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(仲丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OsBu)3);((三氟甲基)二甲基甲硅烷基)环戊二烯基三(正丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OnBu)3);以及((三氟甲基)二甲基甲硅烷基)环戊二烯基三(异丁氧基)锆(IV)(Zr((CF3)Me2Si-Cp)(OiBu)3)。
7.如权利要求6所述的含锆膜形成组合物,其中该前体是(三甲基甲硅烷基)环戊二烯基三(异丙氧基)锆(Ⅳ)[Zr(TMS-Cp)(OiPr)3]。
8.如权利要求1所述的含锆膜形成组合物,该组合物包含在大约95%w/w与大约100%w/w之间的该前体。
9.如权利要求1所述的含锆膜形成组合物,该组合物包含在大约0.0%w/w与大约5.0%w/w之间的杂质。
10.如权利要求9所述的含锆膜形成组合物,这些杂质包括醇;烷基胺;二烷基胺;烷基亚胺;环戊二烯;二环戊二烯;烷基锗烷;THF;醚;戊烷;环己烷;庚烷;苯;甲苯;氯化的金属化合物;烷基酰胺基锂、烷基酰胺基钠或烷基酰胺基钾;烷氧基锂、烷氧基钠或烷氧基钾;和/或环戊二烯基锂、环戊二烯基钠或环戊二烯基钾。
11.如权利要求1所述的含锆膜形成组合物,该组合物包含在大约0ppbw与大约1ppbw之间的金属杂质。
12.如权利要求11所述的含锆膜形成组合物,这些金属杂质包括铝(Al)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、锆(Zr)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、钛(Ti)、铀(U)、和/或锌(Zn)。
13.一种用于在基板上沉积含锆膜的方法,该方法包括以下步骤:将如权利要求1至12中任一项所述的含锆膜形成组合物的蒸气引入到其中设置有基板的反应器内,并且将该含硅和锆的前体的至少一部分沉积到该基板上。
14.如权利要求13所述的方法,进一步包括将至少一种反应物引入该反应器中,其中该反应物选自下组,该组由以下各项组成:H2、H2CO N2H4、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、以及其混合物。
15.如权利要求13所述的方法,进一步包括将至少一种反应物引入该反应器中,其中该反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2NO、N2O、NO2、其氧自由基、以及其混合物。
CN201580073605.6A 2014-12-23 2015-12-17 用于气相沉积含锆膜的含锆膜形成组合物 Pending CN107210219A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/580,352 US9663547B2 (en) 2014-12-23 2014-12-23 Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US14/580,352 2014-12-23
PCT/US2015/066450 WO2016106090A1 (en) 2014-12-23 2015-12-17 Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films

Publications (1)

Publication Number Publication Date
CN107210219A true CN107210219A (zh) 2017-09-26

Family

ID=53399381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580073605.6A Pending CN107210219A (zh) 2014-12-23 2015-12-17 用于气相沉积含锆膜的含锆膜形成组合物

Country Status (6)

Country Link
US (1) US9663547B2 (zh)
JP (1) JP6492178B2 (zh)
KR (1) KR102492017B1 (zh)
CN (1) CN107210219A (zh)
TW (1) TWI693229B (zh)
WO (1) WO2016106090A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109338331A (zh) * 2018-11-27 2019-02-15 合肥安德科铭半导体科技有限公司 一种高介电常数的硅掺杂的氧化锆薄膜的制备方法及其产物

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6501544B2 (ja) * 2015-02-10 2019-04-17 東ソー株式会社 第4族金属錯体、その製造方法、第4族金属含有薄膜の作製方法
KR102398823B1 (ko) * 2016-09-09 2022-05-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물
KR20180038823A (ko) 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10364259B2 (en) * 2016-12-30 2019-07-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10925804B2 (en) 2017-10-04 2021-02-23 Sundance Spas, Inc. Remote spa control system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0559108A1 (en) * 1992-03-03 1993-09-08 Idemitsu Kosan Company Limited Graft copolymer, process for production thereof and resin composition containing same
US5629398A (en) * 1990-10-05 1997-05-13 Idemitsu Kosan Co., Ltd. Process for producing cyclic olefin based polymers, cyclic olefin copolymers, compositions and molded articles comprising the copolymers
CN1169438A (zh) * 1996-05-15 1998-01-07 恩尼彻姆公司 有载体的金属茂配合物及其制备方法
US20030224927A1 (en) * 2001-04-30 2003-12-04 Keng-Yu Shih Process for preparing supported transition metal catalyst systems and catalyst systems prepared thereby
CN1638061A (zh) * 2000-09-19 2005-07-13 马特森技术公司 形成介电薄膜的方法
WO2006065468A1 (en) * 2004-12-17 2006-06-22 Exxonmobil Chemical Patents Inc. Metallocene complexes, their synthesis and use in catalyst systems for olefin polymerization
CN101896638A (zh) * 2006-06-02 2010-11-24 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途
TW201410688A (zh) * 2012-05-25 2014-03-16 Air Liquide 用於蒸氣沈積之含鋯前驅物

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4931417A (en) 1987-11-09 1990-06-05 Chisso Corporation Transition-metal compound having a bis-substituted-cyclopentadienyl ligand of bridged structure
US6428623B2 (en) 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
IT1272939B (it) 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
JP3787574B2 (ja) 1995-02-28 2006-06-21 マイクロン・テクノロジー・インコーポレーテッド プレカーサを用いた化学蒸着
US5527752A (en) 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US6669990B2 (en) 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
JP4472338B2 (ja) 2001-10-26 2010-06-02 シグマ−アルドリッチ・カンパニー 化学気相成長のための改良された前駆体
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
EP1523763A4 (en) 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
CA2615982C (en) 2005-07-19 2012-02-21 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007066546A1 (ja) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
EP2464652A4 (en) 2009-08-14 2013-01-09 Air Liquide HAFNIUM AND ZIRCONIUM-CONTAINING PRECEDENTS AND METHOD OF USE THEREOF
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
WO2013015947A2 (en) * 2011-07-22 2013-01-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US8760845B2 (en) 2012-02-10 2014-06-24 Nanya Technology Corp. Capacitor dielectric comprising silicon-doped zirconium oxide and capacitor using the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5629398A (en) * 1990-10-05 1997-05-13 Idemitsu Kosan Co., Ltd. Process for producing cyclic olefin based polymers, cyclic olefin copolymers, compositions and molded articles comprising the copolymers
EP0559108A1 (en) * 1992-03-03 1993-09-08 Idemitsu Kosan Company Limited Graft copolymer, process for production thereof and resin composition containing same
CN1169438A (zh) * 1996-05-15 1998-01-07 恩尼彻姆公司 有载体的金属茂配合物及其制备方法
CN1638061A (zh) * 2000-09-19 2005-07-13 马特森技术公司 形成介电薄膜的方法
US20030224927A1 (en) * 2001-04-30 2003-12-04 Keng-Yu Shih Process for preparing supported transition metal catalyst systems and catalyst systems prepared thereby
WO2006065468A1 (en) * 2004-12-17 2006-06-22 Exxonmobil Chemical Patents Inc. Metallocene complexes, their synthesis and use in catalyst systems for olefin polymerization
CN101896638A (zh) * 2006-06-02 2010-11-24 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途
TW201410688A (zh) * 2012-05-25 2014-03-16 Air Liquide 用於蒸氣沈積之含鋯前驅物

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
GEMMA CIRUELO: ""Synthesis and reactivity of new silyl substituted monocyclopentadienyl zirconium complexes. X-ray molecular structure of [Zr{η5-C5H4(SiMe2CH2Ph)}(CH2Ph)3]", 《JOURNAL OF ORGANOMETALLIC CHEMISTRY》 *
TIMOTHY J. WOODMAN: ""B(C6F5)3 as C6F5 Transfer Agent in Zirconium Chemistry: Borole Sandwich and Borole-Bridged Triple-Decker Complexes"", 《ORGANOMETALLICS》 *
YOON JANG CHUNG: ""Trimethylsilylcyclopentadienyl tris(dimethylamino) zirconium as a single-source metal precursor for the atomic layer deposition of ZrxSi1-xO4"", 《THIN SOLID FILMS》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109338331A (zh) * 2018-11-27 2019-02-15 合肥安德科铭半导体科技有限公司 一种高介电常数的硅掺杂的氧化锆薄膜的制备方法及其产物

Also Published As

Publication number Publication date
JP2018503247A (ja) 2018-02-01
TWI693229B (zh) 2020-05-11
JP6492178B2 (ja) 2019-03-27
US9663547B2 (en) 2017-05-30
KR102492017B1 (ko) 2023-01-25
US20150176120A1 (en) 2015-06-25
TW201630921A (zh) 2016-09-01
KR20170097677A (ko) 2017-08-28
WO2016106090A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
TWI496934B (zh) 用於沉積碳摻雜含矽膜的組合物及方法
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
JP6803368B2 (ja) アルキルアミノ置換ハロカルボシラン前駆体
CN107210219A (zh) 用于气相沉积含锆膜的含锆膜形成组合物
TWI620751B (zh) 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
TWI541248B (zh) 有機胺基矽烷前驅物及其製造和使用方法
KR20170042297A (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
CN110139945A (zh) 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US9518075B2 (en) Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
TWI794671B (zh) 用於選擇性形成含金屬膜之化合物及方法
JP6941670B2 (ja) 4族遷移金属含有膜の気相成長のための4族遷移金属含有膜形成用組成物
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170926

WD01 Invention patent application deemed withdrawn after publication