CN101896638A - 基于新型钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途 - Google Patents

基于新型钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途 Download PDF

Info

Publication number
CN101896638A
CN101896638A CN2007800204948A CN200780020494A CN101896638A CN 101896638 A CN101896638 A CN 101896638A CN 2007800204948 A CN2007800204948 A CN 2007800204948A CN 200780020494 A CN200780020494 A CN 200780020494A CN 101896638 A CN101896638 A CN 101896638A
Authority
CN
China
Prior art keywords
mecp
nme
etcp
formula
net
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2007800204948A
Other languages
English (en)
Other versions
CN101896638B (zh
Inventor
C·杜萨拉
N·布拉斯科
A·潘沙尔
C·拉绍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37192518&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN101896638(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN101896638A publication Critical patent/CN101896638A/zh
Application granted granted Critical
Publication of CN101896638B publication Critical patent/CN101896638B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

在基底上沉积含金属的介电膜的方法,该介电膜包含式(I)的化合物:(M1 1-aM2 a)ObNc (I)其中0≤a<1,0<b≤3,0≤c≤1,M1代表选自(Hf)、(Zr)和(Ti)的金属;且M2代表金属原子,该方法包括下列步骤:步骤a),将基底供应到反应室中;步骤b),将式(II)的含M1金属的前体气化,从而形成第一气相金属源:(R1 yOp)x(R2 tCp)zM1R’4-x-z (II)其中:0≤x≤3,优选地x=0或1,0≤z≤3,优选地z=1或2,1≤(x+z)≤4,0≤y≤7,优选地y=2,0≤t≤5,优选地t=1,(R1 yOp)代表未取代或取代的戊二烯基配体;(R2 tCp)代表未取代或取代的环戊二烯基配体;步骤c),将第一气相金属源引入反应室中,以使它们与所述基底接触,从而在所述基底上沉积包含如上定义的式(I)化合物的含金属的介电膜。式(II1)的化合物:(R2 tCp)M1[N(R39)(R40)]3 (II1);其相当于x=0、z=1且R’代表基团N(R39)(R40)时的如权利要求1所述的式(II)。

Description

基于新型钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途 
本发明涉及形成高k介电膜(如铪或锆的氧化物或氧氮化物)的方法及其用于制造半导体的用途。 
随着下一代半导体器件的临界尺寸的缩小,需要引入新材料,尤其具有高介电常数的新材料。在CMOS构造中,需要高k电介质代替通常具有大约1纳米SiO2等效厚度的达到其物理极限的SiO2。 
类似地,在用于RAM用途的金属-绝缘体-金属构造中需要高k电介质。多种金属组合物已经被认为既满足材料要求(介电常数、漏电流、结晶温度、电荷俘获),又满足集成要求(界面处的热稳定性、干蚀刻可行性......)。 
基于第IV族的材料,如HfO2、HfSiO4、ZrO2、ZrSiO4、HfZrO4、HfLnOx(Ln选自钪、钇和稀土元素),更通常地,HfMOx和ZrMOx,其中M是选自第II族、第IIIa族和第IIIb族的元素或过渡金属,属于最有前途的材料。此外,第IV族金属组合物也可以被考虑用于电极和/或Cu扩散势垒用途,如用于中能隙金属栅极的TiN,和用于MIM电极的HfN、ZrN、HfSi、ZrSi、HfSiN、ZrSiN、TiSiN。 
能以合理生产量和可接受的纯度实现这类膜的沉积的主要工业选择是气相沉积技术,如MOCVD(金属-有机化学气相沉积)或ALD(原子层沉积)。这类沉积法需要必须满足适当工业用途的严厉要求的金属前体。这些方法需要金属-有机或者金属-卤化物前体。多种铪和锆金属-有机化合物已经被视为能够实现这种沉积的前体。 
卤化物,如HfCl4、ZrCl4,是最常见的Hf/Zr前体并且已经广为描述。Kim等人公开了使用HfCl4通过ALD沉积HfO2(Kim等人,Electrochem Soc Proceedings 2005-05,397,2005)。但是,沉积过程中生成的一些副产物,如HCl或Cl2,会造成表面/界面粗糙,这对最终性能有害。根据所用氧源,其它可能的副产物可能是危险的。例如,已经通过QMS,经由OCl片段检出作为HfCl4和O3之间反应副产物的OCl2。此外,在高k氧化物的情况下,Cl或F杂质对最终电性能非常有害。 
Triyoso等人和Chang等人分别研究了Hf(OtBu)4用于HfO2 MOCVD和ALD的用途[Triyoso等人;J.Electrochem.Soc.152(3)G203-G209(2005);Chang等人;Electrochem.Solid.State Let.,7(6)F42-F44(2004)]。Williams等人已经评测了Hf(mmp)4和Hf(OtBu)2(mmp)2用于HfO2的MOCVD。在WO2003035926中,Jones等人公开了被给体官能化的烷氧基配体(1-甲氧基-2-甲基-2-丙氧基化物[OCMe2CH2OMe,mmp])改进的固体Ti、Hf、Zr和La前体,该配体有助于抑制Zr和Hf的醇盐化合物的低聚,并提高其对湿气的稳定性。但是,如Potter等人所指出,所有这些醇盐前体具有不能在ALD法中实现自限沉积的缺点(R.J.Potter,P.R.Chalker,T.D.Manning,H.C.Aspinall,Y.F.Loo,A.C.Jones,L.M.Smith,G.W.Critchlow,M.Schumacher,Chem.Vap.Deposition,2005,11,N°3,159-167)。 
烷基氨化物前体,如Hf(NEtMe)4、Hf(NMe2)4、Hf(NEt2)4,已经广泛公开在文献中[Senzaki等人,J.Vac.Sci.Technol.A 22(4),2004年7月/8月;Haussmann等人,Chem.Mater.2002,14,4350-4353;Kawahara等人,J.Appl.Phys.,第43卷,N°7A,2004,第4129-4134页;Hideaki等人,JP2002093804;Metzner等人,US6858547;Dip等人,US20050056219 A1]。第IV族烷基氨化物适用于ALD和MOCVD法。此外,一些在室温下是液体(Hf(NEt2)4和Hf(NEtMe)4)并具有足够挥发性,而且对于有限热预算法,它们能够在低温下进行自限ALD。但是,第IV族烷基氨化物,特别是Zr化合物的烷基氨化物具有几种缺点,包括它们可能在分配过程中在一定程度上分解,可能造成进料管或气化器的堵塞,它们可能在沉积过程中产生粒子,它们可能在深槽沉积法中带来不均匀组成,且它们只适于 窄的自限ALD温度范围,因此降低了工艺操作范围。特别地,Zr(NEtMe)4可能在分配管道中分解,并在高于170℃时产生粒子,而这是常见的分配温度。Hf(NEtMe)4更加热稳定,但在300℃以上由于热分解而不能承受自限原子层沉积。 
WO 2007/055088中,Thenappan等人公开了铪和锆的胍根合络合物及其用于气相沉积的用途。例举了Hf(NEt2)2[(NiPr-CNEt2)2。但是,胍根合铪和锆通常是挥发性非常有限的固体。如热重分析中所例证的那样,不能在没有热分解和后续粒子生成风险的情况下获得蒸气相Hf(NEt2)2[(NiPr-CNEt2)2。 
Lehn等人(Chem.Vap.Deposition,2006,12,280-284)公开了四(三甲基肼合)锆[Zr(NMeNMe2)4]和铪及其用于低温CVD的用途。例举的化合物具有可接受的挥发性(在所报道的0.06Torr,90℃下升华),但它们在室温下是固体。 
Carta等人公开了双(环戊二烯基)双二甲基铪[HfCp2Me2]的用途(Carta等人在Electrochem Soc Proceedings,260,2005-09,2005中公开),几位作者(Codato等人,Chem Vapor Deposition,159,5,1995;Putkonen等人,J Mater Chem,3141,11,2001;Niinisto等人,Langmuir,7321,21,2005)提出了作为铪和锆烷基氨化物的替代物的新型Zr和Hf化合物:双(环戊二烯基)双二甲基铪,双(环戊二烯基)双二甲基锆,其能够实现ALD操作范围最高达400℃的的有效ALD沉积法,并在最优化条件中用H2O作为共反应物获得含少于0.2%C的膜。但是,HfCp2Me2和ZrCp2Me2都具有在室温下是固体产品的缺点(HfCp2Me2熔点为57.5℃)。这妨碍了IC制造者以工业方式使用这些前体,即使用离域容器填充,并带来便利性和工艺问题。 
在US 6,743,473中,Parkhe等人公开了(Cp(R)n)xMHy-x用于制造金属和/或金属氮化物层的用途,其中M选自钽、钒、铌和铪,Cp是环戊二烯基,R是有机基团。仅公开了钽和铌环戊二烯基化合物的实例。但是,没有公开液态前体或熔点低于50℃的前体。
Heys等人最近在WO 2006/131751 A1中提出了液态双(环戊二烯基)衍生物。但是,它们具有挥发性有限的缺点并且也构成大的位阻,这可能限制所实现的生长速率。 
现在需要提供液态或低熔点(<50℃)第IV族前体化合物,特别是Hf和Zr化合物,其能够同时实现适当分配(物理状态,在分配温度下的热稳定性)、宽的自限ALD操作范围、和通过ALD或MOCVD沉积纯膜。 
根据本发明,已发现某些基于环戊二烯基或戊二烯基的第IV族金属-有机前体适用于通过ALD或MOCVD法沉积含第IV族金属的膜,并具有下列优点:
-它们在室温下是液体或具有低于50℃的熔点, 
-它们是热稳定的,能够实现适当分配(气相或直接液体注射),且无粒子生成, 
-它们是热稳定的,具有宽的自限ALD操作范围, 
4)能够通过使用共反应物(选自H2、NH3、O2、H2O、O3、SiH4、Si2H6、Si3H8、TriDMAS、BDMAS、BDEAS、TDEAS、TDMAS、TEMAS、(SiH3)3N、(SiH3)2O、TMA或含铝前体、TBTDET、TAT-DMAE、PET、TBTDEN、PEN、含镧系元素的前体,如Ln(tmhd)3……)中的一种或它们的组合沉积含各种第IV族金属的膜。 
根据第一实施方案,本发明涉及在基底上沉积含至少一种金属的介电膜的方法,该介电膜包含式(I)的化合物: 
(M1 1-a M2 a)ObNc    (I) 
其中: 
0≤a<1, 
0<b≤3,优选地1.5≤b≤2.5; 
0≤c≤1, 
M1代表选自铪(Hf)、锆(Zr)和钛(Ti)的金属;且
M2代表选自镁(Mg)、钙(Ca)、锌(Zn)、硼(B)、铝(A)、铟(In)、硅(Si)、锗(Ge)、锡(Sn)、铪(Hf)、锆(Zr)、钛(Ti)、钒(V)、铌(Nb)、钽(Ta)的金属原子;和镧系原子,更特别地,钪(Sc)、钇(Y)、镧(La)和稀土金属原子, 
该方法包括下列步骤: 
-步骤a),将基底供应到反应室中; 
-步骤b),将至少一种式(II)的含M1金属的前体气化,从而形成第一气相金属源: 
(R1 yOp)x(R2 tCp)z M1R’4-x-z    (II) 
其中: 
M1定义如上; 
0≤x≤3,优选地x=0或1; 
0≤z≤3,优选地z=1或2; 
1≤(x+z)≤4; 
0≤y≤7,优选地y=2; 
0≤t≤5,优选地t=1; 
(R1 yOp)代表戊二烯基(Op)配体,其未被取代或被一个或多个R1基团取代,y代表在所述戊二烯基配体上的R1取代基的数; 
(R2 tCp)代表环戊二烯基(Cp)配体,其未被取代或被一个或多个R2基团取代,t代表在所述环戊二烯基配体上的R1取代基的数; 
R1和R2相同或不同,并独立地选自由下述基团组成的组:氯;具有1至4个碳原子的直链或支链烷基;N-烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;N,N-二烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;具有1至4个碳原子的直链或支链烷氧基;烷基甲硅烷基酰胺基团;脒根(amidinate)基团;和羰基; 
R’代表独立地选自由下述基团组成的组的配体:氢、氟、氯、溴或碘原子;具有1至4个碳原子的直链或支链烷基;N-烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;N,N-二烷基氨基,其中各烷基彼此 相同或不同,是直链或支链的并具有1至4个碳原子;具有1至4个碳原子的直链或支链烷氧基;烷基甲硅烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;二烷基甲硅烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;三烷基甲硅烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;脒根基团;和羰基,要理解的是,如果所述式(II)包含超过一个的R’基团,各R’可以彼此相同或不同; 
-任选地,步骤b’),将至少一种含M2金属的前体气化,从而形成任选的第二气相金属源,其中M2定义如上; 
-步骤c),将所述第一气相金属源和所述任选的第二气相金属源引入反应室中以使它们与所述基底接触,从而在所述基底上沉积包含如上定义的式(I)化合物的含金属的介电膜; 
条件是,如果要形成的含至少一种金属的介电膜包含式(I’)的化合物: 
M1 1O2    (I’) 
其相当于a=0、b=2且c=0时的如上定义的式(I),且如果步骤b)中所涉及的含M1金属的前体是式(II’)的化合物: 
(R2 tCp)2M1R’2    (II’) 
其相当于x=0且z=2时的如上定义的式(II),则在所述式(II’)中,在两个(R2 tCp)配体的至少一个中,t>0。 
在如上定义的方法中,所述至少一种式(II)的含金属的前体和,如果必要,所述至少一种含M2金属的前体,具有通常低于50℃、优选低于35℃的熔点,且它们优选在室温下是液体。 
根据如上定义的方法的一个具体实施方案,气化步骤b)和如果必要,气化步骤b’)通过将载气引入含所述至少一种式(II)的含M1金属的前体和,如果必要,所述至少一种含M2金属的前体的加热容器中而进行: 
(R1 yOp)x(R2 tCp)z M1R′4-x-z  (II) 
该容器优选在能使所述金属源处于液相的温度和足够蒸气压下加热。 如果必要,可以将这两种金属前体之一或二者混入溶剂或混入溶剂混合物和/或混入稳定剂中。所述溶剂例如选自辛烷、己烷、戊烷或四甲基硅烷。金属前体在溶剂或溶剂混合物中的浓度通常为0.01M至0.5M,更特别为大约0.05M。载气选自,但不限于,Ar、He、H2、N2或其混合物。如果必要,该容器可以在80至110℃的温度范围加热。本领域技术人员会理解,可以调节容器温度以控制要气化的前体量。 
载气流速通常为10sccm(标准立方厘米)至500sccm。优选地,载气流速为50sccm至200sccm。 
根据如上定义的本发明的另一具体实施方案,气化步骤b)和,如果必要,气化步骤b’)通过将式(II)的含M1金属的前体和如果必要,含M2金属的前体以液体形式引入气化器(其在此气化)来进行: 
(R1 yOp)x(R2 tCp)z M1R′4-x-z  (II) 
如果必要,可以将这两种金属前体之一或二者混入溶剂或混入溶剂混合物和/或混入稳定剂中。所述溶剂例如选自辛烷、己烷、戊烷或四甲基硅烷。金属前体在溶剂或溶剂混合物中的浓度通常为0.01M至0.5M,更特别为大约0.05M。 
根据更特别的实施方案,气化步骤b)和气化步骤b’)合并成这两种源的一个气化步骤b”)。 
在如上定义的方法的步骤c)中,将气化的含金属的前体引入反应室,其在此接触基底。 
在本发明中,基底是指半导体制造中使用的任何基底,其由于它们的技术功能,要求被含金属的膜涂覆。这类基底例如不仅选自硅基底(Si)、二氧化硅基底(SiO2)、氮化硅基底(SiN)或氧氮化硅基底(SiON),还选自钨基底(W)或贵金属基底,如铂基底(Pt)、钯基底(Pd)、铑基底(Rh)或金基底(Au)。 
将基底加热至以足够的生长速率和以所需物理状态和组成获得所需膜所需的温度。
步骤c)中的温度通常为150℃至600℃。该温度优选低于或等于450℃。
控制反应室中的压力从而以足够的生长速率获得所需含金属的膜。步骤c)中的压力通常为大约1mTorr(0.1333224Pa)至大约100Torr(13332.24Pa)。 
在本发明中,含M2金属的前体选自由下述物质组成的组: 
硅衍生物或其锗同系物,例如: 
二硅氧烷、三甲硅烷基胺、二硅烷、三硅烷、式(III1)的烷氧基硅烷 
SiHx(OR3)4-x,    (III1
其中:0≤x≤3且R3代表具有1至6个碳原子的直链或支链烃基; 
式(III2)的硅烷醇衍生物: 
Si(OH)x(OR4)4-x    (III2
其中:1≤x≤3且R4代表具有1至6个碳原子的直链或支链烃基,优选为Si(OH)(OR4)3,更优选为Si(OH)(OtBu)3; 
式(III3)的氨基硅烷衍生物: 
SiHx(NR5R6)4-x    (III3
其中:0≤x≤3,且R5和R6相同或不同并独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选SiH(NMe2)3(TriDMAS)、SiH2(NHtBu)2(BTBAS);SiH2(NEt2)2(BDEAS),及其混合物; 
铝衍生物,例如三甲基铝[Al(CH3)3]、二甲基氢化铝[AlH(CH3)2]、式(IV1)的烷氧基铝烷: 
AlR8 x(OR7)3-x  (IV1
其中0≤x≤3,且R7代表具有1至6个碳原子的直链或支链烷基,且R8与R7相同或不同,代表氢原子,或优选AlR9R10(OR7),其中R9和R10相同或不同,它们独立地代表具有1至6个碳原子的直链或支链烷基,最优选AlMe2(OiPr); 
式(IV2)的酰氨基铝烷: 
AlR11 x(NR12R13)3-x  (IV2
其中:0≤x≤3,且R12和R13相同或不同,代表氢原子或具有1至6个碳原子的直链或支链烷基,且R11与R7相同或不同并代表氢原子或具有 1至6个碳原子的直链或支链烷基; 
钽衍生物,例如:Ta(OMe)5、Ta(OEt)5、Ta(NMe2)5、Ta(NEt2)5、Ta(NEt2)5、式(V1)的钽衍生物: 
Ta(OR14)4[O-C(R15)(R16)-CH2-OR17
其中R14、R15、R16和R17相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选Ta(OEt)4(OCMe2CH2-OMe)(TAT-DMAE),式(V2)的钽衍生物: 
Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]    (V2
其中R18、R19、R20、R21和R22相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,式(V3)的钽衍生物: 
Ta(=NR24)(NR25R26)3  (V3
其中R24、R25和R26相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基; 
铌衍生物,例如:Nb(OMe)5、Nb(OEt)5、Nb(NMe2)5、Nb(NEt2)4、Nb(NEt2)5、式(VI1)的铌衍生物: 
Nb(OR27)4(O-C(R28)(R29)-CH2-OR30)    (VI1
其中R27、R28、R29和R30相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选Nb(OEt)4(OCMe2CH2-OMe)(NBT-DMAE),式(VI2)的铌衍生物: 
Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]  (VI2
其中R31、R32、R33、R34和R35相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,式(VI3)的铌衍生物: 
Nb(=NR36)(NR37R38)3    (VI3
其中R36、R37和R38相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基; 
镧系元素衍生物,例如钪衍生物、钇衍生物、铈衍生物、镨衍生物、钆衍生物、镝衍生物、铒衍生物、镧衍生物、带有至少一个β-二酮配体或至少一个任选被一个或数个具有1至6个碳原子的直链或支链烷基取代的 环戊二烯基配体的衍生物; 
二价金属衍生物,例如锶(Sr)、钡(Ba)、镁(Mg)、钙(Ca)或锌(Zn)衍生物,带有至少一个β-二酮配体或至少一个任选被一个或数个具有1至6个碳原子的直链或支链烷基取代的环戊二烯基配体; 
其它金属衍生物,例如钨(W)、钼(Mo)、铪(Hf)或锆(Zr)衍生物,例如烷氧基衍生物、氨基衍生物或含有这些物质的加合物,要理解的是,所述衍生物不是如上定义的式(II)的化合物。 
根据另一具体实施方案,如上定义的方法包括: 
-步骤d),其中在步骤c)之前将所述至少一种式(II)的含M1金属的前体和如果必要,所述至少一种含M2金属的前体混入至少一种反应物质中。 
在本发明中,针对的目标金属基膜,选择所述至少一种反应物质。 
根据另一实施方案,反应物质是氧源,更特别是氧(O2)、含氧自由基O·或OH·(例如通过远距等离子体产生的)、臭氧(O3)、湿气(H2O)和H2O2及其混合物。 
根据另一实施方案,反应物质是氮源,更特别是氮(N2)、含氮自由基(例如N·、NH·、NH2 ·)、氨(NH3)、肼(NH2NH2)及其烷基或芳基衍生物、以及它们的混合物。 
根据另一实施方案,反应物质既是氮源和又是氧源,更特别是NO、NO2、N2O、N2O5、N2O4及其混合物。 
根据所需N/O比,如果必要,用在上述方法中的反应物质可以是氧源、氧源的混合物、氮源的混合物、氧和氮的源、或它们的混合物。 
根据本发明的另一实施方案,如果目标金属基膜含有碳,例如但不限于金属碳化物或金属碳氮化物,则至少一种反应物质是碳源,更特别是甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、叔丁烯。 
根据本发明的另一实施方案,如果目标金属基膜含有硅,例如但不限于金属的硅化物、硅-氮化物、硅酸盐或硅-碳-氮化物,则至少一种反应物质是硅源,例如:二硅氧烷、三甲硅烷基胺、二硅烷(Si2H6)、三硅烷(Si3H8)、 上述式(III1)、(III2)或(III3)的烷氧基硅烷,例如SiH(NMe2)3(TriDMAS);SiH2(NHtBu)2(BTBAS);SiH2(NEt2)2(BDEAS))及其混合物。 
根据另一具体实施方案,上述方法包括: 
-步骤d′),其中将所述至少一种式(II)的含M1金属的前体和如果必要,所述至少一种含M2金属的前体在反应室中混入至少一种反应物质中。 
将所述至少一种式(II)的含M1金属的前体和如果必要,所述至少一种含M2金属的前体、和所述至少一种反应物质引入反应室中的模式通常取决于膜在基底上的沉积模式。所述含金属的前体和反应物质通常在化学气相沉积法同时引入,或在原子层沉积法相继引入,或根据几种组合方式引入,例如在脉冲改性原子层沉积法中,其中在一次脉冲中将所述至少一种式(II)的含M1金属的前体和如果必要,所述至少一种含M2金属的前体一起引入,并在单独的脉冲中引入所述至少一种反应物质;或在脉冲化学气相沉积法中,其中脉冲引入所述至少一种式(II)的含M1金属的前体和如果必要,所述至少一种含M2金属的前体,并连续引入所述至少一种反应物质。 
根据本发明的另一实施方案,使所述至少一种反应物质经过远离反应室的等离子系统,并分解成自由基。 
根据另一实施方案,上述方法的步骤(b)由步骤(b 1 )和步骤(b2)构成,其中步骤(b 1 )为将至少一种式(II)的第一含金属的前体与至少下列第二前体混合在一起:M1(NMe2)4、M1(NEt2)4、M1(NMeEt)4、M1(mmp)4、M1(OtBu)4、M1(OtBu)2(mmp)2及其混合物,步骤(b2)为将所述混合物气化。 
根据更特别的实施方案,本发明涉及上述沉积包含式(I)化合物的含金属的介电膜的方法,其中含M1金属的前体具有式(II1): 
(R2 tCp)M1[N(R39)(R40)]3    (II1
其相当于x=0、z=1且R’代表基团N(R39)(R40)时的式(II),其中R39和R40相同或不同,独立地代表氢原子、具有1至4个碳原子的直链或支链烷基、烷基甲硅烷基(其中烷基是直链或支链的并具有1至4个碳原 子)、二烷基甲硅烷基(其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子)、或三烷基甲硅烷基(其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子)。 
根据更特别的实施方案,本发明涉及上述沉积包含式(I1)化合物的含金属的介电膜的方法: 
M1O2    (I1
其相当于a=0、b=2且c=0的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、  ZrCp2Cl2、  Zr(MeCp)2Me2、  ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3  、    Zr(EtCp)(NEtMe)3  、    ZrCp(NEtMe)3  、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3  、    Hf(EtCp)(NEtMe)3  、    HfCp(NEtMe)3  、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3及其混合物。 
根据本发明更特别的实施方案,本发明涉及上述沉积包含式(I2)化合物的含金属的介电膜的方法: 
M1ObNc  (I2
其相当于a=0、1.5≤b≤2.5且0<c≤0.5的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2  、  Hf(MeCp)2(CO)2、  ZrCp2Cl2、  Zr(MeCp)2Me2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3  、    Zr(EtCp)(NEtMe)3  、    ZrCp(NEtMe)3  、 Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、Hf(p(NMe2)3、Hf(MeCp)(NEtMe)3  、    Hf(EtCp)(NEtMe)3  、    HfCp(NEtMe)3  、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3及其混合物。 
根据本发明更特别的实施方案,本发明涉及如上定义的沉积包含式(I3)的化合物的含金属的介电膜的方法: 
(M1 1-a M2 a)Ob  (I3
其相当于0≤a<1且c=0的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、Hf(p(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、  ZrCp2Cl2、  Zr(MeCp)2Me2、  ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3  、    Zr(EtCp)(NEtMe)3  、    ZrCp(NEtMe)3  、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3  、    Hf(EtCp)(NEtMe)3  、    HfCp(NEtMe)3  、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3且含M2金属的前体优选选自如上定义的硅衍生物或其锗同系物、钽衍生物、镧系元素衍生物、和镁衍生物。 
根据本发明的更具体实施方案,本发明涉及如上定义的沉积包含式(I4)的化合物的含金属的介电膜的方法: 
(M1 1-a M2 a)ObNc    (I4
其相当于0≤a<1且0<c≤0.5的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:  HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2  、  Hf(MeCp)2(CO)2、  ZrCp2Cl2  、  Zr(MeCp)2Me2、 ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3  、    Hf(EtCp)(NEtMe)3  、    HfCp(NEtMe)3  、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3,含M2金属的前体优选选自如上定义的硅衍生物或其锗同系物、钽衍生物、镧系元素衍生物和镁衍生物,并向反应器中引入至少一种含氧前体和至少一种含氮前体。 
根据另一实施方案,本发明涉及如上定义的式(II)的化合物的用途,用于制造介电膜、更特别用于集成电路的介电膜,或用于制备随机存取存储器的金属绝缘体金属(MIM)结构。 
根据另一实施方案,本发明涉及式(II1)的化合物: 
(R2 tCp)M1[N(R39)(R40)]3    (II1
其相当于x=0、z=1且R’代表基团N(R39)(R40)时的式(II),其中R39和R40相同或不同,独立地代表氢原子、具有1至4个碳原子的直链或支链烷基、烷基甲硅烷基(其中烷基是直链或支链的并具有1至4个碳原子)、二烷基甲硅烷基(其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子)、或三烷基甲硅烷基(其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子)。 
根据一个具体实施方案,本发明涉及如上定义的式(II1)的化合物,其中R2、R39和R40相同或不同,独立地代表选自甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基和叔丁基的基团,更具体为下列化合物:Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、 HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3。 
根据更具体的实施方案,本发明涉及下列化合物:Zr(EtCp)(NMe2)3、Zr(MeCp)(NMe2)3、ZrCp(NMe2)3、Hf(EtCp)(NMe2)3、Hf(MeCp)(NMe2)3和HfCp(NMe2)3。 
本领域技术人员会认识到,上述金属-有机化合物可用于气相沉积法以外的任何其它用途,例如催化剂,或需要使用金属-有机化合物的任何其它工业方法或领域。 
根据另一实施方案,本发明涉及制备如上定义的式(II1)化合物的方法,其包括: 
步骤1,包括通过M1Cl4与(R2tCp)Na的反应制备式(VII1)的化合物: 
(R2 tCp)M1 Cl3  (VII1
其中M1、R2和t如上文对式(II)所定义; 
步骤2,包括使步骤1中制成的式(VII1)化合物与NH(R39)(R40)反应以产生式(II1)的化合物。 
根据最后一个实施方案,本发明涉及如上定义的式(II)的下列化合物:Hf(EtCp)2Me2、Zr(MeCp)2Me2或Zr(EtCp)2Me2。 
下列实施例是本发明的各种实施方案的示例,而非限制。 
实施例I:沉积金属氧化物膜M 1 O 2 其中M 1 优选为铪和锆
要沉积的膜包含a=0、b=2且c=0的式(I)的化合物。 
为了在晶片表面上沉积这种膜或为制造DRAM的MIM结构在深槽中沉积这种膜,需要将如步骤(b)中所定义的M1金属源气化,并将其引入反应器(优选铪或锆),将氧源(优选湿气)、氧或臭氧注入所述反应器,使产物在适当温度(优选150℃至350℃)和压力(优选25Pa至1000Pa)下反应,反应持续时间为通过ALD或脉冲CVD法实现基底上的薄膜沉积或填满深槽(为了实现氧化物在沟槽中的规则沉积,从而逐渐填满该沟槽,并实现在介电膜中无空隙并因此在电容器介电膜中无缺陷,金属源的相继 脉冲注射是必要的)所必需的时间。 
该介电膜应该具有所需最终组成(在此,b值在2左右的变化基本上改变了前体与氧源的比率)。 
根据下列三个选项a、b或c,选择式(II)化合物的类型的三种实例: 
a)  式(II)的化合物选自Zr(MeCp)2Me2、Zr(EtCp)2Me2、Hf(MeCp)2Me2和Hf(MeCp)2Me2。 
液体形式的分子的输送通常如下进行:将惰性气体(N2、He、Ar等)鼓入该液体,并向反应器供应该惰性气体+液体气体混合物。 
b)  式(II)的化合物选自Zr(2,4-Me2Op)2Me2和Hf(2,4-Me2Op)2Me2。 
c)式(II)的化合物选自  Zr(MeCp)(2,4-Me2Op)Me2和Hf(MeCp)(2,4-Me2Op)Me2。 
氧源应该优选为,但不限于,氧气(O2)、氧自由基(例如O·或OH·,例如通过远距等离子系统产生的自由基),臭氧、NO、N2O、NO2、湿气(H2O)和H2O2。 
关于沉积法本身,可以将反应物同时(化学气相沉积)、先后(原子层沉积)或以不同的组合方式(一个实例是在一次脉冲中将例如金属源和另一金属源一起引入,并在单独的脉冲中引入氧[改变的原子层沉积];另一选择是连续引入氧和/或脉冲引入金属源(脉冲化学气相沉积))引入反应器中。 
实施例II:沉积金属氧氮化物膜M 1 ON,其中M 1 优选为铪和锆
要沉积的膜包含a=0且b和c不同于0的式(I)的化合物。 
实施例I中给出的所有信息都适用于此实施例II,不同的是需要向反应器中引入氮。 
氮应该选自氮源,氮源选自由氮气(N2)、氨、肼和烷基衍生物、含N的自由基(例如N·、NH·、NH2 ·)、NO、N2O、NO2等组成的组。 
实施例III:沉积M 1 M 2 金属氧化物膜,其中M 1 优选为Hf或Zr,M 2 优选为Si或Al
要沉积的膜包含a≠0、b≠0且c=0的式(I)的化合物。 
实施例I中给出的所有信息都适用于此实施例III,不同的是另外需要M2金属源。 
还向反应器中引入含M2的前体以产生金属的M2源。该含M2的前体源应该优选为: 
a)硅(或锗)源,例如Si(OH)(OtBu)3、SiH(NMe2)3(TriDMAS);SiH2(NHtBu)2(BTBAS)和SiH2(NEt2)2(BDEAS) 
b)铝源,例如AlMe2(OiPr);或 
c)  钽  (或铌)  源,  例如  Ta(OMe)5、  Ta(OEt)5  和Ta(OEt)(OCMe2CH2-OMe)(TATDMAE); 
本发明涉及在反应器中使用ALD、CVD、MOCVD、脉冲CVD法将式I的介电膜沉积到载体如晶片上。 
实施例IV:沉积M 1 M 2 金属氧氮化物膜,其中M 1 优选为Hf或Zr,M 2 优选为Si或Al
要沉积的膜包含a≠0、b≠0且c≠0的式(I)的化合物。 
实施例III中给出的所有信息都适用于这种情况,不同的是需要将氮引入反应器。 
氮源应当选自由氮气(N2)、氨、肼和烷基衍生物、含N的自由基(例如N·、NH·、NH2 ·)、NO、N2O、NO2等组成的组。 
实施例V:合成(乙基环戊二烯基)三(二甲基氨基)锆,Zr(EtCp)(NMe 2 ) 3
在3个步骤中制备Zr(EtCp)(NMe2)3。 
第一步骤是通过(EtCp)Na与ZrCl4的反应制备Zr(EtCp)Cl3; 
第二步骤是LiNMe2与Zr(EtCp)Cl3的反应,制造Zr(EtCp)(NMe2)3。通过蒸馏将所得化合物提纯。总收率为35%。 
已发现(乙基环戊二烯基)三(二甲基氨基)锆是稳定的液态浅黄色化合 物。 
Zr(EtCp)(NMe 2 ) 3 的TGA分析
将热重分析装置存放在湿气和氧含量保持低于1ppmv的氩气手套箱中。通过将35毫克样品装在铝坩锅中,进行热重分析。然后将样品以10℃/分钟升温速率从35℃加热至400℃。监测随着坩锅温度的质量损失。在260℃的完全蒸发温度下,残留含量为2.6%。所得图显示在图1中。 
实施例VI:  使用Zr(EtCp)(NMe 2 ) 3 进行ZrO 2 薄膜的原子层沉积
将Zr(EtCp)(NMe2)3储存在容器中。将该容器在90℃下加热,并以50sccm的流速使用N2作为载气。容器压力控制在50Torr。使用O3作为氧源。将基底在350℃下加热。在第一步骤中,将Zr(EtCp)(NMe2)3在2秒的期间引入反应室。此后作为第二步骤进行5秒N2吹扫。作为第三步骤,然后在2秒的期间向反应室中引入脉冲O3,然后作为第四步骤进行2秒N2吹扫。所有四个步骤重复100次,获得ZrO2膜。获得自限原子层沉积。 
用Hf类似物进行类似实验。用H2O作为氧源进行类似实验。 
实施例VII:  使用Zr(EtCp)(NMe 2 ) 3 进行ZrO 2 的金属-有机化学气相沉积
将Zr(EtCp)(NMe2)3储存在容器中。将该容器在90℃下加热,并以50sccm的流速使用N2作为载气。容器压力控制在50Torr。将Zr(EtCp)(NMe2)3混入进入反应室的O2/N2气体混合物。将基底在500℃下加热。反应室内的压力设定为10Torr。获得氧化锆膜。 
可以用Hf类似物进行类似实验。 
实施例VIII:  Zr(EtCp)(NMe 2 ) 3 和Zr(NEtMe) 4 的热性能的比较
在类似条件下,在Zr(EtCp)(NMe2)3和Zr(NEtMe)4上进行热重分析。将热重分析装置存放在湿气和氧含量保持低于1ppmv的氩气手套箱中。通过将35毫克样品装在铝坩锅中,进行热重分析。然后将样品以10℃/分钟升温速率从35℃加热至400℃。随坩锅温度监测质量损失。在封闭的杯 构造中,将穿孔盘(0.8毫米)放在装有该金属-有机化合物的坩锅上以减缓蒸发。这表现出在较高温度下的热稳定性。这些结果表明,Zr(EtCp)(NMe2)3比Zr(NEtMe)4热稳定得多,这使其进一步有吸引力地用作气相前体。结果显示在图2中。 
实施例IX:Hf(EtCp) 2 Me 2 的合成和热性能
Hf(EtCp)2Cl2容易通过1摩尔HfCl4与EtCpNa的反应获得。 
然后通过在-20℃在1摩尔Hf(EtCp)2Cl2上缓慢加入2摩尔LiMe并将温度升至0℃来获得Hf(EtCp)2Me2。通过蒸馏将所得透明液体Hf(EtCp)2Me2与LiCl和溶剂分离。对于大约30毫克的原料,Hf(EtCp)2Me2在真空条件下的TGA分析留下1.0%残留物(参见图3)。 
实施例X:由Hf(EtCp) 2 Me 2 和O 3 进行HfO 2 的原子层沉积
此实施例涉及氧化铪膜的制造。将硅片安装在沉积室中,该沉积室在其周围带有加热器,并在硅片表面上形成所需膜。用泵将该室抽空。将金属前体(在本例中是二甲基铪茂HfMe2(EtCp)2)储存在加热的液体容器中。使用氮气作为Hf(EtCp)2Me2的载气。通过使氮气流过液化材料,输送液体容器中的Hf(EtCp)2Me2。氮气通过质流控制器送入气化器。由氮气携带Hf(EtCp)2Me2蒸气并一起加入该室,或在可以使前体被引入反应器的阀关闭时转向旁通管。还将氧/臭氧气体混合物(氧化剂)通过质流控制器加入该室或加入旁通管。一侧上的氧/臭氧和另一侧上的Hf(EtCp)2Me2决不一起引入,以避免高度可能的CVD反应。它们通常接连引入,各反应物脉冲被吹扫时间隔开,在该吹扫时间中,仅将N2引入该室。 
使用所述工具在下列条件下在-0.7A/周期下制造氧化铪膜: 
压力=3torr,温度=350℃,Hf(EtCp)2Me2流速=0.5sccm,O2流速=100sccm。O3流速=8sccm。N2流速=100sccm。 
给出所得膜的Auger图作为示例(见图4)。

Claims (18)

1.在基底上沉积含至少一种金属的介电膜的方法,该介电膜包含式(I)的化合物:
(M1 1-a M2 a)ObNc        (I)
其中:
0≤a<1,
0<b≤3,优选地1.5≤b≤2.5;
0≤c≤1,
M1代表选自铪(Hf)、锆(Zr)和钛(Ti)的金属;且
M2代表选自镁(Mg)、钙(Ca)、锌(Zn)、硼(B)、铝(A)、铟(In)、硅(Si)、锗(Ge)、锡(Sn)、铪(Hf)、锆(Zr)、钛(Ti)、钒(V)、铌(Nb)、钽(Ta)的金属原子;和镧系原子,更特别地,钪(Sc)、钇(Y)、镧(La)和稀土金属原子,
该方法包括下列步骤:
-步骤a),将基底供应到反应室中;
-步骤b),将至少一种式(II)的含M1金属的前体气化,从而形成第一气相金属源:
(R1 yOp)x(R2 tCp)z M1R’4-x-z      (II)
其中:
M1定义如上;
0≤x≤3,优选地x=0或1;
0≤z≤3,优选地z=1或2;
1≤(x+z)≤4;
0≤y≤7,优选地y=2;
0≤t≤5,优选地t=1;
(R1 yOp)代表戊二烯基(Op)配体,其未被取代或被一个或多个R1基团取代,y代表在所述戊二烯基配体上的R1取代基的数;
(R2 tCp)代表环戊二烯基(Cp)配体,其未被取代或被一个或多个R2基团取代,t代表在所述环戊二烯基配体上的R1取代基的数;
R1和R2相同或不同,并独立地选自由下述基团组成的组:氯;具有1至4个碳原子的直链或支链烷基;N-烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;N,N-二烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;具有1至4个碳原子的直链或支链烷氧基;烷基甲硅烷基酰胺基团;脒根基团;和羰基;
R’代表独立地选自由下述基团组成的组的配体:氢、氟、氯、溴或碘原子;具有1至4个碳原子的直链或支链烷基;N-烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;N,N-二烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;具有1至4个碳原子的直链或支链烷氧基;烷基甲硅烷基氨基,其中烷基是直链或支链的并具有1至4个碳原子;二烷基甲硅烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;三烷基甲硅烷基氨基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;脒根基团;和羰基,要理解的是,如果所述式(II)包含超过一个的R’基团,各R’可以彼此相同或不同;
-任选地,步骤b’),将至少一种含M2金属的前体气化,从而形成任选的第二气相金属源,其中M2定义如上;
-步骤c),将所述第一气相金属源和所述任选的第二气相金属源引入反应室中以使它们与所述基底接触,从而在所述基底上沉积包含如上定义的式(I)化合物的含金属的介电膜;
条件是,如果要形成的含至少一种金属的介电膜包含式(I’)的化合物:
M1 1O2      (I’)
其相当于a=0、b=2且c=0时的如上定义的式(I),且如果步骤b)中所涉及的含M1金属的前体是式(II’)的化合物:
(R2 tCp)2M1R’2       (II’)
其相当于x=0且z=2时的如上定义的式(II),则在所述式(II’)中,在两个(R2 tCp)配体的至少一个中,t>0。
2.根据权利要求1的方法,其中气化步骤b)和气化步骤b’)合并成这两种源的一个气化步骤b”)。
3.根据权利要求1或根据权利要求2的方法,其中含M2金属的前体选自由下述物质组成的组:
硅衍生物或其锗同系物,例如:
二硅氧烷、三甲硅烷基胺、二硅烷、三硅烷、式(III1)的烷氧基硅烷
SiHx(OR3)4-x,     (III1)
其中:0≤x≤3且R3代表具有1至6个碳原子的直链或支链烃基;
式(III2)的硅烷醇衍生物:
Si(OH)x(OR4)4-x    (III2)
其中:1≤x≤3且R4代表具有1至6个碳原子的直链或支链烃基,优选为Si(OH)(OR4)3,更优选为Si(OH)(OtBu)3
式(III3)的氨基硅烷衍生物:
SiHx(NR5R6)4-x    (III3)
其中:0≤x≤3,且R5和R6相同或不同并独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选SiH(NMe2)3(TriDMAS)、SiH2(NHtBu)2(BTBAS);SiH2(NEt2)2(BDEAS),及其混合物;
铝衍生物,例如三甲基铝[Al(CH3)3]、二甲基氢化铝[AlH(CH3)2]、式(IV1)的烷氧基铝烷:
AlR8 x(OR7)3-x     (IV1)
其中0≤x≤3,且R7代表具有1至6个碳原子的直链或支链烷基,且R8与R7相同或不同,代表氢原子,或优选AlR9R10(OR7),其中R9和R10相同或不同,它们独立地代表具有1至6个碳原子的直链或支链烷基,最优选AlMe2(OiPr);
式(IV2)的酰氨基铝烷:
AlR11 x(NR12R13)3-x       (IV2)
其中:0≤x≤3,且R12和R13相同或不同,代表氢原子或具有1至6个碳原子的直链或支链烷基,且R11与R7相同或不同并代表氢原子或具有1至6个碳原子的直链或支链烷基;
钽衍生物,例如:Ta(OMe)5、Ta(OEt)5、Ta(NMe2)5、Ta(NEt2)5、Ta(NEt2)5、式(V1)的钽衍生物:
Ta(OR14)4[O-C(R15)(R16)-CH2-OR17]
其中R14、R15、R16和R17相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选Ta(OEt)4(OCMe2CH2-OMe)(TAT-DMAE),式(V2)的钽衍生物:
Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]    (V2)
其中R18、R19、R20、R21和R22相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,式(V3)的钽衍生物:
Ta(=NR24)(NR25R26)3       (V3)
其中R24、R25和R26相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基;
铌衍生物,例如:Nb(OMe)5、Nb(OEt)5、Nb(NMe2)5、Nb(NEt2)4、Nb(NEt2)5、式(VI1)的铌衍生物:
Nb(OR27)4(O-C(R28)(R29)-CH2-OR30)     (VI1)
其中R27、R28、R29和R30相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,优选Nb(OEt)4(OCMe2CH2-OMe)(NBT-DMAE),式(VI2)的铌衍生物:
Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]    (VI2)
其中R31、R32、R33、R34和R35相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基,式(VI3)的铌衍生物:
Nb(=NR36)(NR37R38)3     (VI3)
其中R36、R37和R38相同或不同,独立地代表氢原子或具有1至6个碳原子的直链或支链烷基;
镧系元素衍生物,例如钪衍生物、钇衍生物、铈衍生物、镨衍生物、钆衍生物、或Nd衍生物,带有至少一个β-二酮配体或至少一个任选被一个或数个具有1至6个碳原子的直链或支链烷基取代的环戊二烯基配体的衍生物;
二价金属衍生物,例如锶(Sr)、钡(Ba)、镁(Mg)、钙(Ca)或锌(Zn)衍生物,带有至少一个β-二酮配体或至少一个任选被一个或数个具有1至6个碳原子的直链或支链烷基取代的环戊二烯基配体;
其它金属衍生物,例如钨(W)、钼(Mo)、铪(Hf)或锆(Zr)衍生物,例如烷氧基衍生物、氨基衍生物或含有这些物质的加合物,要理解的是,所述衍生物不是如上定义的式(II)的化合物。
4.根据权利要求1至3之一的方法,其进一步包括:
-步骤d),其中在步骤c)之前将至少一种式(II)的含M1金属的前体和,如果必要,至少一种含M2金属的前体混入至少一种反应物质中。
5.根据权利要求1至4之一的方法,其进一步包括:
-步骤d′),其中将至少一种式(II)的含M1金属的前体和,如果必要,至少一种含M2金属的前体在反应室中混入至少一种反应物质中。
6.根据权利要求1至5之一的方法,其中步骤(b)由步骤(b 1 )和步骤(b2)构成,其中步骤(b 1 )为将至少一种式(II)的第一含金属的前体与至少下列第二前体混合在一起:M1(NMe2)4、M1(NEt2)4、M1(NMeEt)4、M1(mmp)4、M1(OtBu)4、M1(OtBu)2(mmp)2及其混合物,步骤(b2)为将所述混合物气化。
7.根据权利要求1至6之一的方法,其中含M1金属的前体具有式(II1):
(R2 tCp)M1[N(R39)(R40)]3       (II1)
其相当于x=0、z=1且R’代表基团N(R39)(R40)时的式(II),其中R39和R40相同或不同,独立地代表氢原子;具有1至4个碳原子的直链或支链烷基;烷基甲硅烷基,其中烷基是直链或支链的并具有1至4个碳原子;二烷基甲硅烷基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;或三烷基甲硅烷基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子。
8.根据权利要求1至7之一的沉积包含式(I1)化合物的含金属的介电膜的方法:
M1O2      (I1)
其相当于a=0、b=2且c=0的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3及其混合物。
9.根据权利要求1至7之一的沉积包含式(I2)化合物的含金属的介电膜的方法:
M1Ob Nc         (I2)
其相当于a=0、1.5≤b≤2.5且0<c≤0.5的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3及其混合物。
10.根据权利要求1至7之一的沉积包含式(I3)化合物的含金属的介电膜的方法:
(M1 1-a M2 a)Ob        (I3)
其相当于0≤a<1且c=0的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3,且含M2金属的前体优选选自如上定义的硅衍生物或其锗同系物、钽衍生物、镧系元素衍生物、和镁衍生物。
11.根据权利要求1至7之一的沉积包含式(I4)化合物的含金属的介电膜的方法:
(M1 1-a M2 a)ObNc       (I4)
其相当于0≤a<1且0<c≤0.5的式(I),其中式(II)的含金属的前体选自由下述物质组成的组:HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3,含M2金属的前体优选选自如上定义的硅衍生物或其锗同系物、钽衍生物、镧系元素衍生物和镁衍生物,并向反应器中引入至少一种含氧前体和至少一种含氮前体。
12.如权利要求1所述的式(II)化合物的用途,用于制造介电膜,特别是用于集成电路的介电膜,或用于制备随机存取存储器的金属绝缘体金属(MIM)构造。
13.式(II1)的化合物:
(R2 tCp)M1[N(R39)(R40)]3        (II1)
其相当于x=0、z=1且R’代表基团N(R39)(R40)时的式(II),其中R39和R40相同或不同,独立地代表氢原子;具有1至4个碳原子的直链或支链烷基;烷基甲硅烷基,其中烷基是直链或支链的并具有1至4个碳原子;二烷基甲硅烷基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子;或三烷基甲硅烷基,其中各烷基彼此相同或不同,是直链或支链的并具有1至4个碳原子。
14.如权利要求13所述的式(II1)的化合物,其中R2、R39和R40相同或不同,独立地代表选自甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基和叔丁基的基团。
15.如权利要求14所述的下列式(II1)的化合物:Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3
16.如权利要求15所述的下列式(II1)的化合物:Zr(EtCp)(NMe2)3、Zr(MeCp)(NMe2)3、ZrCp(NMe2)3、Hf(EtCp)(NMe2)3、Hf(MeCp)(NMe2)3和HfCp(NMe2)3
17.制备如权利要求13至权利要求16之一所述的式(II1)的化合物的方法,其包括:
步骤1,包括通过M1Cl4与(R2 tCp)Na的反应制备式(VII1)化合物:
(R2 tCp)M1Cl3             (VII1)
其中M1、R2和t如上文对式(II)所定义;
步骤2,包括使步骤1中制成的式(VII1)化合物与NH(R39)(R40)反应,以产生式(II1)的化合物。
18.如权利要求1所述的下列式(II)的化合物:Hf(EtCp)2Me2、Zr(MeCp)2Me2或Zr(EtCp)2Me2
CN200780020494.8A 2006-06-02 2007-03-16 基于钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途 Active CN101896638B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/EP2006/062893 WO2007140813A1 (en) 2006-06-02 2006-06-02 Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
EPPCT/EP2006/062893 2006-06-02
PCT/EP2007/052507 WO2007141059A2 (en) 2006-06-02 2007-03-16 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2010105697150A Division CN101982562B (zh) 2006-06-02 2007-03-16 形成介电膜的方法、新型前体及其在半导体制造中的用途

Publications (2)

Publication Number Publication Date
CN101896638A true CN101896638A (zh) 2010-11-24
CN101896638B CN101896638B (zh) 2014-05-28

Family

ID=37192518

Family Applications (3)

Application Number Title Priority Date Filing Date
CNA2006800548359A Pending CN101460657A (zh) 2006-06-02 2006-06-02 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
CN200780020494.8A Active CN101896638B (zh) 2006-06-02 2007-03-16 基于钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途
CN2010105697150A Active CN101982562B (zh) 2006-06-02 2007-03-16 形成介电膜的方法、新型前体及其在半导体制造中的用途

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2006800548359A Pending CN101460657A (zh) 2006-06-02 2006-06-02 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2010105697150A Active CN101982562B (zh) 2006-06-02 2007-03-16 形成介电膜的方法、新型前体及其在半导体制造中的用途

Country Status (9)

Country Link
US (6) US8399056B2 (zh)
EP (4) EP2029790A1 (zh)
JP (4) JP2009539237A (zh)
KR (3) KR101106349B1 (zh)
CN (3) CN101460657A (zh)
AT (1) ATE541959T1 (zh)
IL (2) IL195227A (zh)
TW (2) TWI374196B (zh)
WO (2) WO2007140813A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107210219A (zh) * 2014-12-23 2017-09-26 乔治洛德方法研究和开发液化空气有限公司 用于气相沉积含锆膜的含锆膜形成组合物
CN110121571A (zh) * 2016-12-30 2019-08-13 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN111683953A (zh) * 2018-02-07 2020-09-18 Up化学株式会社 含第ⅳ族金属元素化合物、其制备方法、含其的膜形成用前体组合物及用其的膜形成方法

Families Citing this family (455)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
EP2302094A1 (en) 2006-05-12 2011-03-30 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
KR100805018B1 (ko) * 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8071163B2 (en) 2007-04-07 2011-12-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of Ta- or Nb-doped high-k films
JP5461390B2 (ja) 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規金属前駆体
JP2010528183A (ja) 2007-05-21 2010-08-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規コバルト前駆体
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2010539709A (ja) * 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
JP5650880B2 (ja) 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP2011517056A (ja) * 2008-04-07 2011-05-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード TaまたはNbドープhigh−kフィルムの堆積
EP2174942B1 (en) * 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
KR101832500B1 (ko) * 2009-07-06 2018-02-26 린데 악티엔게젤샤프트 용액계 전구체
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
CN102482772A (zh) * 2009-07-21 2012-05-30 西格玛-奥吉奇有限责任公司 用于形成含钛薄膜的组合物和使用方法
EP2810947A1 (en) 2009-08-14 2014-12-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium- and zirconium-containing precursors and methods of using the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011057114A2 (en) 2009-11-09 2011-05-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
KR20120098863A (ko) * 2010-01-29 2012-09-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
TW201132787A (en) 2010-03-26 2011-10-01 Advanced Tech Materials Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
JP5587716B2 (ja) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
CN102060865B (zh) * 2010-11-15 2013-04-24 南京航空航天大学 酰胺钆配合物的合成方法及其在制备高k材料前驱体的应用
CN103930431B (zh) 2011-03-15 2016-07-06 株式会社Mecharonics 新型第4b族金属有机化合物及其制备
JP5675458B2 (ja) * 2011-03-25 2015-02-25 東京エレクトロン株式会社 成膜方法、成膜装置および記憶媒体
JP5732962B2 (ja) * 2011-03-28 2015-06-10 宇部興産株式会社 ジルコニウムアミド化合物の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8574997B2 (en) * 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5766098B2 (ja) * 2011-11-17 2015-08-19 株式会社アルバック 絶縁膜形成方法及び絶縁膜形成装置
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
TW201408679A (zh) * 2012-05-25 2014-03-01 Air Liquide 用於蒸氣沈積之含鈦前驅物
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
JP6184030B2 (ja) 2012-12-25 2017-08-23 株式会社Adeka アルミニウム化合物、薄膜形成用原料及び薄膜の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102123996B1 (ko) 2013-02-25 2020-06-17 삼성전자주식회사 알루미늄 전구체, 이를 이용한 박막 형성 방법 및 커패시터 형성 방법
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
WO2014168312A1 (ko) * 2013-04-08 2014-10-16 주식회사 유피케미칼 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10415153B2 (en) * 2014-04-02 2019-09-17 Franck Natali Doped rare earth nitride materials and devices comprising same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
KR102193623B1 (ko) 2014-06-05 2020-12-21 삼성전자주식회사 커패시터 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
WO2016191432A1 (en) 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10858379B2 (en) * 2015-11-11 2020-12-08 Korea Research Institute Of Chemical Technology Metal precursor for making metal oxide
KR20170058820A (ko) * 2015-11-19 2017-05-29 주식회사 유진테크 머티리얼즈 유기 4족 화합물을 포함하는 전구체 조성물 및 이를 이용한 박막 형성 방법
KR20160105714A (ko) 2015-11-26 2016-09-07 김현창 지르코늄 금속을 함유하는 신규한 유기금속 화합물 및 그 제조 방법, 그리고 이를 이용한 박막의 제조 방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6691009B2 (ja) * 2016-07-05 2020-04-28 株式会社Adeka 金属炭化物含有薄膜形成用原料及び金属炭化物含有薄膜の製造方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10479732B2 (en) 2017-03-31 2019-11-19 Tdk Corporation Oxynitride thin film and capacitance element
JP7000882B2 (ja) * 2017-03-31 2022-01-19 Tdk株式会社 酸窒化物薄膜および容量素子
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036137A1 (en) * 2017-08-17 2019-02-21 Applied Materials, Inc. LI-ION BATTERY WITHOUT OLEFIN SEPARATOR
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050974A1 (en) * 2018-09-03 2020-03-12 Applied Materials, Inc. Direct liquid injection system for thin film deposition
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7262912B2 (ja) * 2018-12-12 2023-04-24 エスケー トリケム カンパニー リミテッド 金属膜形成用前駆体組成物、これを用いた金属膜形成方法、及び前記金属膜を含む半導体素子
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11462398B2 (en) 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11859283B2 (en) * 2020-07-28 2024-01-02 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroalkylcyclopentadienyl indium-containing precursors and processes of using the same for deposition of indium-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220205099A1 (en) * 2020-12-29 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group iv element containing precursors and deposition of group iv element containing films
KR102309466B1 (ko) * 2021-04-06 2021-10-07 삼성엔지니어링 주식회사 암모니아 분해촉매 및 이를 이용한 암모니아 분해방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR20220157741A (ko) * 2021-05-21 2022-11-29 주식회사 아이켐스 신규한 하프늄 함유 화합물, 이를 함유하는 하프늄 전구체 조성물, 상기 하프늄 전구체 조성물을 이용한 하프늄 함유 박막 및 이의 제조방법.
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102649530B1 (ko) * 2021-12-23 2024-03-20 연세대학교 산학협력단 Ald 공정을 이용한 산화지르코늄 결정 박막 저온 증착 방법
WO2024030616A1 (en) * 2022-08-05 2024-02-08 Dow Global Technologies Llc Asymmetrical hafnium metallocenes

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01501487A (ja) 1987-06-17 1989-05-25 ザ ダウ ケミカル カンパニー シンジオタクチックポリスチレンの製造のための触媒および方法
BR9104067A (pt) 1990-09-20 1992-05-26 Dow Chemical Co Composto,processo para preparacao de compostos cataliticos e processo para polimerizacao de um ou mais monomeros
US6428623B2 (en) * 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
EP0988957A1 (en) 1994-11-29 2000-03-29 Idemitsu Petrochemical Co., Ltd. Process for preparing a styrenic resin
IT1272939B (it) * 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
JP3787574B2 (ja) 1995-02-28 2006-06-21 マイクロン・テクノロジー・インコーポレーテッド プレカーサを用いた化学蒸着
US5527752A (en) * 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
US5587439A (en) 1995-05-12 1996-12-24 Quantum Chemical Corporation Polymer supported catalyst for olefin polymerization
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
IT1283010B1 (it) * 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
CA2330529A1 (en) 1998-06-12 1999-12-16 Univation Technologies Llc Olefin polymerization process using activated lewis acid-base complexes
US6069109A (en) 1998-07-01 2000-05-30 Union Carbide Chemicals & Plastics Technology Corporation Process for the production of half-sandwich transition metal based catalyst precursors
FI108375B (fi) * 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) * 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
US7419698B2 (en) 2001-10-26 2008-09-02 Sigma-Aldrich Co. Precursors for chemical vapor deposition
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
AU2003267995A1 (en) 2002-07-18 2004-02-09 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
EP1523765A2 (en) * 2002-07-19 2005-04-20 Aviza Technology, Inc. Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
JP2004296887A (ja) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005187356A (ja) * 2003-12-25 2005-07-14 Mitsubishi Materials Corp 有機金属化合物及びその溶液原料並びに該化合物を用いた金属含有膜の形成方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2005351450A (ja) 2004-06-14 2005-12-22 Atsuyoshi Mantani 転がり球免震支承の小径球強制循環転動路構造及び小径球循環路構造
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
KR100728962B1 (ko) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
WO2007011459A1 (en) 2005-07-19 2007-01-25 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
JP2007131288A (ja) 2005-11-11 2007-05-31 Hideo Sunaga 省エネルギー型ジェット機
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007066546A1 (ja) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7666752B2 (en) 2007-01-19 2010-02-23 Qimonda Ag Deposition method for a transition-metal-containing dielectric
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) * 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2010539709A (ja) 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP5428702B2 (ja) 2009-09-24 2014-02-26 日本電気株式会社 ストリーム通信システム、サーバ装置及びクライアント装置
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107210219A (zh) * 2014-12-23 2017-09-26 乔治洛德方法研究和开发液化空气有限公司 用于气相沉积含锆膜的含锆膜形成组合物
CN110121571A (zh) * 2016-12-30 2019-08-13 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN110121571B (zh) * 2016-12-30 2021-09-03 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN111683953A (zh) * 2018-02-07 2020-09-18 Up化学株式会社 含第ⅳ族金属元素化合物、其制备方法、含其的膜形成用前体组合物及用其的膜形成方法
CN111683953B (zh) * 2018-02-07 2024-01-23 Up化学株式会社 含第ⅳ族金属元素化合物、其制备方法、含其的膜形成用前体组合物及用其的膜形成方法

Also Published As

Publication number Publication date
US20090311879A1 (en) 2009-12-17
US20180151354A1 (en) 2018-05-31
TWI525210B (zh) 2016-03-11
ATE541959T1 (de) 2012-02-15
WO2007140813A1 (en) 2007-12-13
US8470402B2 (en) 2013-06-25
EP2261389A2 (en) 2010-12-15
JP2009539237A (ja) 2009-11-12
KR20130027578A (ko) 2013-03-15
JP5539153B2 (ja) 2014-07-02
US20170125242A1 (en) 2017-05-04
WO2007141059A2 (en) 2007-12-13
EP2540861A1 (en) 2013-01-02
CN101982562B (zh) 2013-02-27
EP2029790A1 (en) 2009-03-04
WO2007141059A3 (en) 2010-06-17
US8399056B2 (en) 2013-03-19
IL195227A (en) 2014-06-30
US8668957B2 (en) 2014-03-11
IL195227A0 (en) 2009-08-03
JP2010506378A (ja) 2010-02-25
EP2261389B1 (en) 2012-01-18
KR101502251B1 (ko) 2015-03-12
KR20090018080A (ko) 2009-02-19
US20140242812A1 (en) 2014-08-28
US10217629B2 (en) 2019-02-26
EP2540861B1 (en) 2015-01-14
TW200813245A (en) 2008-03-16
CN101896638B (zh) 2014-05-28
US20090203222A1 (en) 2009-08-13
KR101106349B1 (ko) 2012-01-18
US9583335B2 (en) 2017-02-28
JP2011071528A (ja) 2011-04-07
US9911590B2 (en) 2018-03-06
EP2261389A3 (en) 2010-12-22
EP2029791A2 (en) 2009-03-04
US20110207337A1 (en) 2011-08-25
JP2014039045A (ja) 2014-02-27
IL208360A0 (en) 2010-12-30
KR20100122962A (ko) 2010-11-23
TWI374196B (en) 2012-10-11
IL208360A (en) 2014-05-28
TW201116643A (en) 2011-05-16
CN101460657A (zh) 2009-06-17
CN101982562A (zh) 2011-03-02

Similar Documents

Publication Publication Date Title
CN101896638B (zh) 基于钛、锆和铪前体形成高k介电膜的方法及其在半导体制造中的用途
EP2257561B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
CN103741119B (zh) 用于薄膜沉积的铌和钒有机金属前体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant