JP2010506378A - 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用 - Google Patents

誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用 Download PDF

Info

Publication number
JP2010506378A
JP2010506378A JP2009512514A JP2009512514A JP2010506378A JP 2010506378 A JP2010506378 A JP 2010506378A JP 2009512514 A JP2009512514 A JP 2009512514A JP 2009512514 A JP2009512514 A JP 2009512514A JP 2010506378 A JP2010506378 A JP 2010506378A
Authority
JP
Japan
Prior art keywords
mecp
nme
etcp
formula
net
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009512514A
Other languages
English (en)
Inventor
ブラスコ、ニコラ
ドゥッサラ、クリスティアン
パンシャール、オードリー
ラショー、クリストフ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37192518&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2010506378(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2010506378A publication Critical patent/JP2010506378A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

式(I):(M1 1-a M2 a) Ob Ncの化合物(ここで0≦a<1、0<b≦3、0≦c≦1であり、M1は(Hf)、(Zr)および(Ti)から選択される金属を示し、M2は金属原子を示す)を含有する金属含有誘電体フィルムを基板上に堆積する方法であって、
- 基板を反応チャンバーの中に提供する工程a)と、
- 式(II):(R1 yOp)x (R2 tCp)z M1 R’4-x-z (ここで0≦x≦3、好ましくはx=0または1であり、0≦z≦3、好ましくはz=1または2、1≦(x+z)≦4であり、0≦y≦7、好ましくはy=2であり、0≦t≦5、好ましくはt=1であり、(R1 yOp)は置換されていないかまたは置換されているかのいずれかであるペンタジエニル配位子を示し、(R2 tCp)は置換されていないかまたは置換されているかのいずれかであるシクロペンタジエニル(Cp)配位子を示す)、第1の気相金属源を形成する工程b) のM1金属含有前駆体を気化させと、
- 第1の気相金属源を反応チャンバーに導入し、前記基板との接触を誘起させ、前記基板上に先に定義された式(I)の化合物を含有する金属含有誘電体フィルムの堆積を生成する工程c)と
を含む方法。
式(II1)の化合物(ここで、請求項1で先に定義した式(II1)について、x=0、z=1であり、R’はN(R39)(R40)基を示す)。

Description

本発明は、ハフニウムまたはジルコニウム酸化物または酸窒化物のようなhigh-k誘電体フィルムを形成する方法および半導体を製造するためのそれらの使用に関する。
将来世代の半導体デバイスの限界寸法の縮小に伴い、特に、高い誘電率を有する、新規材料の導入が要求される。典型的に、CMOS構造物において、物理的限界に到達するSiO2と置き換えるために、SiO2等価厚およそ1 nmを有するhigh-k誘電体が必要とされている。
同様に、high-k誘電体は、RAM用途のための金属-絶縁体-金属構造においても必要とされる。種々の金属組成物は、材料の要求(誘電率、漏れ電流、結晶化温度、電荷トラップ)、および集積化の要求(界面における熱安定性、ドライエッチングの実現可能性など)との両方を満たすことが検討されてきた。
HfO2、HfSiO4、ZrO2、ZrSiO4、HfZrO4、HfLnOx (Lnはスカンジウム、イットリウムおよび希土類元素を含む群より選択される)のようなIV族ベースの材料、より一般的にはHfMOxおよびZrMOx(MはII族IIIa族およびIIIb族から選ばれる元素または遷移金属である)は、そのなかで最も有望な材料である。さらに、IV族金属組成物は、ミドルギャップ金属ゲート用TiN、およびMIM電極のためのHfN、ZrN、HfSi、ZrSi、HfSiN、ZrSiN、TiSiNのような、電極および/またはCu拡散バリア用途についても検討され得る。
妥当なスループットおよび許容可能な純度を有するかかる薄膜の堆積プロセスを可能とする主要な工業的選択肢は、MOCVD (金属-有機化学気相体積)またはALD (原子層堆積)のような気相堆積技術である。このような堆積プロセスは、適切な産業用途についての激烈な要求を満たさねばならない金属前駆体を必要とする。それらのプロセスのために、金属-有機または金属-ハロゲン化物が必要とされる。種々のハフニウムおよびジルコニウム金属-有機化合物前駆体が、このような堆積を可能にするための前駆体として検討されてきた。
HfCl4、ZrCl4のようなハロゲン化物は、最も一般的なHf/Zr前駆体であり、広く記載されてきた。Kimらは、ALDによるHfO2の堆積のためのHfCl4の使用を開示した(Kim et al., Electrochem Soc Proceedings 2005-05, 397, 2005)。しかしながら、堆積プロセスの間に生成するHClまたはClのようないくつかの副生成物が、最終特性に対して有害となり得る表面/界面粗さを生じ得る。使用される酸素源に依存して、生じ得る他の副生成物は有害となり得る。例えば、によるOClは、QMSによるOClフラグメントを介して、HfCl4とO3との間の反応の副生成物として検出されている。さらに、high-k酸化物の場合は、ClまたはF不純物は最終的な電気的特性に対して非常に有害である。
Triyosoら、およびChangらは、それぞれHfO2 MOCVDおよびALDのためのHf(OtBu)4の使用を検討した[Triyoso et al.; J. Electrochem. Soc., 152(3), G203 - G209 (2005); Chang et al.; Electrochem. Solid. State Let., 7(6), F42-F44 (2004)]。Williamsらは、HfO2のMOCVDのために、Hf(mmp)4およびHf(OtBu)2(mmp)2を評価している。WO2003035926において、Jonesらは、ZrおよびHfアルコキシド化合物のオリゴマー化の防止、および水分に対する安定性の向上を助ける、ドナー官能化アルコキシ配位子(1-メトキシ-2-メチル-2-プロパノラート [OCMe2CH2OMe, mmp])によって改良された固体Ti、Hf、ZrおよびLa前駆体を開示している。しかしながら、それら全てのアルコキシド前駆体は、Potterらによって示唆されたALDプロセス(R.J.Potter, P.R.Chalker, T.D.Manning, H.C.Aspinall, Y.F.Loo, A.C.Jones, L.M.Smith, G.W.Critchlow, M.Schumacher, Chem. Vap. Deposition, 2005, 11, N°3, 159-167)において自己制限堆積が不可能であるという欠点を持つ。
Hf(NEtMe)4、Hf(NMe2)4、Hf(NEt2)4などのようなアルキルアミド前駆体は広く文献に記載されている[Senzaki et al, J. Vac. Sci. Technol. A 22(4) Jul/Aug 2004; Haussmann et al, Chem. Mater. 2002, 14, 4350-4353; Kawahara et al., J. Appl. Phys., Vol 43, N°7A, 2004, pp 4129-4134; Hideaki et al., JP 2002-093804; Metzner et al. US 6,858,547; Dip et al. US 2005/0056219 A1]。IV族アルキルアミドは、ALDおよびMOCVDプロセスの両方に適切である。さらに、いくつかは室温で液体(Hf(NEt2)4およびHf(NEtMe)4)であり、かつ十分な揮発性があり、限定的なサーマルバジェットプロセスのための、低温での自己制限ALDを可能にする。しかしながら、IV族アルキルアミド、特にZr化合物アルキルアミドは、分配の間にある程度分解し、供給ラインまたは気化器の目詰まり引き起こし得、堆積の間に粒子を生じ得、ディープトレンチ堆積プロセスの間に非均一な組成物を伴い得、狭い自己制限ALD温度ウインドウを可能にするのみで、それ故にプロセスウインドウを縮めるといういくつかの欠点を持つ。特に、Zr(NEtMe)4は分配ライン中で分解し得、一般的な分配温度である170℃超で粒子を生じ得る。Hf(NEtMe)4は、より熱的に安定であるが、熱分解により、300℃超での自己制限原子層堆積が不可能である。
WO 2007/055088において、Thenappanらは、ハフニウムおよびジルコニウムグアニジン酸錯体および気相堆積のためのそれらの使用を開示する。Hf(NEt2)2[(NiPr-CNEt2]2が例として与えられる。しかしながら、ハフニウムおよびジルコニウムグアニジン酸錯体は一般に非常に限定的な揮発性しかない固体である。熱重量分析で実証されている通り、熱分解およびそれに続いての粒子生成のリスク無しで、気相にてHf(NEt2)2[(NiPr-CNEt2]2を得ることはできないであろう。
Lehnら(Chem. Vap. Deposition, 2006, 12, 280-284)は、テトラキス(トリメチルヒドラジド)ジルコニウム [Zr(NMeNMe2)4]およびハフニウムならびに低温CVDのためのそれらの使用を開示する。実証された化合物は、許容される揮発性(0.06 Torr、90℃で昇華すると報告されている)を有するが、それらは室温では固体である。
Cartaらは、ビス(シクロペンタジエニル)ビスジメチルハフニウム[HfCp2Me2] の使用を開示し (CartaらがElectrochem Soc Proceedings, 260, 2005-09, 2005に開示する)、数名の著者(Codato et al., Chem Vapor Deposition, 159, 5 ,1995 ; Putkonen et al., J Mater Chem, 3141, 11, 2001 ; Niinisto et al., Langmuir, 7321, 21, 2005)は、ハフニウムおよびジルコニウムアルキルアミドに代替するZrおよびHf化合物の新規ファミリー(400℃までのALDウインドウを有する効率的なALD堆積プロセスと、共反応物質としてH2Oを用いて、最適化条件において0.2%未満のCを有するフィルムの獲得とを可能にするビス(シクロペンタジエニル)ビスジメチルハフニウム、ビス(シクロペンタジエニル)ビスジメチルジルコニウム)を提案した。しかしながら、HfCp2Me2およびZrCp2Me2の両方は、室温で固体生成物であるという欠点を持つ(HfCp2Me2の融点は57.5℃である)。これは、IC製造業者がこれらの前駆体を、非局在化された容器充填を使用、ならびに円滑化およびプロセス課題の両方を必要とする工業的に使用することを妨げる。
US6.743.473において、Parkheらは、金属および/または金属窒化物層を形成するための(Cp(R)n)xMHy-xの使用を開示し、ここでMはタンタル、バナジウム、ニオブおよびハフニウムから選択され、Cpはシクロペンタジエニルであり、Rは有機基である。タンタルおよびニオブのシクロペンタジニル化合物の例しか開示されていない。しかしながら、液体前駆体または50℃未満の融点を有する前駆体は開示されていない。
液体ビス(シクロペンタジエニル)誘導体は、WO 2006/131751 A1においてHeyらによって提案されている。しかしながら、それらはいまだに、限定的な揮発性という欠点を示し、および得られる成長速度を制限し得る大きな立体障害も示す。
今日では、適切な分配(分配温度での物理状態、熱安定性)と、広い自己制限ALDウインドウと、ALDまたはMOCVDのいずれかによる純粋なフィルムの堆積とを同時に可能にし得る、液体または低融点( < 50℃)IV族前駆体化合物、特にHfおよびZr化合物を提供するための需要がある。
本発明によれば、ある種のシクロペンタジエニルまたはペンタジエニルベースのIV族金属-有機前駆体がALDまたはMOCVDのいずれかによるIV族金属含有薄膜の堆積に適切であり、
- それらは室温で液体であるか、または50℃未満の融点を持つ
- それらは熱的に安定であり、粒子を発生することなく適切な分配(気相または直接液体注入)を可能にする
- それらは熱的に安定であり、広い自己制限ALDウインドウを可能にし、4)1つの共反応物質または共反応物質の組合せ(H2、NH3、O2、H2O、O3、SiH4、Si2H6、Si3H8、TriDMAS、BDMAS、BDEAS、TDEAS、TDMAS、TEMAS、(SiH3)3N、(SiH3)2O、TMA またはアルミニウム含有前駆体、 TBTDET、TAT-DMAE、PET、TBTDEN、PEN、Ln(tmhd)3のようなランタノイド含有前駆体からなる群より選択される)を用いることによって、三元または四元材料を含む種々のIV族金属含有フィルムの堆積を可能にする
という利点を持つことが見出された。
第1の態様によれば、本発明は基板上に式(I):(M1 1-a M2 a) Ob Nc (ここで、
0≦a<1、
0<b≦3、好ましくは1.5≦b≦2.5、
0≦c≦1
であり、
M1はハフニウム(Hf)、ジルコニウム(Zr)およびチタニウム(Ti)から選択される金属を示し、
M2はマグネシウム(Mg)、カルシウム(Ca)、亜鉛(Zn)、ホウ素(B)、アルミニウム(A)、インジウム(In)、ケイ素(Si)、ゲルマニウム(Ge)、スズ(Sn)、ハフニウム(Hf)、チタニウム(Ti)、バナジウム(V)、ニオブ(Nb)、タンタル(Ta)およびランタノイド原子、より具体的にはスカンジウム(Sc)、イットリウム(Y)およびランタン(La)および希土類金属原子から選択される金属を示す)の化合物を含有する少なくとも1つの金属含有誘電体フィルムを堆積する方法に関し、
- 反応チャンバーに基板を提供する工程a)と、
- 少なくとも1つの式(II):(R1 yOp)x (R2 tCp)z M1 R’4-x-z (ここで、
M1は先に定義されたものであり、
0≦x≦3、好ましくはx=0または1であり、
0≦z≦3、好ましくはz=1または2であり、
1≦(x+z)≦4であり、
0≦y≦7好ましくはy=2、0≦t≦5、好ましくはt=1であり、
(R1 yOp)は、置換されていないか、または1つ以上のR1基によって置換されているかのいずれかのペンタジエニル(Op)配位子を示し、yは前記ペンタジエニル配位子上のR1置換基の数を示し、
(R2 tCp)は、置換されていないか、または1つ以上のR2基によって置換されているかのいずれかのシクロペンタジエニル(Cp)配位子を示し、tは前記シクロペンタジエニル配位子上のR1置換基の数を示し、
R1およびR2は同一または異なり、かつ、塩素基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN-アルキルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖であり1ないし4個の個の炭素原子を持つN,N-ジアルキルアミノ基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルコキシ基、アルキルシリルアミド基、アミジナート基およびカルボニル基からなる群より独立に選択され、
R’は、水素、フッ素、塩素、臭素またはヨウ素原子、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つ直鎖または分岐鎖であるN-アルキルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN,N-ジアルキルアミノ基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルコキシ基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキルシリルアミノ基、互いに同一または異なる各々のアルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリルアミノ基、アミジナート基およびカルボニルからなる群より独立に選択される配位子を示し、前記式(II)が2つ以上のR’基を含む場合は、各々のR’が互いに同一または異なり得ると理解される)のM1金属含有前駆体を気化させ、前記第1の気相金属源を形成する工程(b)と、
- 任意で、少なくとも1つのM2金属含有前駆体 (M2は先に定義されたものである)を気化させ、任意の第2の気相金属源を形成する工程b')と、
- 前記第1の気相金属源と前記任意の第2の気相金属源とを反応チャンバーに導入し、それらと前記基板との接触を生じさせ、前記基板上に先に定義された式(I)の化合物を含有する金属含有誘電性フィルムの堆積を生じさせる工程c)と
を含む(ただし、形成される少なくとも1つの金属含有誘電体フィルムが、a=0、b=2、かつc=0であるところの先に定義された式(I)に相当する式(I'):M1 1 O2の化合物を含む場合、および工程b)で用いられるM1金属含有前駆体が、x=0かつz=2であるところの先に定義された式(II)に相当する式(II'):(R2 tCp)2 M1 R’2の化合物を含む場合は、前記式(II')における2つの(R2 tCp)配位子の少なくとも1つにおいてt>0である)。
先に定義された方法において、少なくとも1つの式(II)の金属含有前駆体、および必要ならば少なくとも1つのM2金属含有前駆体は、一般に50℃未満、好ましくは35℃未満の融点を持ち、好ましくはそれらは室温で液体である。
先に定義された方法の具体的な態様によれば、気化工程b)および必要ならば気化工程b')は、少なくとも1つの式(II):(R1 yOp)x (R2 tCp)z M1 R’4-x-zのM1金属含有先駆体と、必要ならば、少なくとも1つのM2金属含有前駆体との両方を含有する加熱された容器にキャリアガスを導入することによって達成される。容器は、好ましくは前記金属源を液相で得ることができる温度かつ十分な蒸気圧に加熱される。必要ならば、一方または両方の金属前駆体が、溶媒または溶媒の混合物および/または安定剤と混合され得る。前記溶媒は、例えばオクタン、ヘキサン、ペンタンまたはテトラメチルシランから選択される。溶媒もしくは溶媒の混合物中の金属前駆体の濃度は、通常は0.01Mないし0.5Mの間であり、より好ましくはおよそ0.05Mである。キャリアガスは、限定されないが、Ar、He、H2、N2またはそれらの混合物から選択される。 必要ならば、容器は80〜110℃の範囲の温度に加熱され得る。当業者は気化される前駆体の量を制御するために、容器の温度が調節され得ることを考慮するであろう。
キャリアガスの流量は、通常10 sccm (標準立方センチメートル)ないし500 sccmにある。好ましくは、キャリアガスの流量は50 sccmないし200 sccmにある。
先に定義された方法の他の具体的な態様によれば、気化工程b)および必要ならば気化工程b')が、式(II):(R1 yOp)x (R2 tCp)z M1 R’4-x-zのM1金属含有前駆体および必要ならばM2金属含有前駆体の両方が、金属含有前駆体が気化されるところの気化器に液状で導入されることによって達成される。必要ならば、一方または両方の金属前駆体が溶媒もしくは溶媒の混合物および/または安定剤と混合され得る。前記溶媒は、例えばオクタン、ヘキサン、ペンタンまたはテトラメチルシランから選択される。溶媒中または溶媒の混合物中の金属前駆体の濃度は、通常0.01Mないし0.5Mであり、より好ましくはおよそ0.05Mである。
より具体的な態様によれば、気化工程b)および気化工程b')が、両方の源の気化工程b'')に1つに統合される。
先に定義された方法の工程c)の間、気化された金属含有前駆体は、金属含有前駆体が基板に接触するところの反応チャンバーに導入される。
本発明の文脈において、基板は半導体製造において使用される任意の基板を意味し、それらの技術的機能故に、基板は金属含有フィルムによって被覆される必要がある。このような基板は、例えばケイ素基板(Si)、シリカ基板(SiO2)、窒化ケイ素基板(SiN)または酸窒化ケイ素基板(SiON)だけでなく、タングステン基板(W)または例えば白金基板(Pt)、パラジウム基板(Pd)、ロジウム基板(Rh)もしくは金基板(Au)のような貴金属基板から選択される。
基板は、十分な成長速度および所望の物理状態および組成を有する所望のフィルムを得るために要求される温度まで加熱される。
工程c)の間の温度は、通常150℃ないし600℃の範囲にある。好ましくは、前記温度は450℃以下である。
反応チャンバー中の圧力は、十分な成長速度を有する所望の金属含有フィルムを得るために制御される。工程c)の間の圧力は、通常、およそ1 mTorr(0.1333224 Pa)ないしおよそ100 Torr (13332.24 Pa)の範囲にある。
本発明の文脈において、M2金属含有前駆体は、
ジシロキサン、トリシリルアミン、ジシラン、トリシラン、式(III1):SiHx(OR3)4-x(ここで0≦x≦3であり、R3は1ないし6個の炭素原子を持つ直鎖または分岐鎖炭化水素を示す)のアルコキシシラン、
式(III2):Si(OH)x(OR4)4-x (ここで、1≦x≦3であり、R4は1ないし6個の炭素原子を持つ直鎖または分岐鎖炭化水素基を示す)、好ましくはSi(OH)(OR4)3、より好ましくはSi(OH)(OtBu)3のシラノール誘導体、
式(III3):SiHx(NR5R6)4-x (ここで0≦x≦3であり、R5およびR6は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、好ましくはSiH(NMe2)3 (TriDMAS)、SiH2(NHtBu)2 (BTBAS)、SiH2(NEt2)2 (BDEAS)のアミノシラン誘導体およびそれらの混合物
のようなケイ素誘導体またはそれらのゲルマニウム同族体と、
トリメチルアルミニウム [Al(CH3)3]、水素化ジメチルアルミニウム [AlH(CH3)2]、式(IV1):AlR8 x(OR7)3-x (ここで0≦x≦3であり、R7は1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示し、R8はR7と同一または異なり、水素原子を示す)、
または好ましくは、独立に1ないし6個の炭素原子を示す同一または異なるR9とR10とを有するAlR9R10(OR7)、最も好ましくはAlMe2(OiPr)のアルコキシアラン、
式(IV2):AlR11 x(NR12R13)3-xのアミドアラン(ここで0≦x≦3であり、R12およびR13は同一または異なり、水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示し、R11はR7と同一または異なり、水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
のようなアルミニウム誘導体と、
Ta(OMe)5、Ta(OEt)5、Ta(NMe2)5、Ta(NEt2)5、Ta(NEt2)5、式(V1):Ta(OR14)4[O-C(R15)(R16)-CH2-OR17] (ここでR14、R15、R16およびR17は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、好ましくはTa(OEt)4(OCMe2CH2-OMe) (TAT-DMAE)のタンタル誘導体、
式(V2):Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]のタンタル誘導体(ここでR18、R19、R20、R21およびR22は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、
式(V3):Ta(=NR24)(NR25R26)3のタンタル誘導体(ここでR24、R25およびR26は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
のようなタンタル誘導体と、
Nb(OMe)5、Nb(OEt)5、Nb(NMe2)5、Nb(NEt2)4、Nb(NEt2)5、式(VI1):Nb(OR27)4(O-C(R28)(R29)-CH2-OR30) (ここで、R27、R28、R29および R30は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、好ましくはNb(OEt)4(OCMe2CH2-OMe) (NBT-DMAE)のニオブ誘導体、
式(VI2):Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]のニオブ誘導体(ここでR31、R32、R33、R34およびR35は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、
式(VI3):Nb(=NR36)(NR37R38)3のニオブ誘導体(ここでR36、R37およびR38は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
のようなニオブ誘導体と、
スカンジウム誘導体、イットリウム誘導体、セリウム誘導体、プラセオジム誘導体、ガドリニウム誘導体、ジスプロジウム誘導体、エルビウム誘導体、ランタン誘導体、少なくとも1つのβジケトナート配位子または1ないし6個の炭素原子を持つ1つまたは複数の直鎖または分岐鎖アルキル基で任意に置換された少なくとも1つのシクロペンタジエニル配位子を有する誘導体
のようなランタノイド誘導体と、
少なくとも1つのβジケトナート配位子または1ないし6個の炭素原子を持つ1つまたは複数の直鎖または分岐鎖アルキル基で任意に置換された少なくとも1つのシクロペンタジエニル配位子を有するストロンチウム(Sr)、バリウム(Ba)、マグネシウム(Mg)、カルシウム(Ca)または亜鉛(Zn)誘導体
のような2価金属誘導体と、
タングステン(W)、モリブデン(Mo)、ハフニウム(Hf)またはジルコニウム(Zr)誘導体、例えばアルコキシ誘導体、アミノ誘導体またはこれらの化学種を含有する付加体
のような他の金属誘導体(前記誘導体は先に定義された式(II)の化合物ではないと理解される)と
からなる群より選択される。
他の具体的な態様によれば、先に定義された方法は、
- 少なくとも1つの式(II)のM1金属含有前駆体と、必要ならば少なくとも1つのM2金属含有前駆体とが、工程c)に先立って少なくとも1つの反応物質と混合される工程d)
を含む。
本発明の文脈において、少なくとも1つの反応物質が、期待される標的の金属ベースとするフィルムに関して選択される。
他の態様によれば、反応物質は酸素源、よリ具体的には酸素(O2)、例えばリモートプラズマによって発生される酸素含有ラジカルOまたはOH、オゾン(O3)、水蒸気(H2O)およびH2O2ならびにそれらの混合物である。
他の態様によれば、反応物質は、窒素源、より具体的には窒素(N2)、N、NH、NH2 のような窒素含有ラジカル、アンモニア(NH3)、ヒドラジン(NH2NH2)およびそのアルキルまたはアリール誘導体、ならびにそれらの混合物である。
他の態様によれば、反応物質は窒素および酸素両方の源、より具体的にはNO、NO2、N2O、N2O5、N2O4およびそれらの混合物である。
要求されるN/O比率に依存して、必要ならば先に定義された方法において使用される反応物質は、酸素源であるか、または酸素源と窒素源との混合物であるか、酸素と窒素の両方の源またはそれらの混合物のいずれかであり得る。
本発明の他の態様によれば、標的の金属をベースとするフィルムが、例えば、限定されないが、金属炭化物または金属炭窒化物のように炭素を含有する場合は、少なくとも1つの反応物質は炭素源、より具体的にはメタン、エタン、プロパン、ブタン、エチレン、プロピレン、t-ブチレンである。
本発明の他の態様によれば、標的の金属をベースとするフィルムが、例えば、限定されないが、金属ケイ化物、ケイ窒化物、シリケートまたはケイ炭窒化物のようにケイ素を含む場合は、少なくとも1つの反応物質は、ジシロキサン、トリシリルアミン、ジシラン (Si2H6)、トリシラン (Si3H8)、先に定義された式(III1)、(III2)または(III3)のアルコキシシラン例えばSiH(NMe2)3 (TriDMAS)、SiH2(NHtBu)2 (BTBAS)、SiH2(NEt2)2 (BDEAS)およびそれらの混合物のようなケイ素源である。
他の具体的な態様によれば、先に定義された方法は、
- 少なくとも1つの式(II)のM1金属含有前駆体と、必要ならば少なくとも1つのM2金属含有前駆体とが、反応チャンバー中で少なくとも1つの反応物質と混合される工程d'')
を含む。
少なくとも1つの式(II)のM1金属含有前駆体と、必要ならば少なくとも1つのM2金属含有前駆体と、少なくとも1つの反応物質との反応チャンバー中への導入様式は、一般に基板上のフィルムの堆積様式に依存する。金属含有前駆体および反応物質は、一般に化学気相堆積プロセスにおいて同時に、または原子層堆積において逐次に、または例えば、式(II)の少なくとも1つのM1金属含有前駆体と、必要ならば少なくとも1つのM2金属含有前駆体とが1つのパルスで一緒に導入され、かつ少なくとも1つの反応物質が別個のパルスで導入されるパルス変形原子層堆積、または式(II)の少なくとも1つのM1金属含有前駆体と、必要ならば少なくとも1つのM2金属含有前駆体とがパルスで導入され、かつ少なくとも1つの反応物質が連続的に導入されるパルス化学気相堆積のようないくつかの組合せにより導入される。
本発明の他のものによれば、少なくとも1つの反応物質は、反応チャンバーから遠隔的に配置されたプラズマシステムを通過させられ、ラジカルに分解される。
他の態様によれば、先に定義された発明の工程(b)は、少なくとも1つの式(II)の金属含有前駆体を、次の第2の前駆体M1(NMe2)4、M1(NEt2)4、M1(NMeEt)4、M1(mmp)4、M1(OtBu)4、M1(OtBu)2(mmp)2およびそれらの混合物の少なくとも1つと一緒に混合する工程(b1)と、前記混合物を気化させる工程(b2)とからなる。
本発明のより具体的な態様によれば、本発明は先に定義されたような式(I)の化合物を含有する金属含有誘電体フィルムの堆積の方法に関し、ここでM1金属含有前駆体はx=0、z=1であり、かつR’はN(R39)(R40)(ここでR39およびR40は同一または異なり、独立に水素原子、または1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つアルキルシリル基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリル基、または各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリル基を示す)を示すところの前記式(II)に相当する式(II1):(R2 tCp)M1[N(R39)(R40)]3のものである。
より具体的な態様によれば、本発明は先に定義されたようなa=0、b=2かつc=0であるところの式(I)に相当する式(I1):M1O2の化合物を含有する金属含有誘電体フィルムの堆積の方法に関し、
式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3およびそれらの混合物からなる群より選択される。
より具体的な態様によれば、本発明は先に定義されたようなa=0、1.5≦b≦2.5かつ0<c≦0.5であるところの式(I)に相当する式(I2):M1Ob Ncの化合物を含有する金属含有誘電体フィルムの堆積の方法に関し、
式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3およびそれらの混合物からなる群より選択される。
より具体的な態様によれば、本発明は先に定義された0≦a<1かつc=0であるところの式(I)に相当する式(I3):(M1 1-a M2 a) Obの化合物を含有する金属含有誘電体フィルムの堆積の方法に関し、
ここで式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3からなる群より選択され、M2金属含有前駆体は、好ましくは先に定義されたケイ素誘導体またはそれらのゲルマニウム同族体、タンタル誘導体、ランタノイド誘導体およびマグネシウム誘導体からなる群より選択される。
より具体的な態様によれば、本発明は先に定義された0≦a<1かつ0<c≦0.5であるところの式(I)に相当する式(I4):(M1 1-a M2 a) Ob Ncの化合物を含有する金属含有誘電体フィルムの堆積の方法に関し、
ここで式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3からなる群より選択され、M2金属含有前駆体は、好ましくは先に定義されたケイ素誘導体またはそれらのゲルマニウム等価物、タンタル誘導体、ランタノイド誘導体およびマグネシウム同族体から選択され、少なくとも1つの酸素含有前駆体と少なくとも1つの窒素含有前駆体とが反応器に導入される。
本発明の他の態様によれば、誘電体フィルム、より具体的には集積回路用のものを作るための、またはランダムアクセスメモリーのための金属-絶縁体-金属(MIM)構造物の作製における、先に定義された式(II)の化合物の使用に関する。
他の態様によれば、本発明はx=0、z=1であり、かつR’がN(R39)(R40)基(ここでR39およびR40は同一または異なり、独立に水素原子、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つアルキルシリル基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリル基、または各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリル基を示す)を示すところの式(II)に相当する式(II1):(R2 tCp)M1[N(R39)(R40)]3の化合物に関する。
具体的な態様によれば、本発明は先に定義された式(II1)の化合物に関し、ここでR2、R39およびR40は同一または異なり、独立にメチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec-ブチルおよびtert-ブチル基から選択されるラジカルを示し、より具体的には、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3に関する。
より具体的な態様によれば、本発明は、Zr(EtCp)(NMe2)3、Zr(MeCp)(NMe2)3、ZrCp(NMe2)3、Hf(EtCp)(NMe2)3、Hf(MeCp)(NMe2)3およびHfCp(NMe2)3の化合物に関する。
当業者は、先の金属-有機化合物は、気相化学蒸着よりむしろ、金属-有機化合物の使用を要する触媒または任意の工業プロセスまたは用途のような任意のプロセスに使用され得ることを認識するであろう。
他の態様によれば、本発明は先に定義された式(II1)の化合物の調製のための、M1Cl4と(R2 tCp)Naを反応させることによる式(VII1):(R2 tCp)M1Cl3の化合物の調製(ここでM1、R2およびtは式(II)について先に定義されたものである)からなる工程1と、
式(II1)の化合物を生成するために、工程1で調製された式(VII1)の化合物とNH(R39)(R40)との反応からなる工程2と
を含むプロセスに関する。
最後の態様によれば、本発明は先に定義された式(II)の化合物Hf(EtCp)2Me2、Zr(MeCp)2Me2またはZr(EtCp)2Me2に関する。
説明無し 説明無し 説明無し 説明無し 以下の例は、限定することの無い本発明の種々の態様の例示である。
例I:M1が好ましくはハフニウムおよびジルコニウムである金属酸化物フィルムM1O2の堆積
堆積されるフィルムは、a=0、b=2かつc=0である式(I)の化合物を含有する。
DRAMのためのMIM構造を製造するためのウェーハの基板上、またはディープトレンチへのこのようなフィルムの堆積を行うために、先に工程(b)において定義されたM1金属源を気化させ、これを反応器の中に導入し(好ましくはハフニウムまたはジルコニウム)、酸素源、好ましくは水蒸気、酸素またはオゾンを前記反応器の中に注入し、ALDまたはパルスCVDプロセスによって基板上への薄膜の堆積またはディープトレンチを満たすかのいずれかを達成するために必要な持続時間の間、適切な温度(好ましくは150℃ないし350℃)および圧力(好ましくは25 Paないし1000 Pa)で生成物を反応させることを要する(金属源の連続パルス注入は、トレンチ中に酸化物の均一な堆積が徐々にこのトレンチを満たすこと、および誘電体フィルム中に空隙を与えないこと、それ故にキャパシター誘電体フィルム中に欠陥を与えないことを可能にするために必要とされる)。
誘電体フィルムは、所望の最終組成を持つべきである(ここで、本質的に酸素源に対する前駆体の比率を変更するb値の変動はおよそ2である)。
式(II)の化合物のタイプの3つの例は、以下の3つの選択肢a、bまたはcにしたがって選択される:
a) 式(II)の化合物は、Zr(MeCp)2Me2、Zr(EtCp)2Me2、Hf(MeCp)2Me2およびHf(MeCp)2Me2から選択され、
液体での分子の配送は、通常、不活性ガス(N2、He、Arなど)を液体中にバブリングし、不活性ガスと液体ガスとの混合物を反応器に提供することによって実行される。
b) 式(II)の化合物は、Zr(2,4-Me2Op)2Me2およびHf(2,4-Me2Op)2Me2から選択される。
c) 式(II)の化合物は、Zr(MeCp)(2,4-Me2Op)Me2およびHf(MeCp)(2,4-Me2Op)Me2から選択される。
酸素源は、限定されないが、酸素(O2)、リモートプラズマシステムによって発生されるラジカルのような酸素ラジカル(例えばOまたはOH)、NO、N2O、NO2、水蒸気(H2O)およびH2O2であるべきである。
堆積プロセス自体に関し、反応物質は反応器に同時に(化学気相堆積)、逐次に(原子層堆積)、または異なる組合せで導入され得る(1つの例は、同時に1つのパルスで金属源と他の金属源とを、別個のパルスで酸素を導入する(変形原子層堆積))ものであり、他の選択肢は、酸素を連続的に導入するものおよび/または金属源をパルスで導入するもの(パルス化学気相堆積)である)。
例II:M1が好ましくはハフニウムまたはジルコニウムである金属酸窒化物フィルムM1ONの堆積
堆積されるフィルムは、a=0であり、かつbおよびcはゼロではない式(I)の化合物を含有する。
例Iで与えられた全ての情報は、窒素が反応器に導入される必要があることを除いて、この例IIに当てはまる。
窒素は、窒素(N2)、アンモニア、ヒドラジンおよびアルキル誘導体、N含有ラジカル(例えばN、NH、NH2 )、NO, N2O, NO2などを含む群より選択されるべきである。
例III:M1が好ましくはHfまたはZrであり、M2が好ましくはSiまたはAlであるM1M2金属酸化物フィルムの堆積
堆積されるフィルムは、a≠0、b≠0かつc=0である式(I)の化合物を含有する。
例Iに与えられた全ての情報は、M2金属が任意で必要とされることを除いて、この例IIIに当てはまる。
M2金属含有前駆体も、金属のM2源を生成するために反応器に導入される。このM2含有前駆体源は、好ましくは、
a) ケイ素(またはゲルマニウム)源、例えばSi(OH)(OtBu)3、SiH(NMe2)3 (TriDMAS)、SiH2(NHtBu)2 (BTBAS)およびSiH2(NEt2)2 (BDEAS)、
b) アルミニウム源、例えばAlMe2(OiPr)、または、
c) タンタル(またはニオブ)源、例えばTa(OMe)5、Ta(OEt)5およびTa(OEt)(OCMe2CH2-OMe) (TATDMAE)
であるべきである。
本発明は、ALD、CVD、MOCVD、パルスCVDプロセスを用いて反応器中でウェーハのような支持体上への式(I)の誘電体フィルムの堆積に向けられる。
例IV:M1が好ましくはHfまたはZrであり、M2が好ましくはSiまたはAlであるM1M2金属酸窒化物フィルムの堆積
堆積されるフィルムは、a≠0、b≠0かつc≠0である式(I)の化合物を含有する。
例IIIに与えられた全ての情報は、窒素が反応器に導入される必要があることを除いて、この場合に当てはまる。
窒素源は、窒素(N2)、アンモニア、ヒドラジンおよびアルキル誘導体、N含有ラジカル(例えばN、NH、NH2 )、NO、N2O、NO2からなる群より選択される。
例V:(エチルシクロペンタジエニル) トリス(ジメチルアミノ) ジルコニウム Zr(EtCp)(NMe2)3の合成
Zr(EtCp)(NMe2)3は3段階で調製される。
最初の工程は、(EtCp)NaとZrCl4の反応によるZr(EtCp)Cl3の調製である。
第2の工程は、Zr(EtCp)(NMe2)3を生成するためのLiNMe2とZr(EtCp)Cl3の反応である。得られた化合物は蒸留によって精製される。全収率は35%であった。
(エチルシクロペンタジエニル) トリス(ジメチルアミノ) ジルコニウムは安定な淡黄色液体化合物であることがわかっている。
Zr(EtCp)(NMe2)3 のTGA分析
熱重量測定装置を水蒸気および酸素含有量を1 ppmv未満に維持したアルゴングローブボックス中に保持した。熱重量分析は、35 mgのサンプルをアルミニウム坩堝中に配置することによって実行された。サンプルは、10℃ / minの温度傾斜で35℃から400℃まで加熱された。質量減少は、坩堝温度の関数としてモニターされた。残渣のレベルは、260℃の全気化温度において2.6%であった。得られたグラフは図1である。
例VI:Zr(EtCp)(NMe2)3を用いたZrO2薄膜の原子層堆積
Zr(EtCp)(NMe2)3は容器の中に保持される。容器は90℃に加熱され、N2が50 sccmの流量でキャリアガスとして使用される。容器の圧力は50 Torrに制御される。O3が酸素源として使用される。基板は350℃に加熱される。最初の工程の間、Zr(EtCp)(NMe2)3が反応チャンバーに2秒間導入される。その後5秒のN2パージが第2の工程として実行される。次に第3の工程としてO3のパルスが反応チャンバーに2秒間導入され、その後第4の工程として2秒間のN2パージが導入される。ZrO2フィルムを得るために、4つの工程全てが100回繰り返される。自己制限原子層堆積が得られる。
同様の実験がHf類縁体で実行され得る。同様の実験は、酸素源としてのH2Oによって実行され得る。
例VII:Zr(EtCp)(NMe2)3を使用したZrO2の金属-有機物化学気相蒸着
Zr(EtCp)(NMe2)3は容器の中に保持される。容器は90℃に加熱され、N2が50 sccmの流量でキャリアガスとして使用される。容器の圧力は50 Torrに制御される。 Zr(EtCp)(NMe2)3がO2/N2ガス混合物と反応チャンバーの中で混合される。基板は500℃に加熱される。反応チャンバー内の圧力は10 Torrに設定される。酸化ジルコニウムのフィルムが得られる。同様の実験はHf類縁体について実行され得る。
例VIII:Zr(EtCp)(NMe2)3とZr(NEtMe)4の熱挙動の比較
Zr(EtCp)(NMe2)3およびZr(NEtMe)4の熱重量分析は同じ条件で実行される。熱重量分析装置は、1 ppmv未満の水蒸気および酸素含有量のアルゴングローブボックス中に保持された。熱重量分析は、35 mgのサンプルをアルミニウム坩堝中に配置することによって実行された。次にサンプルは、10℃/ minの温度傾斜で35℃から400℃まで加熱された。質量減少は、坩堝温度の関数としてモニターされた。クローズドカップ構造において、気化を遅らせるために金属-有機化合物を含む坩堝の上に穴開きパン(0.8 mm)が配置された。これは高温での熱安定性を示す。結果は、Zr(EtCp)(NMe2)3はZr(NEtMe)4よりもはるかに熱的に安定であり、気相前駆体としての使用についてより魅力的であることを示す。結果は図2に示される。
例IX:Hf(EtCp)2Me2の合成および熱挙動
Hf(EtCp)2Cl2は、1モルのHfCl4をEtCpNaと反応させることで容易に得られる。
次にHf(EtCp)2Me2は、2モルのLiMeを1モルのHf(EtCp)2Cl2に-20℃で緩徐に添加し、温度を0℃まで上昇させることによって得られる。得られた透明な液体Hf(EtCp)2Me2は、蒸留によってLiClおよび溶媒から分離される。真空条件におけるHf(EtCp)2Me2のTGA分析は、およそ30 mgの出発質量に対して1.0%の残渣を残す(図3)。
例X:Hf(EtCp)2Me2およびO3からのHfO2の原子層堆積
この例は酸化ハフニウムフィルムの構築に関する。シリコンウェーハは、周囲にヒーターを具備した堆積チャンバーの中にマウントされ、所望されるフィルムがシリコンウェーハの表面に形成される。チャンバーはポンプによって真空にされる。金属前駆体、この場合ジメチルハフノセン HfMe2(EtCp)2は、加熱された液体容器の中に保持される。窒素ガスがHf(EtCp)2Me2のためのキャリアガスとして使用される。液体容器中のHf(EtCp)2Me2は、液化材料を通して窒素によって輸送される。窒素はマスフローコントローラーによって気化器に輸送される。Hf(EtCp)2Me2の蒸気は窒素によって運ばれ、一緒にチャンバーの中に供給されるか、または前駆体を反応器の中に導入することができる弁が閉じられている場合はバイパスラインの方に回される。酸素/オゾンガス混合物(酸化剤)も、マスフローコントローラーによってチャンバーの中またはバイパスラインのいずれかに供給される。蓋然性の高いCVD反応を避けるために、一方の側の酸素/オゾンと、他方の側のHf(EtCp)2Me2とは決して一緒に導入されない。それらは通常、1つずつ導入され、各々の反応物質パルスは、N2のみがチャンバー中に導入されるパージ時間に従って分割される。
酸化ハフニウムフィルムは、先述した道具を用いて以下の条件のもと、〜0.7 A/サイクルで製造された:
圧力=3 torr、温度350℃、Hf(EtCp)2Me2の流量=0.5 sccm、O2の流量=100 sccm、O3の流量=8 sccm、N2の流量=100 sccm。
得られたフィルムのオージェプロファイルは例として与えられる(図4参照)。

Claims (18)

  1. 式(I):(M1 1-a M2 a) Ob Nc(ここで、
    0≦a<1、
    0<b≦3、好ましくは1.5≦b≦2.5、
    0≦c≦1、
    M1はハフニウム(Hf)、ジルコニウム(Zr)およびチタン(Ti)から選択される金属を示し、
    M2は、マグネシウム(Mg)、カルシウム(Ca)、亜鉛(Zn)、ホウ素(B)、アルミニウム(A)、インジウム(In)、ケイ素(Si)、ゲルマニウム(Ge)、スズ(Sn)、ハフニウム(Hf)、ジルコニウム(Zr)、チタン(Ti)、バナジウム(V)、ニオブ(Nb)、タンタル(Ta)、ならびにランタノイド原子、より具体的にはスカンジウム(Sc)、イットリウム(Y)およびランタン(La)および希土類金属原子からなる群より選択される金属原子を示す)の化合物を含む少なくとも1つの金属含有誘電体フィルムを基板上に堆積する方法であって、
    - 基板を反応チャンバーの中に提供する工程a)と、
    - 少なくとも1つの式(II):(R1 yOp)x (R2 tCp)z M1 R’4-x-z (ここで、
    M1は先に定義されたものであり、
    0≦x≦3、好ましくはx=0または1であり、
    0≦z≦3、好ましくはz=1または2であり、
    1≦(x+z)≦4であり、
    0≦y≦7、好ましくはy=2、0≦t≦5、好ましくはt=1であり、
    (R1 yOp)は、置換されていないか、または1つ以上のR1基によって置換されているかのいずれかであるペンタジエニル(Op)配位子を示し、yは前記ペンタジエニル配位子上のR1置換基の数を示し、
    (R2 tCp)は、置換されていないか、または1つ以上のR2基によって置換されているかのいずれかであるシクロペンタジエニル(Cp)配位子を示し、tは前記シクロペンタジエニル配位子上のR1置換基の数を示し、
    R1とR2は同一または異なり、塩素基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN-アルキルアミノ基、互いに同一または異なる各々のアルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN,N-ジアルキルアミノ基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルコキシ基、アルキルシリルアミド基、アミジナート基またはカルボニル基からなる群より独立に選択され、
    R’は、水素、塩素、臭素またはヨウ素原子、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN-アルキルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つN,N-ジアルキルアミノ基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルコキシ基、1ないし4個の炭素原子を持つ直鎖または分岐鎖アルコキシ基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つアルキルシリルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリルアミノ基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリルアミノ基、アミジナート基またはカルボニルからなる群より独立に選択される配位子を示し、前記式(II)が2つ以上のR’基を含む場合は、各々のR’は互いに同一または異なり得ると理解される)のM1金属含有前駆体を気化させ、第1の気相金属源を形成する工程b)と、
    - 任意で、少なくとも1つのM2金属含有前駆体 (M2は先に定義されたものである) を気化させ、任意の第2の気相金属源を形成する工程b')と、
    - 前記第1の気相金属源と前記任意の第2の気相金属源とを前記反応チャンバーに導入し、それらと前記基板との接触を生じさせ、前記基板上に先に定義された式(I)の化合物を含有する金属含有誘電体フィルムの堆積を生じさせる工程c)と
    を含む(ただし、形成される少なくとも1つの金属含有誘電体フィルムが、a=0、b=2、かつc=0であるところの先に定義された式(I)に相当する式(I'):M1 1 O2の化合物を含む場合、および工程b)で用いられるM1金属含有前駆体が、x=0かつz=2であるところの先に定義された式(II)に相当する式(II'):(R2 tCp)2 M1 R’2の化合物を含む場合は、前記式(II')における2つの(R2 tCp)配位子の少なくとも1つにおいてt>0である)方法。
  2. 前記気化工程b)と前記気化工程b')とが、両方の源の気化工程b'')に1つに統合される請求項1による方法。
  3. 前記M2金属含有前駆体が、
    ジシロキサン、トリシリルアミン、ジシラン、トリシラン、式(III1):SiHx(OR3)4-xのアルコキシシラン(ここで、0≦x≦3であり、R3は1ないし6個の炭素原子を持つ直鎖または分岐鎖炭化水素を示す)、
    式(III2):Si(OH)x(OR4)4-x (ここで、1≦x≦3であり、R4は1ないし6個の炭素原子を持つ直鎖または分岐鎖炭化水素基を示す)、好ましくはSi(OH)(OR4)3、より好ましくはSi(OH)(OtBu)3のシラノール誘導体、
    式(III3):SiHx(NR5R6)4-x (ここで0≦x≦3であり、R5およびR6は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、好ましくはSiH(NMe2)3 (TriDMAS)、SiH2(NHtBu)2 (BTBAS)、SiH2(NEt2)2 (BDEAS)のアミノシラン誘導体およびそれらの混合物
    のようなケイ素誘導体またはそれらのゲルマニウム同族体と、
    トリメチルアルミニウム [Al(CH3)3]、水素化ジメチルアルミニウム [AlH(CH3)2]、式(IV1):AlR8 x(OR7)3-x (ここで0≦x≦3であり、R7は1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示し、R8はR7と同一または異なり、水素原子を示す)、または好ましくは、独立に1ないし6個の炭素原子を持つ同一または異なるR9とR10とを有するAlR9R10(OR7)、最も好ましくはAlMe2(OiPr) のアルコキシアラン、
    式(IV2):AlR11 x(NR12R13)3-xのアミドアラン(ここで0≦x≦3であり、R12およびR13は同一または異なり、水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示し、R11はR7と同一または異なり、水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
    のようなアルミニウム誘導体と、
    Ta(OMe)5、Ta(OEt)5、Ta(NMe2)5、Ta(NEt2)5、Ta(NEt2)5、式(V1):Ta(OR14)4[O-C(R15)(R16)-CH2-OR17] (ここで、R14、R15、R16およびR17は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、好ましくはTa(OEt)4(OCMe2CH2-OMe) (TAT-DMAE)のタンタル誘導体、
    式(V2):Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]のタンタル誘導体(ここでR18、R19、R20、R21およびR22は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、
    式(V3):Ta(=NR24)(NR25R26)3のタンタル誘導体(ここでR24、R25およびR26は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
    のようなタンタル誘導体と、
    Nb(OMe)5、Nb(OEt)5、Nb(NMe2)5、Nb(NEt2)4、Nb(NEt2)5、式(VI1):Nb(OR27)4(O-C(R28)(R29)-CH2-OR30) (ここで、R27、R28、R29および R30は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)のニオブ誘導体、好ましくはNb(OEt)4(OCMe2CH2-OMe) (NBT-DMAE)、
    式(VI2):Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]のニオブ誘導体(ここでR31、R32、R33、R34およびR35は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)、
    式(VI3):Nb(=NR36)(NR37R38)3のニオブ誘導体(ここでR36、R37およびR38は同一または異なり、独立に水素原子または1ないし6個の炭素原子を持つ直鎖または分岐鎖アルキルを示す)
    のようなニオブ誘導体と、
    スカンジウム誘導体、イットリウム誘導体、セリウム誘導体、プラセオジム誘導体、ガドリニウム誘導体またはNd誘導体、少なくとも1つのβジケトナート配位子または1ないし6個の炭素原子を持つ1つまたは複数の直鎖または分岐鎖アルキル基で任意に置換された少なくとも1つのシクロペンタジエニル配位子を有する誘導体
    のようなランタノイド誘導体と、
    少なくとも1つのβジケトナート配位子または1ないし6個の炭素原子を持つ1つまたは複数の直鎖または分岐鎖アルキル基で任意に置換された少なくとも1つのシクロペンタジエニル配位子を有するストロンチウム(Sr)、バリウム(Ba)、マグネシウム(Mg)、カルシウム(Ca)または亜鉛(Zn)誘導体
    のような2価金属誘導体と、
    タングステン(W)、モリブデン(Mo)、ハフニウム(Hf)またはジルコニウム(Zr)誘導体、例えばアルコキシ誘導体、アミノ誘導体またはこれらの化学種を含有する付加体
    のような他の金属誘導体(前記誘導体は先に定義された式(II)の化合物ではないと理解される)と
    からなる群より選択される、請求項1または2による方法。
  4. 前記少なくとも1つの式(II)のM1金属含有前駆体と、必要ならば前記少なくとも1つのM2金属含有前駆体とが、工程c)に先立って少なくとも1つの反応物質と混合される工程d)をさらに含む、請求項1ないし3の1項による方法。
  5. 前記少なくとも1つの式(II)のM1金属含有前駆体と、必要ならば前記少なくとも1つのM2含有前駆体とが、前記反応チャンバー中で少なくとも1つの反応物質と混合される工程d')をさらに含む、請求項1ないし4の1項による方法。
  6. 前記工程(b)が、前記式(II)の少なくとも1つの金属含有前駆体を、次の第2の前駆体M1(NMe2)4、M1(NEt2)4、M1(NMeEt)4、M1(mmp)4、M1(OtBu)4、M1(OtBu)2(mmp)2およびそれらの混合物のうちの少なくとも1つと一緒に混合する工程(b1)と、前記混合物を気化させる工程(b2)とからなる、請求項1ないし5の1項による方法。
  7. 前記M1金属含有前駆体がx=0、z=1であり、かつR’は基N(R39)(R40) (ここでR39およびR40は同一または異なり、独立に水素原子、または1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つアルキルシリル基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリル基、または各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリル基を示す)を示すところの前記式(II)に相当する式(II1):(R2 tCp)M1[N(R39)(R40)]3のものである、請求項1ないし6の1項による方法。
  8. a=0、b=0かつc=0であるところの前記式(I)に相当する前記式(I1):M1O2の化合物を含有する金属含有誘電体フィルムの堆積の方法であり、前記式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3およびそれらの混合物からなる群より選択される、請求項1ないし7の1項による方法
  9. a=0、1.5≦b≦2.5かつ0<c≦0.5であるところの前記式(I)に相当する前記式(I2):M1Ob Ncの化合物を含有する金属含有誘電体フィルムの堆積であり、前記式(II)の金属含有前駆体は、HfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3およびそれらの混合物からなる群より選択される、請求項1ないし7の1項による方法。
  10. 0≦a<1かつc=0であるところの前記式(I)に相当する前記式(I3):(M1 1-a M2 a) Obの化合物を含有する金属含有誘電体フィルムの堆積であり、前記式(II)の金属含有前駆体はHfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3からなる群より選択され、前記M2含有先駆体は好ましくは先に定義されたケイ素誘導体またはそれらのゲルマニウム同族体、前記タンタル誘導体、ランタノイド誘導体および前記マグネシウム誘導体から選択される、請求項1ないし7の1項による方法。
  11. 0≦a<1かつ0<c≦0.5であるところの前記式(I)に相当する前記式(I4):(M1 1-a M2 a) Ob Ncの化合物を含有する金属含有誘電体フィルムの堆積であり前記式(II)の金属含有前駆体はHfCp2Cl2、Hf(MeCp)2Me2、HfCp(MeCp)Cl2、Hf(MeCp)2Cl2、HfCp(MeCp)Me2、Hf(EtCp)(MeCp)Me2、Hf(EtCp)2Me2、Hf(MeCp)2(CO)2、ZrCp2Cl2、Zr(MeCp)2Me2、ZrCp(MeCp)Cl2、Zr(MeCp)2Cl2、ZrCp(MeCp)Me2、Zr(EtCp)(MeCp)Me2、Zr(EtCp)2Me2、Zr(MeCp)2(CO)2、Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3からなる群より選択され、前記M2含有前駆体は、好ましくは先に定義された前記ケイ素誘導体またはそれらのゲルマニウム同族体物、前記タンタル誘導体、ランタノイド誘導体および前記マグネシウム誘導体から選択され、少なくとも1つの酸素含有前駆体と少なくとも1つの窒素含有前駆体とが前記反応器に導入される、請求項1ないし7の1項による方法。
  12. 誘電体フィルム、より具体的には集積回路用のものを作るための、またはランダムアクセスメモリーのための金属-絶縁体-金属(MIM)構造物の作製における、請求項1で定義された前記式(II)の化合物の使用。
  13. x=0、z=1であり、かつR’がN(R39)(R40)基(ここでR39およびR40は同一または異なり、独立に水素原子、または1ないし4個の炭素原子を持つ直鎖または分岐鎖アルキル基、アルキル基が直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つアルキルシリル基、各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つジアルキルシリル基、または各アルキル基が互いに同一または異なり、直鎖または分岐鎖でありかつ1ないし4個の炭素原子を持つトリアルキルシリル基を示す)を示すところの請求項1で定義された前記式(II)に相当する式(II1):(R2 tCp)M1[N(R39)(R40)]3の化合物。
  14. R2、R39およびR40が同一または異なり、独立にメチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec-ブチルおよびtert-ブチル基からなる群より選択されるラジカルを示す、請求項13で定義された前記式(II1)の化合物。
  15. Zr(MeCp)(NMe2)3、Zr(EtCp)(NMe2)3、ZrCp(NMe2)3、Zr(MeCp)(NEtMe)3、Zr(EtCp)(NEtMe)3、ZrCp(NEtMe)3、Zr(MeCp)(NEt2)3、Zr(EtCp)(NEt2)3、ZrCp(NEt2)3、Zr(iPr2Cp)(NMe2)3、Zr(tBu2Cp)(NMe2)3、Hf(MeCp)(NMe2)3、Hf(EtCp)(NMe2)3、HfCp(NMe2)3、Hf(MeCp)(NEtMe)3、Hf(EtCp)(NEtMe)3、HfCp(NEtMe)3、Hf(MeCp)(NEt2)3、Hf(EtCp)(NEt2)3、HfCp(NEt2)3、Hf(iPr2Cp)(NMe2)3、Hf(tBu2Cp)(NMe2)3である、請求項14で定義された前記式(II1)の化合物。
  16. Zr(EtCp)(NMe2)3、Zr(MeCp)(NMe2)3、ZrCp(NMe2)3、Hf(EtCp)(NMe2)3、Hf(MeCp)(NMe2)3およびHfCp(NMe2)3である、請求項15で定義された前記式(II1)の化合物。
  17. 請求項13ないし16の1項で定義された前記式(II1)の化合物を調製するためのプロセスであって、
    M1Cl4と(R2 tCp)Naの反応による式(VII1):(R2 tCp)M1Cl3の化合物(ここでM1、R2およびtは前記式(II)について先に定義されたものである)の調製からなる工程1と、
    前記式(II1)の化合物を生成するための、工程1で調製された前記式(VII1)の化合物とNH(R39)(R40)との反応からなる工程2と
    を含むプロセス。
  18. Hf(EtCp)2Me2、Zr(MeCp)2Me2またはZr(EtCp)2Me2である、請求項1で定義された前記式(II)の化合物。
JP2009512514A 2006-06-02 2007-03-16 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用 Withdrawn JP2010506378A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/EP2006/062893 WO2007140813A1 (en) 2006-06-02 2006-06-02 Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
PCT/EP2007/052507 WO2007141059A2 (en) 2006-06-02 2007-03-16 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010240668A Division JP5539153B2 (ja) 2006-06-02 2010-10-27 誘電体フィルムを形成する方法および該誘電体フィルムを形成するための化合物の使用

Publications (1)

Publication Number Publication Date
JP2010506378A true JP2010506378A (ja) 2010-02-25

Family

ID=37192518

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2009512424A Pending JP2009539237A (ja) 2006-06-02 2006-06-02 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
JP2009512514A Withdrawn JP2010506378A (ja) 2006-06-02 2007-03-16 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
JP2010240668A Active JP5539153B2 (ja) 2006-06-02 2010-10-27 誘電体フィルムを形成する方法および該誘電体フィルムを形成するための化合物の使用
JP2013186819A Pending JP2014039045A (ja) 2006-06-02 2013-09-09 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009512424A Pending JP2009539237A (ja) 2006-06-02 2006-06-02 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010240668A Active JP5539153B2 (ja) 2006-06-02 2010-10-27 誘電体フィルムを形成する方法および該誘電体フィルムを形成するための化合物の使用
JP2013186819A Pending JP2014039045A (ja) 2006-06-02 2013-09-09 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用

Country Status (9)

Country Link
US (6) US8399056B2 (ja)
EP (4) EP2029790A1 (ja)
JP (4) JP2009539237A (ja)
KR (3) KR101106349B1 (ja)
CN (3) CN101460657A (ja)
AT (1) ATE541959T1 (ja)
IL (2) IL195227A (ja)
TW (2) TWI374196B (ja)
WO (2) WO2007140813A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010507729A (ja) * 2006-10-26 2010-03-11 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
JP2010539709A (ja) * 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
JP2012069871A (ja) * 2010-09-27 2012-04-05 Elpida Memory Inc 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
JP2012204681A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 成膜方法、成膜装置および記憶媒体
JP2012201652A (ja) * 2011-03-28 2012-10-22 Ube Industries Ltd ジルコニウムアミド化合物の製造方法
JP2013012746A (ja) * 2007-03-23 2013-01-17 Sk Hynix Inc 半導体素子の製造方法
US8568530B2 (en) 2005-11-16 2013-10-29 Sigma-Aldrich Co. Llc Use of cyclopentadienyl type hafnium and zirconium precursors in atomic layer deposition
JP2019504509A (ja) * 2015-11-19 2019-02-14 ユージーンテック マテリアルズ カンパニー リミテッド 有機4族化合物を含む前駆体造成物及びそれを利用した薄膜形成方法
JP2022511849A (ja) * 2018-12-12 2022-02-01 エスケー トリケム カンパニー リミテッド 金属膜形成用前駆体組成物、これを用いた金属膜形成方法、及び前記金属膜を含む半導体素子

Families Citing this family (449)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
EP2302094A1 (en) 2006-05-12 2011-03-30 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US8071163B2 (en) 2007-04-07 2011-12-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of Ta- or Nb-doped high-k films
JP5461390B2 (ja) 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規金属前駆体
JP2010528183A (ja) 2007-05-21 2010-08-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規コバルト前駆体
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
JP5650880B2 (ja) 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP2011517056A (ja) * 2008-04-07 2011-05-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード TaまたはNbドープhigh−kフィルムの堆積
EP2174942B1 (en) * 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
KR101832500B1 (ko) * 2009-07-06 2018-02-26 린데 악티엔게젤샤프트 용액계 전구체
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
CN102482772A (zh) * 2009-07-21 2012-05-30 西格玛-奥吉奇有限责任公司 用于形成含钛薄膜的组合物和使用方法
EP2810947A1 (en) 2009-08-14 2014-12-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium- and zirconium-containing precursors and methods of using the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011057114A2 (en) 2009-11-09 2011-05-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
KR20120098863A (ko) * 2010-01-29 2012-09-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
TW201132787A (en) 2010-03-26 2011-10-01 Advanced Tech Materials Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
CN102060865B (zh) * 2010-11-15 2013-04-24 南京航空航天大学 酰胺钆配合物的合成方法及其在制备高k材料前驱体的应用
CN103930431B (zh) 2011-03-15 2016-07-06 株式会社Mecharonics 新型第4b族金属有机化合物及其制备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8574997B2 (en) * 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5766098B2 (ja) * 2011-11-17 2015-08-19 株式会社アルバック 絶縁膜形成方法及び絶縁膜形成装置
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
TW201408679A (zh) * 2012-05-25 2014-03-01 Air Liquide 用於蒸氣沈積之含鈦前驅物
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
JP6184030B2 (ja) 2012-12-25 2017-08-23 株式会社Adeka アルミニウム化合物、薄膜形成用原料及び薄膜の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102123996B1 (ko) 2013-02-25 2020-06-17 삼성전자주식회사 알루미늄 전구체, 이를 이용한 박막 형성 방법 및 커패시터 형성 방법
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
WO2014168312A1 (ko) * 2013-04-08 2014-10-16 주식회사 유피케미칼 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10415153B2 (en) * 2014-04-02 2019-09-17 Franck Natali Doped rare earth nitride materials and devices comprising same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
KR102193623B1 (ko) 2014-06-05 2020-12-21 삼성전자주식회사 커패시터 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) * 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
WO2016191432A1 (en) 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10858379B2 (en) * 2015-11-11 2020-12-08 Korea Research Institute Of Chemical Technology Metal precursor for making metal oxide
KR20160105714A (ko) 2015-11-26 2016-09-07 김현창 지르코늄 금속을 함유하는 신규한 유기금속 화합물 및 그 제조 방법, 그리고 이를 이용한 박막의 제조 방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6691009B2 (ja) * 2016-07-05 2020-04-28 株式会社Adeka 金属炭化物含有薄膜形成用原料及び金属炭化物含有薄膜の製造方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10479732B2 (en) 2017-03-31 2019-11-19 Tdk Corporation Oxynitride thin film and capacitance element
JP7000882B2 (ja) * 2017-03-31 2022-01-19 Tdk株式会社 酸窒化物薄膜および容量素子
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036137A1 (en) * 2017-08-17 2019-02-21 Applied Materials, Inc. LI-ION BATTERY WITHOUT OLEFIN SEPARATOR
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111683953B (zh) * 2018-02-07 2024-01-23 Up化学株式会社 含第ⅳ族金属元素化合物、其制备方法、含其的膜形成用前体组合物及用其的膜形成方法
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050974A1 (en) * 2018-09-03 2020-03-12 Applied Materials, Inc. Direct liquid injection system for thin film deposition
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11462398B2 (en) 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11859283B2 (en) * 2020-07-28 2024-01-02 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroalkylcyclopentadienyl indium-containing precursors and processes of using the same for deposition of indium-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220205099A1 (en) * 2020-12-29 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group iv element containing precursors and deposition of group iv element containing films
KR102309466B1 (ko) * 2021-04-06 2021-10-07 삼성엔지니어링 주식회사 암모니아 분해촉매 및 이를 이용한 암모니아 분해방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR20220157741A (ko) * 2021-05-21 2022-11-29 주식회사 아이켐스 신규한 하프늄 함유 화합물, 이를 함유하는 하프늄 전구체 조성물, 상기 하프늄 전구체 조성물을 이용한 하프늄 함유 박막 및 이의 제조방법.
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102649530B1 (ko) * 2021-12-23 2024-03-20 연세대학교 산학협력단 Ald 공정을 이용한 산화지르코늄 결정 박막 저온 증착 방법
WO2024030616A1 (en) * 2022-08-05 2024-02-08 Dow Global Technologies Llc Asymmetrical hafnium metallocenes

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
JP2002525426A (ja) * 1998-09-11 2002-08-13 エイエスエム マイクロケミストリ オーワイ バリウムとストロンチウムとを含有する酸化物薄膜を成長させる方法
JP2005504432A (ja) * 2001-03-20 2005-02-10 マットソン テクノロジイ インコーポレイテッド 比較的高い誘電定数を有する被膜を基板上に堆積するための方法
JP2005104994A (ja) * 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
WO2007066546A1 (ja) * 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01501487A (ja) 1987-06-17 1989-05-25 ザ ダウ ケミカル カンパニー シンジオタクチックポリスチレンの製造のための触媒および方法
BR9104067A (pt) 1990-09-20 1992-05-26 Dow Chemical Co Composto,processo para preparacao de compostos cataliticos e processo para polimerizacao de um ou mais monomeros
US6428623B2 (en) * 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
EP0988957A1 (en) 1994-11-29 2000-03-29 Idemitsu Petrochemical Co., Ltd. Process for preparing a styrenic resin
IT1272939B (it) * 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
JP3787574B2 (ja) 1995-02-28 2006-06-21 マイクロン・テクノロジー・インコーポレーテッド プレカーサを用いた化学蒸着
US5527752A (en) * 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
US5587439A (en) 1995-05-12 1996-12-24 Quantum Chemical Corporation Polymer supported catalyst for olefin polymerization
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
IT1283010B1 (it) * 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
CA2330529A1 (en) 1998-06-12 1999-12-16 Univation Technologies Llc Olefin polymerization process using activated lewis acid-base complexes
US6069109A (en) 1998-07-01 2000-05-30 Union Carbide Chemicals & Plastics Technology Corporation Process for the production of half-sandwich transition metal based catalyst precursors
CA2248463A1 (en) * 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
US7419698B2 (en) 2001-10-26 2008-09-02 Sigma-Aldrich Co. Precursors for chemical vapor deposition
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
AU2003267995A1 (en) 2002-07-18 2004-02-09 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
EP1523765A2 (en) * 2002-07-19 2005-04-20 Aviza Technology, Inc. Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
JP2004296887A (ja) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005187356A (ja) * 2003-12-25 2005-07-14 Mitsubishi Materials Corp 有機金属化合物及びその溶液原料並びに該化合物を用いた金属含有膜の形成方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2005351450A (ja) 2004-06-14 2005-12-22 Atsuyoshi Mantani 転がり球免震支承の小径球強制循環転動路構造及び小径球循環路構造
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
KR100728962B1 (ko) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
WO2007011459A1 (en) 2005-07-19 2007-01-25 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
JP2007131288A (ja) 2005-11-11 2007-05-31 Hideo Sunaga 省エネルギー型ジェット機
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7666752B2 (en) 2007-01-19 2010-02-23 Qimonda Ag Deposition method for a transition-metal-containing dielectric
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) * 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2010539709A (ja) 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP5428702B2 (ja) 2009-09-24 2014-02-26 日本電気株式会社 ストリーム通信システム、サーバ装置及びクライアント装置
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002525426A (ja) * 1998-09-11 2002-08-13 エイエスエム マイクロケミストリ オーワイ バリウムとストロンチウムとを含有する酸化物薄膜を成長させる方法
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
JP2005504432A (ja) * 2001-03-20 2005-02-10 マットソン テクノロジイ インコーポレイテッド 比較的高い誘電定数を有する被膜を基板上に堆積するための方法
JP2005104994A (ja) * 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
WO2007066546A1 (ja) * 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN6012006725; Horst Schneider, Gerd T Puchta, Franz A.R Kaul, Gabriele Raudaschl-Sieber, Frederic Lefebvre, Guilla: 'Immobilization of eta5-cyclopentadienyltris(dimethylamido)zirconium polymerization catalysts on a chl' Journal of Molecular Catalysis A: Chemical Volume 17&#x *
JPN7012000475; G.Chandra and M.F.Lappert: 'Amido-derivatives of Metals and Metalloids. Part VI. Reactions of Titanium(IV), Zirconium(IV), and H' J. Chem. Soc. (A) , 1968, 1940-1945 *
JPN7013001614; J. Niinisto, M. Putkonen, L. Niinisto, K. Kukli, M. Ritala, and M. Leskela: 'Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition' J. Appl. Phys. 95, 20040101, 84-91 *

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8568530B2 (en) 2005-11-16 2013-10-29 Sigma-Aldrich Co. Llc Use of cyclopentadienyl type hafnium and zirconium precursors in atomic layer deposition
JP2010507729A (ja) * 2006-10-26 2010-03-11 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
JP2013012746A (ja) * 2007-03-23 2013-01-17 Sk Hynix Inc 半導体素子の製造方法
JP2010539709A (ja) * 2007-09-14 2010-12-16 シグマ−アルドリッチ・カンパニー モノシクロペンタジエニルチタン系前駆体を用いる原子層成長によるチタン含有薄膜の作製方法
USRE45124E1 (en) 2007-09-14 2014-09-09 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors
JP2012069871A (ja) * 2010-09-27 2012-04-05 Elpida Memory Inc 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
JP2012204681A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 成膜方法、成膜装置および記憶媒体
KR101501803B1 (ko) * 2011-03-25 2015-03-11 엘피다 메모리, 아이엔씨. 성막 방법, 성막 장치 및 기억 매체
JP2012201652A (ja) * 2011-03-28 2012-10-22 Ube Industries Ltd ジルコニウムアミド化合物の製造方法
JP2019504509A (ja) * 2015-11-19 2019-02-14 ユージーンテック マテリアルズ カンパニー リミテッド 有機4族化合物を含む前駆体造成物及びそれを利用した薄膜形成方法
JP2022511849A (ja) * 2018-12-12 2022-02-01 エスケー トリケム カンパニー リミテッド 金属膜形成用前駆体組成物、これを用いた金属膜形成方法、及び前記金属膜を含む半導体素子
JP7262912B2 (ja) 2018-12-12 2023-04-24 エスケー トリケム カンパニー リミテッド 金属膜形成用前駆体組成物、これを用いた金属膜形成方法、及び前記金属膜を含む半導体素子

Also Published As

Publication number Publication date
US20090311879A1 (en) 2009-12-17
US20180151354A1 (en) 2018-05-31
TWI525210B (zh) 2016-03-11
ATE541959T1 (de) 2012-02-15
WO2007140813A1 (en) 2007-12-13
US8470402B2 (en) 2013-06-25
EP2261389A2 (en) 2010-12-15
JP2009539237A (ja) 2009-11-12
KR20130027578A (ko) 2013-03-15
JP5539153B2 (ja) 2014-07-02
US20170125242A1 (en) 2017-05-04
WO2007141059A2 (en) 2007-12-13
EP2540861A1 (en) 2013-01-02
CN101982562B (zh) 2013-02-27
EP2029790A1 (en) 2009-03-04
WO2007141059A3 (en) 2010-06-17
CN101896638A (zh) 2010-11-24
US8399056B2 (en) 2013-03-19
IL195227A (en) 2014-06-30
US8668957B2 (en) 2014-03-11
IL195227A0 (en) 2009-08-03
EP2261389B1 (en) 2012-01-18
KR101502251B1 (ko) 2015-03-12
KR20090018080A (ko) 2009-02-19
US20140242812A1 (en) 2014-08-28
US10217629B2 (en) 2019-02-26
EP2540861B1 (en) 2015-01-14
TW200813245A (en) 2008-03-16
CN101896638B (zh) 2014-05-28
US20090203222A1 (en) 2009-08-13
KR101106349B1 (ko) 2012-01-18
US9583335B2 (en) 2017-02-28
JP2011071528A (ja) 2011-04-07
US9911590B2 (en) 2018-03-06
EP2261389A3 (en) 2010-12-22
EP2029791A2 (en) 2009-03-04
US20110207337A1 (en) 2011-08-25
JP2014039045A (ja) 2014-02-27
IL208360A0 (en) 2010-12-30
KR20100122962A (ko) 2010-11-23
TWI374196B (en) 2012-10-11
IL208360A (en) 2014-05-28
TW201116643A (en) 2011-05-16
CN101460657A (zh) 2009-06-17
CN101982562A (zh) 2011-03-02

Similar Documents

Publication Publication Date Title
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
EP2257561B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US9076648B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
JP5275243B2 (ja) 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
WO2010040741A1 (en) Niobium and vanadium organometallic precursors for thin film deposition
JP2015015483A (ja) 金属含有膜を被着させるための金属エノラート前駆体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130807

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130814

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20140617

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20141006