JP2001355070A - 酸化物薄膜を製造する方法 - Google Patents

酸化物薄膜を製造する方法

Info

Publication number
JP2001355070A
JP2001355070A JP2001117318A JP2001117318A JP2001355070A JP 2001355070 A JP2001355070 A JP 2001355070A JP 2001117318 A JP2001117318 A JP 2001117318A JP 2001117318 A JP2001117318 A JP 2001117318A JP 2001355070 A JP2001355070 A JP 2001355070A
Authority
JP
Japan
Prior art keywords
source material
group
oxygen
pulse
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001117318A
Other languages
English (en)
Inventor
Matti Putkonen
プトコネン マッティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Microchemistry Oy
Original Assignee
ASM Microchemistry Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Microchemistry Oy filed Critical ASM Microchemistry Oy
Publication of JP2001355070A publication Critical patent/JP2001355070A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M8/00Fuel cells; Manufacture thereof
    • H01M8/10Fuel cells with solid electrolytes
    • H01M8/12Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte
    • H01M8/124Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte
    • H01M8/1246Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte the electrolyte consisting of oxides
    • H01M8/1253Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte the electrolyte consisting of oxides the electrolyte containing zirconium oxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/30Hydrogen technology
    • Y02E60/50Fuel cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 ALD式方法によって基材上に酸化物薄膜を
製造する方法の提供。 【解決手段】 少なくとも一つの金属源材料の気相パル
スおよび少なくとも一つの酸素源材料の気相パルスを交
互に反応空間へ供給し、基材と接触させる。イットリウ
ム源材料およびジルコニウム源材料を交互に金属源材料
として使用すると、イットリウム安定化ジルコニウム酸
化物(YSZ)薄膜が基板上に形成される。

Description

【発明の詳細な説明】
【0001】本発明は、ALD法によって製造される酸
化物薄膜に関する。特に、本発明は、イットリウム安定
化ジルコニウム酸化物(YSZ)薄膜に関する。
【0002】マイクロエレクトロニック素子のたゆまぬ
小型化によって、金属-酸化膜-半導体電界効果トランジ
スタ(MOSEFT)のゲート酸化物として今日使用さ
れているSiO2をより高い誘電率の酸化物で置き換え
なければならない状況が生じている。これは、必要なキ
ャパシタンスを達成するために、トンネル電流が素子の
機能に影響する水準に増加するように、SiO2層を非
常に薄くしなければならないという事実によるものであ
る。この問題は、SiO2よりも高い誘電率を有する誘
電材料を使用することによって解決することができる。
例えば、動的等速呼出記憶コンデンサは、急速に小型化
されているにもかかわらず、そのキャパシタンスはほぼ
一定のままでなければならなず、したがって、以前に使
用されていたSiO2およびSi34を、これらよりも
高い誘電率を有し、かつより高いキャパシタンス密度を
与える材料で置き換える必要がある。
【0003】十分に高い誘電率を示す多くの材料がある
が、しかし、高誘電率に加えて、これらの誘電薄膜はと
りわけ低い漏れ電流密度および高い絶縁破壊電界を有す
ることが必要である。これらの特性を共に達成するため
には、緻密な傷のない構造が前提とされる。また、材料
はシリコンと接触して安定であり、かつ実質的な変化な
しに高い後処理温度に暴露されることができる、という
ことも重要である。特にゲート酸化物の適用において
は、シリコンと高誘電率を有する金属酸化物との界面
で、電気的活性状態が極めて少ない、ということが重要
である。メモリの適用においては、注入イオンの活性化
のために使用される温度が高いので、コンデンサの誘電
体の構造が安定である、ということが重要である。
【0004】ジルコニウム酸化物ZrO2は、高い融点
および良好な化学安定性を有する絶縁材料である。Zr
2は他の酸化物を添加することによって更に安定化す
ることができ、他の酸化物の添加の狙いはZrO2の相
変化をなくすことである。一般的に、単斜晶系の結晶系
は1100℃まで安定であり、正方晶系は2285℃ま
で安定であり、さらに立法晶系が安定である。安定化は
通常、イットリウム酸化物(Y23)を添加することに
よって行われるが、また、MgO、CaO、CeO2
In23、Gd23、およびAl23も使用されてい
る。以前には、YSZ薄膜層は、例えば、金属-有機化
学蒸着(MOCVD)(Garcia, G. et al., Preparati
on of YSZ layers by MOCVD: Influence of experiment
al parameters on the morphology of the film, J. Cr
ystal Growth 156(1995),426)および電子ビーム蒸発技
術(cf. Matthee, Th. et al., Orientation relations
hipsof epitaxial oxide buffer layers on silicon (1
00) for high-temperaturesuperconducting YBa2Cu3O
7-x films, Appl. Phys. Lett. 61(1992),1240)によっ
て製造されていた。
【0005】原子層堆積(ALD)を、二元酸化物薄膜
を製造するために使用することができる。ALD(これ
は本来原子層エピタクシー(ALE)として知られてい
る)は従来のCVDの変形である。方法の名称は、多結
晶および非晶質薄膜について論じるときに起こりそうな
混乱を回避するためにALEからALDへ最近変えられ
た。ALDの装置は、ASM マイクロケミストリー O
y,EspooによってALCVD(登録商標)の下に
供給されている。ALD法は逐次自己飽和表面反応に基
づいている。この方法は米国特許第4,058,430
号および第5,711,811号に詳細に記載されてい
る。成長は、システムをより早くする不活性キャリヤー
およびパージガスの使用によって有利になる。
【0006】ALD式方法をもっと複雑な化合物を製造
するために使用する場合、すべての成分が、同じ反応温
度範囲に、成長を制御するALD方法のウインドウを有
さないかも知れない。Molsa等は、真のALDウイ
ンドウが発見されなかったとしても、二元化合物を成長
するときに、ALD型成長を得ることができるが、薄膜
の成長速度は温度に依存する、ということを見出してい
る(Molsa, H. et al., Adv. Mat. Opt. El. 4(1994),3
89)。正確な濃度制御が望まれる場合には、固溶体およ
びドープした薄膜の製造のためにそのような源材料およ
び反応温度を使用することは困難であると考えることが
できる。小さな温度変化が成長方法に影響する場合に
は、方法の調整はもっと困難になる。
【0007】Molsa等(Molsa, H. et al., Adv. M
at. Opt. El. 4(1994),389)はALE法によってY23
を成長する方法を開示している。彼等は、400〜50
0℃の温度範囲においてイットリウム源材料としてY
(thd)3(thd=2,2,6,6−テトラメチル
-3,5-ヘプタンジオン)および酸素源材料としてオゾ
ン-酸素混合物を使用した。既に述べたように、成長速
度が、温度の上昇と共に、0.3Å/サイクルから1.
8Å/サイクルまで着実に増加したので、ALDのウイ
ンドウを発見することはできなかった。
【0008】Ritala等(Ritala, M. and Leskel
a, M., Appl. Surf. Sci. 75(1994),333)は、ALD式
方法によってZrO2を成長する方法を開示している。
ZrCl4がジルコニウム源材料として使用され、水が
酸素源材料として使用された。この方法における温度は
500℃であり、成長速度は0.53Å/サイクルであ
った。
【0009】従来技術の問題点を除去することおよびイ
ットリウム安定化ジルコニウム酸化物(YSZ)を提供
することが本発明の一つの目的である。
【0010】この目的および他の目的は、請求の範囲お
よび以降の明細書に記載されるように、その効果と共に
本発明によって達成される。
【0011】本発明は、イットリウム酸化物およびジル
コニウム酸化物をALD式方法によって成長することが
でき、その膜成長はALDの原理に従い、イットリウム
安定化ジルコニウム酸化物薄膜を形成する、という発見
に基づいている。
【0012】より具体的には、YSZ薄膜を製造する方
法の特徴は、請求項1の特徴を述べている部分の記載に
ある。
【0013】多数の大きな効果が本発明によって達成さ
れる。
【0014】イットリウム安定化ジルコニウム酸化物薄
膜の成長速度は高く、例えば、ALD薄膜の成長速度
は、ZrO2およびY23の成長速度に基づいて予測さ
れるよりも約25%高かった。
【0015】本発明で使用される温度は、従来技術の方
法と比較して低く、したがって、製造コストを下げる。
【0016】本発明の方法で成長した膜は良好な薄膜特
性を示す。したがって、得られた酸化物膜は、凹凸の表
面にも優れた適合性を有している。この方法はまた、膜
成長のための優れた自動的自己制御を提供する。
【0017】ALDで成長したットリウム安定化ジルコ
ニウム酸化物薄膜を、例えば、電子工学および光学にお
ける絶縁体として使用することができる。例えば、平滑
表面を有する絶縁酸化物を使用することが好ましい電界
放出ディスプレイ(FED)において使用される。YS
Z薄膜をガスセンサーおよび燃料室において固体電解質
として使用することも可能である。特に適当なのは、Y
SZ薄膜を超小型電子デバイスのゲート酸化物として、
および動的等速呼出記憶装置(DRAM)のコンデンサ
として使用することである。
【0018】次に、以下の詳細な説明および添付図面の
参照によって本発明を説明する。
【0019】定義 本発明の目的のために、「ALD式方法」は、ガス状ま
たは蒸気状の源化学物質からの材料の表面への成長が逐
次的かつ交互の自己飽和表面反応に基づいている方法を
指す。ALDの原理は、例えば米国特許第405843
0号および第5711811号に開示されている。
【0020】「反応空間」は、ALDよる成長が可能で
あるように条件が調整できる反応器または反応室を言
う。
【0021】「ALDウインド」は、ALDの原理に従
って薄膜の成長を起こす温度範囲を示すのに使用され
る。ALD原理に従う薄膜成長の一つの証拠は、成長速
度が温度範囲にわたって実質的に一定のままであるとい
う事実である。
【0022】「薄膜」は、分離したイオン、原子または
分子として真空、気相または液相を介して該源から基材
へ移送される元素又は化合物から成長する膜を示すのに
使用される。膜の厚さは、その用途に依存し、例えば、
1分子層から800nmまたは1μmまでまたはそれ以
上の広い範囲で、変わる。
【0023】成長方法 本発明に従って、酸化物薄膜はALD方法により形成さ
れる。このように、反応室に置かれた基材は、その上に
薄膜を成長させるための少なくとも2つの蒸気相試薬の
交互に繰り返される逐次的表面反応にかけられる。
【0024】反応空間の条件は、気相反応すなわちガス
状試薬間の反応が起こらないように、表面反応なわち基
材の表面に吸着した種とガス状試薬との間の反応だけ起
こるように、調整される。このように、酸素源材料の分
子は、その表面に吸着した金属源化合物層と反応する。
この種の成長は、ALDの原理に従っている。
【0025】本方法に従って、金属源材料と酸素源材料
の蒸気相パルスは、交互にかつ逐次的に反応空間に供給
され、反応空間に適合された基材の表面と接触する。最
初の基材の「表面」は、前もって、例えばその表面の性
質を改質するための化学物質と接触させることにより、
任意に前処理された実際の基材材料の表面である。薄膜
の成長中は、前の金属酸化物層が次の金属酸化物層のた
めの表面を形成する。試薬は、好ましくは、窒素のよう
な不活性ガスと一緒に反応器に供給される。
【0026】好ましくは、方法をより迅速にするため
に、前の化学物質の未反応残渣と反応生成物を取り除き
反応空間を清浄化するための不活性ガスパルス(ガスパ
ージともいう)により、金属源材料パルスと酸素源材料
パルスは互いに分離される。不活性ガスパージは、一般
的に窒素のような不活性ガスまたはアルゴンのような希
ガスからなる。
【0027】このように、1つのパルス順序(「サイク
ル」または「反応サイクル」ともいう)は、好ましく
は、 − 金属源化学物質の蒸気相パルスを不活性キャリアガ
スと一緒に反応空間に供給し、 − 反応空間を不活性ガスでパージし、 − 酸素源材料の蒸気相パルスを反応空間に供給し、そ
して − 不活性ガスで反応空間をパージする、 ことから実質的になる。
【0028】パージ時間は、気相反応を防止し、かつ、
酸化物に対するサイクル当たりの最適ALD成長速度よ
り金属酸化物薄膜成長速度が高くなることを防止するの
に十分な長さに選択される。
【0029】堆積は常圧で行うことができるが、その方
法を減圧下で行うことが好ましい。反応器の圧力は、一
般的に0.01〜20mbar、好ましくは0.1から
5mbarである。
【0030】基材温度は、薄膜原子間の結合を維持し、
かつ、ガス状または蒸気状試薬の熱分解を防止するため
に、十分に低いものでなければならない。一方、基材温
度は、気相中の源材料を維持するのに、すなわちガス状
または蒸気状試薬の凝縮を回避することができるのに十
分高いものでなければならない。さらに、該温度は、表
面反応のための活性化エネルギーを供給するのに十分高
いものでなければならない。基材上に酸化ジルコニウム
を成長させるときに、基材温度は一般的に250〜50
0℃、好ましくは275〜450℃、そして特に275
〜325℃である。基材上にY23を成長させるために
使用する温度範囲は、一般的に200〜400℃、好ま
しくは250〜350℃である。YSZ膜は、一般的に
250〜400℃で、好ましくは275〜350℃で、
そして特に275〜325℃で成長する。
【0031】これらの条件で、表面に結合した試薬の量
は、表面により決定される。この現象が「自己飽和」と
呼ばれる。
【0032】基材表面上の最大被覆は、金属源化学物質
分子の単一層が吸着されたときに、得られる。所定の厚
さの酸化物膜が成長するまで、パルス順序は繰り返され
る。
【0033】源温度は、好ましくは基材温度より低く設
定される。これは、源化学物質蒸気の分圧が基材温度で
凝縮限界を越えたならば、膜の層ごとに制御された成長
が失われるという事実に基づく。
【0034】自己飽和反応に有用な時間は、反応器から
の生成物の必要なスループットのような商業的要因によ
ってほとんど制限される。非常に薄い膜は、比較的数回
のパルスサイクルによりつくられ、そして、これは、あ
る場合に、源化学物質パルス時間の増加を可能とし、そ
して、このように、通常より低い蒸気圧での源化学物質
の利用を可能とする。
【0035】基材は、種々のものでよく、例えばシート
状や粉末状のものでよい。その例は、シリコン、シリ
カ、被覆シリコン、銅金属、および金属窒化物のような
種々の窒化物を含む。
【0036】本発明の方法に従って成長したYSZ薄膜
は、一般的に(100)配向する。
【0037】塩素残渣は、1つ以上の源材料が塩素を含
むとき、ジルコニウムおよび/またはイットリウムから
なる薄膜に見られる。本発明に従って形成されたYSZ
薄膜において、膜中のClの濃度は、一般的に0.05
〜0.25wt%である。形成された膜中のイットリウ
ム濃度が低いときすなわち20wt%より小さい特に1
5wt%より小さいときに、形成された薄膜の塩素含有
量はZrO2から実質的になる膜のそれより低いこと
が、本発明との関係で驚くべき発見であった。
【0038】上述したパルス順序において、金属源化学
物質は、ジルコニウム源材料またはイットリウム源材料
のいずれであってもよい。このように、本発明の成長方
法において、イットリウム酸化物およびジルコニウム酸
化物は基材上で成長する。
【0039】本発明の好ましい実施態様に従って、イッ
トリウム安定化ジルコニウム酸化物薄膜は形成される。
このように、薄膜の成長の間は、上述した少なくとも1
つのパルスサイクルが、金属源化学物質としてイットリ
ウム源化学物質を使用して行われ、また、上述した少な
くとも1つのパルスサイクルが、金属源化学物質として
ジルコニウム源化学物質を使用して行われる。
【0040】イットリウム源化学物質とジルコニウム源
化学物質とのパルス比は、薄膜に対して所望の性質が得
られるように選択できる。一般的には、パルス比Y:Z
rは、1:10〜10:1、好ましくは1:5〜5:
1、より好ましくは1:3〜3:1であり、最も好まし
くは、パルス比は約1:1である。
【0041】図8は、ZrO2、YSZおよびY23
膜成長させるために使用できるパルス順序を表す。図8
(b)において、YSZ膜に関するパルス比Y:Zr=
1:2に対するパルス順序を表している。
【0042】図9は、膜中のY23の重量百分率の関数
として分離した酸化物に対する計算値に比較したYSZ
薄膜の成長速度を表している。YSZの成長速度に相当
する値は、Y23:ZrO2の各パルス比でY23とZ
rO2の成長速度を一緒に加えることにより計算し、そ
して、この計算値は、図において100%を表してい
る。このように、この図は、Y23:ZrO2のパルス
比がYSZ薄膜の成長速度およびイットリウム濃度に対
していずれの効果を有しているかを示している。
【0043】本発明の一つの実施態様に従って、YSZ
薄膜を成長させるとき、基材上の第一パルスサイクル
は、金属源化学物質としてイットリウム源化学物質を使
用して行われる。
【0044】本発明の別の一つの実施態様に従って、Y
SZ薄膜を成長させたときに、基材上の第一パルスサイ
クルは、金属源化学物質としてジルコニウム源化学物質
を使用して行われる。
【0045】源材料 イットリウムおよびジルコニウムのガス状または揮発性
化合物が本発明の方法における金属源材料として使用さ
れる。
【0046】各金属化合物の特性は変化するので、本発
明の方法に使用する各金属化合物の適合性は考慮される
べきものである。化合物の特性は、例えば、Chemistry
of the Elements, 2nd edition, Pergamon Press, 1997
のN. N. GreenwoodおよびA.Earnshawにより見出され
る。
【0047】金属源材料は、化合物の十分な蒸気圧、基
板温度での十分な熱安定性および十分な反応性を満足す
るように選択されるべきである。
【0048】十分な蒸気圧とは、基板表面近くの気相中
で源化学分子が表面で十分な自己飽和反応を早めること
ができることを意味する。
【0049】実際に十分な熱安定性とは、源化学種自体
が基板上に成長妨害凝縮相を形成しないまたは熱分解に
より基板表面上に有害レベルの不純物を残さないという
意味である。
【0050】さらなる選択基準は、高純度の化学品の入
手可能性、取り扱い易さ、とりわけ適度な用心がある。
【0051】さらに、リガンド交換反応の結果生ずる副
生成物の量は考慮すべき事項である。反応生成物が本質
的にガス状であることが重要である。これによりリガン
ド交換反応により形成可能性のある副生成物は不活性パ
ージガスにより反応空間から移動されるに十分ガス状で
あるという意味であり、これは副生成物が膜中に不純物
として残存しないであろうことを意味する。
【0052】1.イットリウム源材料 イットリウム源材料は典型的には一般式(I)または
(II)を有する材料群から選択される: YX3 (I) YX3B (II) 式中、Xは以下の基から選択される: i)式(III)の酸素(例えば、β−ジケトネート)
から配位されたジケトン
【化2】
【0053】式中、R"およびR'は典型的には同一であ
り、例えば線状または分岐状C1−C10アルキル基、特
に線状または分岐状C1−C6アルキル基、もっとも好ま
しくは−CH3、−C(CH33、−CF3および−C
(CF33から選択される、ii)シクロペンタジエニ
ル、 iii)式(IV)のシクロペンタジエニルの誘導体: C55-yR'''y (IV)
【0054】式中、R'''は、例えば線状または分岐状
1−C10アルキル基、好ましくはC 1−C6アルキル
基、特に、メチル(−CH3)、エチル、プロピル、ブ
チル、ペンチル、およびより長炭素鎖を有するアルキ
ル、アルコキシ、アリール、アミノ、シアノおよびシリ
ル基から選択され、yは1〜5の整数である、Bは中性
付加配位子であり、1以上の原子から中心原子に結合し
ている。典型的には、Bは炭化水素、酸素含有炭化水素
(例えばエーテル)、窒素含有炭化水素(例えばビピリ
ジン、フェナントロリン、アミンまたはポリアミン)で
ある。
【0055】本発明の一実施態様によれば、Y(co
t)Cp*(cotはシクロオクタテトラエニルであ
り、およびCp*はペンタメチル シクロペンタジエニ
ルである)がイットリウム源材料として使用される。
【0056】本発明の好ましい態様によれば、Y(th
d)3(thdは2,2,6,6−テトラメチル−3,
5−ヘプタンジオンである)がイットリウム源材料とし
て使用される。
【0057】2.ジルコニウム源材料 ジルコニウム源材料は典型的には少なくとも一つの炭素
原子からなるジルコニウムハライドおよびジルコニウム
化合物の基から選択される。
【0058】ジルコニウム源材料は典型的には一般式
(V)を有する基から選択される: R2ZrX2 (V) 式中、Rはシクロペンタジエニル(C55)および式
(IV)を有するその誘導体の基から選択され、配位子
Rは、任意に橋かけ(−Cp−A−Cp−)されても良
く(ここで、Aはメチル、式(CH2)nのアルキル基
であり、nは2〜6、好ましくは2または3である)、
またはC(CH32のような置換炭化水素であり、Xは
以下の配位子の基から選択される: i)ハライド(F、Cl、Br、I)、 ii)水素(−H)、線状または分岐状C1−C10アルキ
ル基、好ましくはC1−C6アルキル基、特にメチル(−
CH3)、エチル、プロピル、ブチルまたは長炭化水素
鎖、 iii)メトキシ(−OCH3)または他の線状(例えば−
OC37)または分岐状アルコキシド、 iv)アミン(−NR2)、および v)アセテート(−OCOR、例えば−OCOCF3)で
ある。
【0059】本発明の一実施態様によれば、X配位子は
上記した化合物の組み合わせである。したがって、ジル
コニウム源材料は任意にCp2Zr(OR'''')xCl
2-XまたはCp2ZrClHである。
【0060】XおよびRの以下の好ましい組み合わせも
本発明において使用できる: X=R=ClまたはBr、すなわち化合物がテトラハラ
イドである、 X=R=OR''、すなわち化合物がジルコニウムアルコ
キシドである、 X=R=Cp、すなわち化合物がテトラシクロペンタジ
エンジルコニウムである、および/または X=Rが式(III)有する酸素から配位したジケトネ
ートである。
【0061】好ましくは、本発明で使用するジルコニウ
ム源材料はジルコニウム テトラクロライド(ZrCl
4)またはジシクロペンタジエニル ジルコニウム ジ
クロライド(Cp2ZrCl2)である。
【0062】3.酸素源材料 酸素源材料はALE技術で使用できるいずれの酸素化合
物である。好ましい酸素源材料は水、酸素および過酸化
水素、および過酸化水素水溶液である。オゾン(O3
は特に好ましい酸素源材料であり、酸素(O2)との混
合物としても良い。文献に基づくと、オゾンを酸素前駆
体として使用すると、材料のより濃厚な層が形成酸化物
から得られ、それによって酸化物薄膜の誘電率が改善さ
れ得ることが知られている。
【0063】1種以上の以下の化合物も酸素前駆体とし
て使用できる: − 窒素の酸化物、例えばN2O、NOおよびNO2、 − ハライド−酸素化合物、例えば二酸化塩素(ClO
2)および過塩素酸(HClO4)、 − 過酸(−O−O−H)、例えば過安息香酸(C65
COOOH)および過酢酸(CH3COOOH)、 − アルコキシド、 − アルコール、例えばメタノール(CH3OH)およ
びエタノール(CH3CH2OH)、および − 種々のラジカル、例えば酸素ラジカル(O・・)およ
びヒドロキシルラジカル(OH)。
【0064】本発明の好ましい態様によれば、YSZ薄
膜は、イットリウム源材料としてY(thd)3、ジル
コニウム源材料としてジシクロペンタジエニル ジルコ
ニウム ジクロライド(Cp2ZrCl2)および酸素源
材料としてオゾンまたはO3とO2との混合物を使用し
て、ALD方式法により成長される。
【0065】本発明の別の好ましい態様によれば、YS
Z薄膜は、イットリウム源材料としてY(thd)3
酸素源材料としてO3とO2との混合物、ジルコニウム源
材料としてジルコニウム テトラクロライド(ZrCl
4)および酸素源材料として水を使用して、ALD方式
法により成長される。以下の実施例は本発明をさらに説
明する。
【0066】
【実施例】実験条件および分析装置 本実施例においては、Y(thd)3およびジシクロペ
ンタジエニル ジルコニウム ジクロライド(Cp2
rCl2)(Strem Chamicals)を金属源材料として使用
した。Y(thd)3はEisentrautおよびSievers(Eise
ntraut, K. J.および Sievers, R. E., J. Am. Chem. S
oc. 87 (1965), 5254)の教示に従い製造した。源材料
は、1mbarの圧力で熱重量分析的に分析した(TG/D
TA, Seiko SSC 5200)。
【0067】薄膜をMC−120およびF−120反応
器(ASM Microchemistry Oy, Espoo, Finland)内で成
長させ、N2(5.0、Aga)をキャリヤガスとして
使用した。O2(5.0、Aga)からオゾン発生器(F
isher 502)で発生したオゾンを酸化剤として使用し
た。(100)配向性のシリコン(Okmetic Oy, Finlan
d)および石灰ガラスを基材として使用した。別個のイ
ットリウム酸化物およびジルコニウム酸化物の成長を、
温度関数として試験し、源材料の適合性は0.5〜4秒
の範囲内でのパルス時間で試験することにより確認し
た。
【0068】成長させたY23、ZrO2およびYSZ
薄膜の結晶度および配向性をX線回折(XRD, Philips M
PD1880, CuKα)により解析した。YおよびZr含量お
よび可能性のある不純物を、UniQuant 4.0ソフトウ
ェアを使用するX線蛍光(XRF, Philips PW1480)によ
り、およびSTRATAソフトウェアを使用するエネル
ギー分散型X線解析(SEM−EDX)での走査型電子
顕微鏡により測定した。YSZ薄膜は、単色性Al K
α照射を使用するX線フォトンスペクトロスコピー(XP
S, AXIS 165, Kratos Analytical)により解析した。領
域 C 1s、O 1s、Zr 3dおよびY 3dか
らの広走査スペクトルおよびHiRes(高分解能)ス
ペクトルを測定した。測定した試料の領域は約1mm2
であり、測定は数点で行った。
【0069】薄膜の厚さは、Hitachi U-2000 UV-Visス
ペクトロフォトメータおよびThin Solid Films 232 (19
93), 56のYlilammi, M.およびRanta-Aho, T.に教示さ
れた光学的適合法、あるいはマスクとしてフォトレジス
ト(AZ 1350H, Hoechst)を使用する適当な工程でのH
Cl溶液でのエッチングによるプロフィロメトリ(Y2
3薄膜)(Sloan Dektak SL3030, Veeco Instrument
s)のいずれかにより決定した。
【0070】薄膜は、DTGS検出器およびDRIFT
Sアクセサリー(Spectra Tech Inc.)を使用するNicol
et Magna-IR 750 FT-IR-スペクトロフォトメータにより
解析した。約0.5x0.5cm2(100)シリコン
基材上で作製した試料から、中−IR−領域スペクトル
を2cm-1分解能で測定し、シグナル平均64スキャン
を使用した。バックグランドは装置の散乱調節ミラー
(Spectra Tech no: 7004-015)で測定した。自然酸化
物を有するシリコンウェハーのスペクトルを試料スペク
トルから引いた。IR装置内の水およびCO2残渣から
生じるスペクトルの干渉を乾燥空気によるパージにより
取り除いた。測定したスペクトルの平滑化を必要に応じ
て行った。
【0071】実施例1 酸化イットリウム(Y23)薄膜の調製および分析 Y23薄膜をALD法により250〜350℃の温度に
おいて成長させた。Y 23薄膜の成長速度は0.23ナ
/サイクルであった。
【0072】Y(thd)3からのY23薄膜成長につ
いては、250〜350℃の温度範囲内に成長速度が実
質的に一定に維持されるALDウインドが見出された。
源材料温度は120℃であり、パルス時間はY(th
d)3について0.8秒、O3について3.0秒であり、
各源材料パルス後のパージを1.0秒続けた。これは図
1にも示されており、図にはサイクル当たりのY23
ナで表した成長速度が成長温度の関数として描かれてい
る。
【0073】図2では、サイクル当たりのY23のナで
表した成長速度が各源材料のパルス時間の関数として描
かれている。この図は、Y(thd)3のパルス時間が
約0.5秒(この実験中、O3パルスは1.5秒に維持
した)以上で、O3のパルス時間が約1.0秒以上(こ
の実験中、Y(thd)3パルスは0.8秒に維持し
た)である場合に、成長速度がいかに実質的一定に維持
されるかを示している。イットリウム源材料の温度は約
120℃であり、成長温度は300℃であった。各源材
料パルス後のパージは0.8秒から2.0秒に変化さ
せ、パルス時間の増加と共に増加させた。
【0074】図3では、Y23薄膜のnmで表した厚さ
が反応サイクル数の関数として描かれている。膜は30
0℃において堆積させ、源材料Y(thd)3の温度は
120℃であった。パルス時間は、Y(thd)3
0.8秒で、O3が3.0秒であった。各源材料パルス
後のパージは1.0秒続けた。図3から膜の厚さは成長
サイクル回数に直線的に依存していることが理解され
る。
【0075】250〜350℃のALDウインドにおい
て成長させたY23膜は(100)配向している。より
高い温度において成長させた膜では(111)および
(440)配向も検出されている。400℃より高い温
度において成長させると、先行技術(Molsa,H.et a
l.,Adv.Mat.Opt.El.4(1994),389)で得られたのと同様
の結果が得られる。薄膜の配向や結晶性は各源材料のパ
ルス時間に従って変化はしなかった。
【0076】実施例2 酸化ジルコニウム(ZrO2)薄膜の調製および分析 ジルコニウム源材料としてCp2ZrCl2を用いて酸化
ジルコニウム薄膜を製造した。源材料の温度は140℃
であった。このZrO2薄膜は275〜325℃および
400〜450℃の各温度においてALDの原理に従っ
て成長させることができた。該第1の範囲では0.48
ナ/サイクルの成長速度が得られ、該第2の範囲では
0.53ナ/サイクルの成長速度が得られた。
【0077】これは、ZrO2薄膜の成長速度を成長温
度の関数として表した図4からも理解することができ
る。この実験では、源材料Cp2ZrCl2の温度は14
0〜150℃であった。Cp2ZrCl2およびO3のパ
ージ時間はそれぞれ0.8秒および3.0秒であった。
各源材料パルス後のパージは1.0秒続けた。
【0078】各源材料のパージ時間はいくつかの実験で
変化させた。1.0秒のCp2ZrCl2 パルスで基材
表面は飽和した。反応サイクルを終了させるのに1.5
秒のO3パルスが必要であった。図5には、サイクル当
たりのZrO2のナで表した成長速度がパルス時間の関数
として描かれている。成長温度は300℃であり、源材
料Cp2ZrCl2の温度は140〜150℃であった。
パージ時間は1.0秒であった。この図は、Cp2Zr
Cl2のパルス時間が約0.7秒以上(これらの実験
中、O3のパルス時間は3.0秒であった)で、O3のパ
ルス時間が約1.5秒以上(これらの実験中、Cp2
rCl2のパルス時間は0.8秒であった)である場合
に、成長速度がいかに実質的一定に維持されるかを示し
ている。
【0079】図6には、ZrO2薄膜のnmで表した厚
さが反応サイクル数の関数として表されている。膜は3
00℃において堆積させ、源材料Cp2ZrCl2の温度
は140〜150℃であった。パルス時間はCp2Zr
Cl2が0.8秒で、O3が3.0秒であった。各源材料
パルス後のパージは1.0秒続けた。図6から膜の厚さ
は成長サイクル回数に直線的に依存していることが理解
される。
【0080】XRFを用いて各ZrO2薄膜中に存在す
る潜在的Cl残渣を分析した。250〜275℃におい
てシリコンまたはガラス基板上に成長させた各薄膜中に
は約0.1重量%のClが存在していた。300〜32
5℃において成長させた各薄膜は約0.06〜0.07
重量%のCl含有量を示した。325℃より高い温度に
おいて成長させた膜では塩化物は検出されず、すなわち
塩素含有量は検出限界以下、すなわち約0.02重量%
以下であった。
【0081】XRDを用いて、異なる種々の温度におい
て成長させた各ZrO2薄膜を分析した。300℃より
低い温度においてシリコンまたはガラス基板上に成長さ
せた各ZrO2薄膜は殆どが非晶質であった。唯一、非
常に弱い、単斜晶系ZrO2の反射と解されるピークが
275℃において成長させた膜で観察された。300℃
において成長させた膜では、単斜晶系または立方晶系Z
rO2相の反射として複数のピークが同定された。45
0℃までの成長温度においては、単斜晶系(−111)
反射はより強くなった。300℃および450℃におい
てシリコン基板上に成長させた各膜のXRDパターンを
図7に示す。300℃において成長させた膜のパターン
の方が下に示されている。300℃および450℃にお
いて成長させた各膜の厚さはそれぞれ120nmおよび
90nmである。図中において各相を表すために用いて
いる各略号の意味はM=単斜晶系、C=立方晶系であ
る。この表示法はJCPDSの表(cards)36−420
および27−997(Joint Committee on Powder diffr
action Standards (JCPDS),1990)によるものである。
【0082】実施例3 イットリウム−安定化酸化ジルコニウム薄膜の調製およ
び分析 複数のYSZ薄膜を異なるパルスプログラムによって3
00℃の温度において成長させた。各パルスプログラム
において、Y(thd)3―パルス/パージ/O3−パル
ス/パージからなるパルスシーケンスの回数を、Cp2
ZrCl2―パルス/パージ/O3−パルス/パージから
なるパルスシーケンスの回数に関連させて変化させた。
【0083】薄膜の品質や成長速度は、基材の表面に最
初に堆積させた金属源材料の選択のいかんに依存しなか
った。
【0084】イットリウム−安定化酸化ジルコニウムの
成長速度はY/Zrパルス比に依存した。YSZの成長
速度を個別の各酸化物の総合成長速度と比較した場合、
1:1のパルス比においては、その成長速度は個別の各
酸化物の各成長速度から計算した値よりも約25%大き
いことは注目すべきである。イットリウムパルスシーケ
ンスの回数を増加させた場合、すなわち薄膜中のイット
リウム含有量を増加させた場合、その成長速度は上記の
計算値に近づいていく。このことは図9からも結論付け
ることができる。
【0085】300℃において成長させたYSZ膜は立
方晶系であり、主として(100)配位を有している
が、図10に示されるように、(111)、(220)
および(311)反射も検出された。図10は厚さ90
nmのYSZ薄膜についてのXRDパターンを示すもの
である。この膜は300℃において(100)シリコン
基板上に成長させたものである。Y/Zrパルス比は
1:1であった。相についてはJCPDSの表30−1
468に従って同定した。このXRDパターン中の各ピ
ークの位置はイットリウムの濃度の関数として変化す
る。これは単位格子の大きさが変わるためである。Y
0.15Zr0.851.93の(200)反射についてのJCP
DS基準値(表30−1468)はd=2.571Åで
ある。図11はYSZ薄膜のXRDパターン中の(20
0)ピークがY23/ZrO2比の変化につれてどのよ
うに変化するかを示している。図11中の鎖線は文献か
ら得た純粋な酸化物のd−値を基に引いた基準線であ
る。
【0086】300℃において成長させたYSZ膜中の
塩素含有量をXRFで分析した。Yの濃度が低いとき
は、各膜中のCl量は実質的にZrO2からなる膜中に
おける量よりも若干少ないようであった。膜中のY23
が20〜50重量%である範囲では、膜中のイットリウ
ム量の増加につれて膜中に導入されるClの量も増大し
た。このことは図12からも理解される。酸化イットリ
ウム濃度が50重量%のときにYSZ薄膜の最大塩素濃
度(0.23重量%)が検出された。
【0087】各YSZ膜の中央IR領域において測定し
たIRスペクトルは、おおむね異なる波数においてシリ
コン基板から得られるピークのみを示していた。YSZ
膜から得られる実際の各ピークはSi基板のIRスペク
トルを減算することにより検出することができた(図1
3参照)。この減算では、1100cm-1におけるSi
−O結合によるピークは完全には消えてはいない。図1
4は、イットリウム濃度が減少するにつれて、分析した
各膜中において高い波数への顕著なシフトがいかに検出
できるかを示すものである。Y23吸収の基準値は61
3cm-1である。
【0088】成長させた一連のY23、ZrO2および
YSZ各膜をX線光電子分光器(XPS)で分析した。
各試料中のY23濃度は0、3、10、30または10
0重量%であった。表面上で少量の炭素および酸素(C
2)が検出された。これは空気中で処理した試料に典
型的なものである。表面から測定したスペクトルを用い
て表面上の原子組成を計算し、原子比Y/ZrをX線蛍
光(XRF)測定により得られた結果と比較した。その
比較が図15に示されており、図中、XRFの結果から
計算したY23/ZrO2比をx軸に、XPSの結果に
従ったY/Zr比をy軸に示してある。直線はXPS−
HiRes測定に基づいて引いたものである。
【図面の簡単な説明】
【図1】Y23の成長速度を成長温度の関数として表し
たものである。
【図2】Y23の成長速度を各源材料のパルス時間の関
数として表したものである。
【図3】nmで表したY23薄膜の厚さを反応サイクル
数の関数として表したものである。
【図4】ZrO2の成長速度を成長温度の関数として表
したものである。
【図5】ZrO2の成長速度をパルス時間の関数として
表したものである。
【図6】ZrO2膜の厚さを反応サイクル数の関数とし
て表したものである。
【図7】300℃および450℃において成長させたZ
rO2薄膜のX線回折(XRD)パターンを表したもの
である。
【図8】ZrO2、YSZおよびY23薄膜の各パルス
シーケンス表したものである。
【図9】YSZ薄膜の成長速度を膜中のY23含有入寮
量の関数として表したものである。
【図10】(100)シリコン基板上に成長させたYS
Z薄膜(厚さ90nm)のXRDパターンを表したもの
である。
【図11】YSZ膜のXRDパターン中の(200)面
のd−値(面間隔)の変化をY23濃度の関数として表
したものである。
【図12】YSZ薄膜中の塩素濃度をY23の濃度の関
数として表したものである。
【図13】(100)シリコン基板のIRスペクトル
(a)、YSZ薄膜(Y2310重量%、厚さ120n
m)のIRスペクトル(b)および減算スペクトル
(c)を表したものである。
【図14】中央IR領域における波数のY23の濃度に
対する依存性を表したものである。
【図15】異なる分析方法で測定したY/Zr比を表し
たものである。

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 ALD式方法によって基材上に酸化物薄
    膜を製造する方法であって、 − 少なくとも一つの金属源材料、および − 基材表面に堆積された金属源材料で酸化物を形成で
    きる少なくとも一つの酸素源材料 の気相パルスを交互に反応空間へ供給し、基材と接触さ
    せる方法において、 イットリウム源材料およびジルコニウム源材料を交互に
    金属源材料として使用して、イットリウム安定化ジルコ
    ニウム酸化物(YSZ)薄膜を基材上に形成することを
    特徴とする方法。
  2. 【請求項2】 YSZ薄膜が、250〜500℃で、好
    ましくは275〜450℃で、特に約257〜325℃
    で、成長される請求項1に記載の方法。
  3. 【請求項3】 金属源材料のパルスサイクルが実質的
    に、 − 金属源材料の気相パルスを不活性キャリヤーガスと
    共に反応空間へ供給する工程、および − 反応空間を不活性ガスでパージする工程、 − 酸素源材料の気相パルスを反応空間へ供給する工
    程、および − 反応空間を不活性ガスでパージする工程 からなる請求項1または2に記載の方法。
  4. 【請求項4】 第1のパルスサイクルが、金属源材料と
    してイットリウム源材料を使用して行われる請求項1乃
    至3のいずれか1項に記載の方法。
  5. 【請求項5】 第1のパルスサイクルが、金属源材料と
    してジコニウム源材料を使用して行われる請求項1乃至
    3のいずれか1項に記載の方法。
  6. 【請求項6】 Y23パルスサイクル対ZrO2パルス
    サイクルのパルス比が、1:10〜10:1、好ましく
    は1:5〜5:1、より好ましくは1:3〜3:1、最
    も好ましくは1:1、である請求項1乃至5のいずれか
    1項に記載の方法。
  7. 【請求項7】 イットリウム源材料が、一般式(I)ま
    たは(II): YX3 (I) YX3B (II) (式中、Xは酸素から配位されたジケトン(すなわち、
    β-ジケトネート)、シクロペンタジエニルおよびシク
    ロペンタジエニルの誘導体からなる群より選択され、B
    は1以上の原子から中心原子に結合している中性アダク
    トリガンドである)を有する化合物の群から選択される
    請求項1乃至6のいずれか1項に記載の方法。
  8. 【請求項8】 Bが、炭化水素、酸素含有炭化水素(例
    えば、エーテル)、および窒素含有炭化水素(例えば、
    ビピリジン、フェナントロリン、アミンまたはポリアミ
    ン)からなる群より選択される請求項7に記載の方法。
  9. 【請求項9】 ジケトンが、一般式(III): 【化1】 (式中、R'およびR''は独立的に、直鎖または分枝鎖
    のC1-C10アルキルの群から、特に直鎖または分枝鎖の
    1-C6アルキルの群から、最も好ましくは-CH3、-C
    (CH33、-CF3および-C(CF33の群から選択
    される)を有する請求項7または8に記載の方法。
  10. 【請求項10】 シクロペンタジエニルの誘導体が、一
    般式(IV): C55-yR'''y (IV) (式中、R''' は直鎖または分枝鎖のC1-C10アルキル
    の群から、好ましくは直鎖または分枝鎖のC1-C6アル
    キルの群から、特にメチル(-CH3)、エチル、プロピ
    ル、ブチル、ペンチル、アルコキシ、アリール、アミ
    ノ、シアノおよびシリル基の群から選択され、yは1〜
    5の整数である)を有する請求項7乃至9のいずれか1
    項に記載の方法。
  11. 【請求項11】 ジルコニウム源材料が、一般式
    (V): R2ZrX2 (V) (式中、Rはシクロペンタジエニル(C55)またはシ
    クロペンタジエニルの誘導体であり、Xはハロゲン化
    物、水素、直鎖または分枝鎖のC1-C10アルキル、好ま
    しくはC1-C6アルキル、特にメチル、エチル、プロピ
    ルおよびブチル、メトキシ(-OCH3)および他の直鎖
    (例えば、-OC37)または分枝鎖のアルコキシド、
    アミン(-NR2)およびアセテート(−OCOR、例え
    ば、−OCOCF3)からなる群より選択される)を有
    する化合物の群から選択される請求項1乃至10のいず
    れか1項に記載の方法。
  12. 【請求項12】 シクロペンタジエニルの誘導体が、一
    般式(IV): C55-yR'''y (IV) (式中、R''' は直鎖または分枝鎖のC1-C10アルキル
    の群から、好ましくは直鎖または分枝鎖のC1-C6アル
    キルの群から、特にメチル(-CH3)、エチル、プロピ
    ル、ブチル、ペンチル、アルコキシ、アリール、アミ
    ノ、シアノおよびシリル基の群から選択され、yは1〜
    5の整数である)を有する請求項11に記載の方法。
  13. 【請求項13】 リガンドRが架橋され、したがって、
    構造−R−A−R−(式中、Aはメチル、式(CH2n
    (n=2〜6、好ましくは2または3)のアルキル基ま
    たは置換されている炭化水素(例えば、C(CH32
    を有する請求項11または12に記載の方法。
  14. 【請求項14】 酸素源材料が、水、酸素、過酸化水
    素、過酸化水素の水溶液、オゾン、窒素の酸化物、ハロ
    ゲン化物-酸素化合物、過酸(−O−O−H)、アルコ
    ール、アルコキシド、あらゆる酸素含有ラジカルおよび
    それらの混合物からなる群より選択される請求項1乃至
    13のいずれか1項に記載の方法。
  15. 【請求項15】 Y(thd)3がイットリウム源材料
    として使用され、ジシクロペンタジエニルジルコニウム
    クロライド(Cp2ZrCl2)がジルコニウム源材料と
    して使用され、O3またはO2とO3との混合物が酸素源
    材料として使用される請求項1乃至14のいずれか1項
    に記載の方法。
JP2001117318A 2000-04-14 2001-04-16 酸化物薄膜を製造する方法 Pending JP2001355070A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20000898A FI117979B (fi) 2000-04-14 2000-04-14 Menetelmä oksidiohutkalvojen valmistamiseksi
FI20000898 2000-04-14

Publications (1)

Publication Number Publication Date
JP2001355070A true JP2001355070A (ja) 2001-12-25

Family

ID=8558212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001117318A Pending JP2001355070A (ja) 2000-04-14 2001-04-16 酸化物薄膜を製造する方法

Country Status (4)

Country Link
US (5) US6548424B2 (ja)
JP (1) JP2001355070A (ja)
FI (1) FI117979B (ja)
TW (1) TW567584B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055093A (ja) * 2001-08-03 2003-02-26 Asm Microchemistry Oy イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2010506378A (ja) * 2006-06-02 2010-02-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
JP2012526811A (ja) * 2009-05-13 2012-11-01 リンデ アクチエンゲゼルシャフト 原子層堆積のための溶液ベースのジルコニウム前駆体
JP2014013742A (ja) * 2012-07-03 2014-01-23 Hyundai Motor Company Co Ltd 燃料電池用アノードの製造方法
US8853075B2 (en) 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
JP2015106713A (ja) * 2013-12-01 2015-06-08 アイクストロン、エスイー 誘電性複合体構造の作製方法及び装置
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
KR20180006990A (ko) * 2016-04-27 2018-01-19 어플라이드 머티어리얼스, 인코포레이티드 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR20190117395A (ko) * 2018-04-06 2019-10-16 어플라이드 머티어리얼스, 인코포레이티드 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
US20220259735A1 (en) * 2021-02-17 2022-08-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
KR20230069155A (ko) 2020-09-17 2023-05-18 가부시키가이샤 아데카 원자층 퇴적법용 박막 형성 원료 및 박막의 제조 방법

Families Citing this family (526)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7217615B1 (en) 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6722918B2 (en) * 2002-05-06 2004-04-20 Lyall Assemblies, Inc. Rail electrical connector system
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7030042B2 (en) * 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4046588B2 (ja) * 2002-10-10 2008-02-13 Necエレクトロニクス株式会社 キャパシタの製造方法
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US20040212025A1 (en) * 2003-04-28 2004-10-28 Wilman Tsai High k oxide
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7476461B2 (en) * 2003-12-02 2009-01-13 Nanodynamics Energy, Inc. Methods for the electrochemical optimization of solid oxide fuel cell electrodes
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060008696A1 (en) * 2004-06-30 2006-01-12 Suk-Won Cha Nanotubular solid oxide fuel cell
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7544596B2 (en) * 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8067793B2 (en) 2007-09-27 2011-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including storage capacitor with yttrium oxide capacitor dielectric
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
FR2935843B1 (fr) * 2008-09-11 2011-02-11 Commissariat Energie Atomique Electrolyte pour pile sofc et son procede de fabrication.
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
CN102272349A (zh) 2009-03-13 2011-12-07 株式会社艾迪科 含金属薄膜的制造方法中的残存水分子除去工艺及清洗溶剂
EP2237357B1 (en) 2009-03-23 2013-10-23 Sumitomo Metal Mining Co., Ltd. Ionic electrolyte membrane structure, method for its production and solid oxide fuel cell making use of ionic electrolyte membrane structure
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CA2801912A1 (en) 2010-06-08 2011-12-15 President And Fellows Of Harvard College Low-temperature synthesis of silica
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
CN103443906B (zh) 2011-03-04 2016-03-30 应用材料公司 触点清洁的方法
US20120251922A1 (en) 2011-03-28 2012-10-04 WATT Fuel Cell Corp Electrode for a solid oxide fuel cell and method for its manufacture
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR101288375B1 (ko) * 2011-06-13 2013-07-22 주식회사 엑스에프씨 원자막 증착법으로 형성된 이트리아-안정화 지르코니아 기능층을 포함하는 세리아계 전해질 및 이를 포함하는 고체 산화물 연료전지
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9853325B2 (en) 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US11996517B2 (en) 2011-06-29 2024-05-28 Space Charge, LLC Electrochemical energy storage devices
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101466967B1 (ko) * 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102251989B1 (ko) 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102147190B1 (ko) 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10283349B2 (en) * 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10760159B2 (en) * 2017-07-13 2020-09-01 Applied Materials, Inc. Methods and apparatus for depositing yttrium-containing films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
EP3762989A4 (en) 2018-03-07 2021-12-15 Space Charge, LLC THIN FILM SOLID STATE ENERGY STORAGE DEVICES
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62142780A (ja) * 1985-12-18 1987-06-26 Canon Inc 堆積膜形成法
JPH02210718A (ja) * 1989-02-10 1990-08-22 Toshiba Corp 酸化物超伝導体の気相成長方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH0618174B2 (ja) 1986-07-08 1994-03-09 シャープ株式会社 半導体基板
EP0325659B1 (en) * 1987-06-16 1993-05-05 Kawasaki Steel Corporation Complex compounds for forming thin film of oxide superconductor and process for forming thin film of oxide superconductor
JPS6427131A (en) 1987-07-21 1989-01-30 Nissin Electric Co Ltd Manufacture of superconductive thin film
JPH0519148Y2 (ja) * 1987-08-10 1993-05-20
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5173474A (en) * 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
FI84960C (fi) * 1990-07-18 1992-02-10 Planar Int Oy Lysaemnesskikt foer elektroluminescensdisplay.
JP2799134B2 (ja) * 1992-09-22 1998-09-17 三菱電機株式会社 チタン酸バリウムストロンチウム系誘電体薄膜用cvd原料およびメモリー用キャパシタ
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
US5420320A (en) * 1994-06-08 1995-05-30 Phillips Petroleum Company Method for preparing cyclopentadienyl-type ligands and metallocene compounds
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5912068A (en) * 1996-12-05 1999-06-15 The Regents Of The University Of California Epitaxial oxides on amorphous SiO2 on single crystal silicon
GB9709639D0 (en) * 1997-05-14 1997-07-02 Inorgtech Ltd Chemical vapour deposition precursors
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US6265222B1 (en) * 1999-01-15 2001-07-24 Dimeo, Jr. Frank Micro-machined thin film hydrogen gas sensor, and method of making and using the same
US6503561B1 (en) * 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
CN1258617C (zh) * 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR100760291B1 (ko) 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4696454B2 (ja) * 2003-04-24 2011-06-08 東ソー株式会社 新規有機イリジウム化合物、その製造方法、及び膜の製造方法
US6844271B2 (en) * 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US7238821B2 (en) * 2003-10-06 2007-07-03 Praxair Technology, Inc. Method for large scale production of organometallic compounds
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
CN101014730A (zh) * 2004-06-15 2007-08-08 阿维扎技术公司 用于形成多组分介电膜的系统和方法
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
JP4632765B2 (ja) * 2004-10-21 2011-02-16 株式会社Adeka アルコキシド化合物、薄膜形成用原料及び薄膜の製造方法
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
CN101156234B (zh) * 2005-03-31 2012-01-25 东京毅力科创株式会社 基板的氮化处理方法和绝缘膜的形成方法
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7713584B2 (en) * 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7531458B2 (en) * 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
DE102007002962B3 (de) * 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US20080173917A1 (en) * 2007-01-19 2008-07-24 Matthias Patz Selective deposition method
KR20100016477A (ko) * 2007-04-12 2010-02-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Ald/cvd용의 지르코늄, 하프늄, 티타늄 및 규소 전구체
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62142780A (ja) * 1985-12-18 1987-06-26 Canon Inc 堆積膜形成法
JPH02210718A (ja) * 1989-02-10 1990-08-22 Toshiba Corp 酸化物超伝導体の気相成長方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JPN6011020922; Heini Molsa: 'Growth of Yttrium Oxide Thin Films from beta-Diketonate Precursor' ADVANCED MATERIALS FOR ELECTRONICS 4, 1994, 389-400 *
JPN6011020926; Eui-Tae Kim: 'Characterization of Y2O3-Stabilized ZrO2 Thin Films by Plasma-Enhanced Metallorganic Chemical Vaper' J. Electrochem. Soc 140, 199309, 2625-2629 *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003055093A (ja) * 2001-08-03 2003-02-26 Asm Microchemistry Oy イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US9911590B2 (en) 2006-06-02 2018-03-06 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing
US9583335B2 (en) 2006-06-02 2017-02-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
KR101106349B1 (ko) * 2006-06-02 2012-01-18 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
US10217629B2 (en) 2006-06-02 2019-02-26 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8470402B2 (en) 2006-06-02 2013-06-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of depositing a metal-containing dielectric film
JP2010506378A (ja) * 2006-06-02 2010-02-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
JP2014039045A (ja) * 2006-06-02 2014-02-27 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
US8668957B2 (en) 2006-06-02 2014-03-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
JP2011071528A (ja) * 2006-06-02 2011-04-07 L'air Liquide-Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 誘電体フィルムを形成する方法、新規前駆体および半導体製造におけるそれらの使用
US8853075B2 (en) 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
JP2012526811A (ja) * 2009-05-13 2012-11-01 リンデ アクチエンゲゼルシャフト 原子層堆積のための溶液ベースのジルコニウム前駆体
JP2014013742A (ja) * 2012-07-03 2014-01-23 Hyundai Motor Company Co Ltd 燃料電池用アノードの製造方法
JP2020004985A (ja) * 2013-12-01 2020-01-09 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
KR20150063939A (ko) * 2013-12-01 2015-06-10 아익스트론 에스이 유전체 구조물들을 제조하기 위한 방법 및 장치
KR102393908B1 (ko) 2013-12-01 2022-05-02 유제누스 인크. 유전체 구조물들을 제조하기 위한 방법 및 장치
JP2015106713A (ja) * 2013-12-01 2015-06-08 アイクストロン、エスイー 誘電性複合体構造の作製方法及び装置
KR20200133195A (ko) * 2013-12-01 2020-11-26 유제누스 인크. 유전체 구조물들을 제조하기 위한 방법 및 장치
KR102181679B1 (ko) 2013-12-01 2020-11-24 유제누스 인크. 유전체 구조물들을 제조하기 위한 방법 및 장치
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9868753B2 (en) 2014-12-23 2018-01-16 L'Air Liquide, Société Anonyme our l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
JP2018082201A (ja) * 2016-04-27 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2022176952A (ja) * 2016-04-27 2022-11-30 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP7454612B2 (ja) 2016-04-27 2024-03-22 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
KR20180006990A (ko) * 2016-04-27 2018-01-19 어플라이드 머티어리얼스, 인코포레이티드 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
KR102230128B1 (ko) 2016-04-27 2021-03-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR20210077663A (ko) * 2018-04-06 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
KR102269066B1 (ko) * 2018-04-06 2021-06-23 어플라이드 머티어리얼스, 인코포레이티드 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
JP2019183278A (ja) * 2018-04-06 2019-10-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ゾーン制御された、希土類酸化物ald及びcvdコーティング
KR102526653B1 (ko) * 2018-04-06 2023-04-26 어플라이드 머티어리얼스, 인코포레이티드 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
JP7304192B2 (ja) 2018-04-06 2023-07-06 アプライド マテリアルズ インコーポレイテッド ゾーン制御された、希土類酸化物ald及びcvdコーティング
KR20190117395A (ko) * 2018-04-06 2019-10-16 어플라이드 머티어리얼스, 인코포레이티드 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
KR20230069155A (ko) 2020-09-17 2023-05-18 가부시키가이샤 아데카 원자층 퇴적법용 박막 형성 원료 및 박막의 제조 방법
US20220259735A1 (en) * 2021-02-17 2022-08-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11702744B2 (en) * 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods

Also Published As

Publication number Publication date
US20100266751A1 (en) 2010-10-21
US20080014762A1 (en) 2008-01-17
US20050020092A1 (en) 2005-01-27
FI20000898A0 (fi) 2000-04-14
FI117979B (fi) 2007-05-15
US7998883B2 (en) 2011-08-16
US20020042165A1 (en) 2002-04-11
TW567584B (en) 2003-12-21
FI20000898A (fi) 2001-10-15
US6777353B2 (en) 2004-08-17
US6548424B2 (en) 2003-04-15
US7351658B2 (en) 2008-04-01
US20030215996A1 (en) 2003-11-20
US7754621B2 (en) 2010-07-13

Similar Documents

Publication Publication Date Title
JP2001355070A (ja) 酸化物薄膜を製造する方法
KR100591508B1 (ko) 산화물 박막의 원자층 증착
TWI398543B (zh) 使用β-二酮亞胺金屬化合物之原子層沉積系統及方法
US8685165B2 (en) Metal oxide films
US7498272B2 (en) Method of depositing rare earth oxide thin films
KR100591507B1 (ko) 나노층 박막의 원자층 증착
US7005392B2 (en) Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100743768B1 (ko) 산화물 막의 성장 방법
US20060228888A1 (en) Atomic layer deposition of high k metal silicates
US20030185981A1 (en) Chemical vapor deposition method using alcohol for forming metal oxide thin film
US20060258078A1 (en) Atomic layer deposition of high-k metal oxides
US20040043635A1 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
Niinistö et al. Novel mixed alkylamido-cyclopentadienyl precursors for ALD of ZrO 2 thin films
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
KR20020061985A (ko) 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US20060088660A1 (en) Methods of depositing lead containing oxides films
Myllymäki et al. High-permittivity YScO 3 thin films by atomic layer deposition using two precursor approaches
KR100480756B1 (ko) 산화알루미늄 박막 제조 방법
KR20230072875A (ko) 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
Dubourdieu et al. The perovskite SrTiO3 on Si/SiO2 by liquid injection MOCVD
Niinisto Atomic layer deposition: a key technology for the controlled growth of oxide thin films for advanced applications
Hendrix et al. Comparison of Mocvd Precursors for Hf1-xSixO2 Gate Dielectric Deposition
KR20020053533A (ko) 반도체 소자의 유전체막 형성 방법

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20020411

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20031225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110425

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110725

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110728

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120409

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120709

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120712

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121227