KR100743768B1 - 산화물 막의 성장 방법 - Google Patents

산화물 막의 성장 방법 Download PDF

Info

Publication number
KR100743768B1
KR100743768B1 KR1020027006929A KR20027006929A KR100743768B1 KR 100743768 B1 KR100743768 B1 KR 100743768B1 KR 1020027006929 A KR1020027006929 A KR 1020027006929A KR 20027006929 A KR20027006929 A KR 20027006929A KR 100743768 B1 KR100743768 B1 KR 100743768B1
Authority
KR
South Korea
Prior art keywords
silicon dioxide
thin film
forming
film containing
compound
Prior art date
Application number
KR1020027006929A
Other languages
English (en)
Other versions
KR20020063196A (ko
Inventor
에바 아로
수비 헉카
마르코 투오미넨
Original Assignee
에이에스엠 인터내셔널 엔.붸.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.붸. filed Critical 에이에스엠 인터내셔널 엔.붸.
Publication of KR20020063196A publication Critical patent/KR20020063196A/ko
Application granted granted Critical
Publication of KR100743768B1 publication Critical patent/KR100743768B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 ALCVD 방법에 의해 성장 기판 상에 실리콘 산화물을 함유하는 박막을 형성하는 방법을 제공한다. 상기 방법에서, 증발 가능한 실리콘 화합물은 상기 성장 기판에 결합되고, 상기 결합된 실리콘 화합물은 이산화실리콘으로 전환된다. 본 발명은 적어도 하나의 유기 리간드를 함유하는 실리콘 화합물을 사용하고 상기 결합된 실리콘 화합물은 증발된 반응성 산소 소오스, 특히 오존과 접촉시킴으로써 이산화실리콘으로 전환된다. 본 발명은 충분히 짧은 반응 시간으로 SiO2를 함유하는 박막을 제어하여 성장시키는 제어된 프로세스를 제공한다.

Description

산화물 막의 성장 방법{METHOD OF GROWING OXIDE FILMS}
본 발명은 산화물 막을 생성하는 청구항 1의 전제부에 따른 방법에 관한 것이다.
이러한 방법에 따라 이산화실리콘을 함유하는 박막은 ALD(Atomic layer deposition) 방법에 의해 성장 기판 상에 증발 가능한 실리콘 화합물을 결합시키고 상기 결합된 규소 화합물을 이산화실리콘으로 전환시킴으로써 성장 기판 상에 생성된다.
또한 본 발명은 다중성분 산화물(즉, 혼합된 산화물 또는 3차 산화물)을 생성하는 청구항 20의 전제부에 따른 방법에 관한 것이다.
마이크로 전자 소자의 치수의 계속적인 감소로 인해 SiO2가 MOSFET(금속 산화물 반도체 전계 효과 트랜지스터)의 게이트 유전체(게이트 산화물)로서 더 이상 사용될 수 없는 상황을 야기하였는데, 이는 SiO2층이 요구된 캐패시턴스를 달성하기 위해 매우 박층으로 형성되어 투과 전류(tunneling current)가 소자의 작용 관점에서 불리하게 높게 증가하기 때문이다. 상기 문제점을 피하기 위해 SiO2는 보다 높은 유전 상수를 갖는 유전체 재료로 대체되어야 한다. 이러한 경우에 SiO2보다 두꺼운 유전체 재료층이 존재할 수 있다. 유사하게 DRAM 캐패시터의 캐패시턴스는 치수가 신속히 감소하여도 거의 일정하게 유지되어야 하고, 그러므로 이전에 이용되었던 SiO2 및 Si3N4는 이들보다 높은 유전 상수를 갖는 재료로 대체되어야 한다.
충분히 높은 유전 상수를 갖는 재료는 충분하지만, 문제는 고려된 유전체가 실리콘 표면 상에서 안정해야 하며, 가장 바람직하게 비정질이어야 하고 높은 후처리 온도 하에서 거의 변하지 않는 내구성이 있어야 한다. 특히 게이트 유전체 응용에서 전기적으로 활성인 결함이 거의 없는 상태가 실리콘의 경계 및 고유전율의 금속 산화물에 제공되어야 한다. 메모리 응용에서 캐패시터 유전체의 구조는 가해진 높은 활성화 온도로 인해 매우 안정해야 한다. 전술한 사실로 인해 SiO2를 보다 높은 유전 상수를 갖는 금속 산화물로 혼합하는 것이 바람직하다.
다양한 형태의 증착 중 화학 기상 증착(CVD)이 이산화실리콘을 형성하는데 사용되는 가장 빈번한 방법이다(특허 공보 JP9306906, US4845054, US4981724, US5462899, JP20868486, JP6158329, JP80061810, US4872947, JP7026383, US5855957 및 US5849644 참조). 상기 특허 공보에서 주로 TEOS(tetraethoxy silane)가 실리콘 소오스 재료로 사용되었고, 산소, 물, 과산화수소 또는 오존이 산소 소오스 재료로 사용되었다. 종래의 CVD에서 산소 소오스 재료는 항상 성장 기판에 실리콘 소오스 재료와 동시에 제공되었다.
종래의 CVD법은 프로세스를 제어하는데 난점이 있어서, 박층으로 충분히 양호한 커버리지와 양호한 등각성(conformality)이 CVD에 의해 항상 달성되지는 않는다.
본 발명은 이산화실리콘을 함유하고 있는 박막이 일반적으로 원자층 에피택시(ALE) 또는 원자층 증착(ALD)으로 공지된 원자층 화학 기상 증착(ALCVD)에 의해 형성된다는 점에 기초한다.
ALD는 박막을 형성하는 현재의 방법이다(미국 특허 공보 4085430). 상기 방법에 따라 박막은 서로 잘 분리된 포화 가능 표면 반응에 의해 성장된다. 포화는 화학흡착에 의해 제공된다. 즉, 반응 온도는 가스 소오스 재료가 성장 온도에서 안정하고, 표면 상에서 응측 또는 분해되지 않지만 표면의 반응 사이트, 예를 들어 산화물 표면 상에 존재하는 OH기 또는 산소 브리지(M-O-M)와 선택적으로 반응할 수 있는 온도로 선택된다. 반응성 사이트로 작용하는 OH기, 소위 리간드 교환 반응이 발생하며 여기서 공유 결합이 표면과 소오스 재료 사이에 형성된다.(화학 흡착) 산소 브리지가 관련될 때 분해 반응이 발생하며, 여기서 공유 결합이 형성된다(화학 흡착). 화학 흡착에 의해 형성된 결합은 매우 강하고 표면 상에 형성된 표면 구조물은 안정하여 일 분자층에 의해 표면의 포화를 가능케 한다. 리간드 교환 반응은 가스 또는 증발된 소오스 재료를 교번적으로 반응로 내에 유입시키고 소오스 재료의 펄스 사이에서 반응로를 불활성 가스로 퍼지함으로써 수행된다(티. 순토라의 고체 박막 215(1992)84; 니이니스퇴 등의 재료 공학 B41(1996)23). 또한 평평하고 균일한(even and uniform) 막이 ALCVD에 의해 큰 표면적 상에 형성될 수 있다. 따라서 막은 그루브 표면 뿐만 아니라 균일 및 불균일 표면 상에 형성될 수 있다. 반응 사이클의 회수에 의한 막의 두께 및 조성의 제어는 정확하고 단순하다.
이산화실리콘은 또한 ALD 방법에 의해 성장되어 왔다. 화합물 Si(NCO)4 및 N(C2H5)3(케이. 야마구치 등의 표면 공학 응용 (1998)130-132)가 소오스 재료로 사용되어 왔다. 소오스 재료로서 SiCl4 및 H2O를 사용하는 분자층 ALE 및 UHV-ALE 프로세스에 의한 이산화실리콘의 형성은 문헌 "표면 조사 및 논문, 6편, 제 3 및 4(1999)435-448"에 공지되어 있다.
이러한 공지된 해결책의 단점은 오랜 반응 시간이며, 이러한 이유로 인해 제안된 프로세스는 실제 생산 라인에 적용될 수 없다.
본 발명의 목적은 선행 기술과 관련된 단점을 제거하고 충분히 짧은 반응 시간으로 SiO2를 함유하는 박막의 제어된 성장을 가능케 하는 신규의 방법을 제공하고자 하는 것이다.
본 발명은 전술한 목적이 실리콘 소오스로서 유기 리간드를 함유하는 실리콘 화합물과 산소 소오스 재료로서 오존과 같은 반응성 산소 소오스를 이용함으로써 달성될 수 있음을 기초로 한다. 이산화실리콘의 양이 제어된 방식으로 변화될 수 있는 다중성분 산화물은 제안된 해결책에 의해 용이하게 제조될 수 있다.
더욱이, 본 발명과 관련하여 실리콘의 대응 소오스 재료 및 소정의 다른 반금속(semimetal) 또는 금속으로부터 ALD 방법에 의해 그리고 적절한 산소 소오스를 이용함으로써 다중성분 산화물, 즉 "3차 산화물"을 성장시키면 다중성분 산화물의 성장속도가 개개 산화물의 성장속도보다 더 크다는 사실이 예상외로 발견되었다. 그러므로 본 발명에 따라 다중성분 산화물은 적절한 증기 실리콘 화합물을 가스상으로부터 성장 기판 상에 결합시키는 단계, 상기 결합된 실리콘 화합물을 이산화실리콘으로 전환시키는 단계, 증기 금속 화합물 또는 또다른 반금속 증기 화합물을 가스상으로부터 성장 기판 상에 결합시키는 단계 및 상기 결합된 금속 화합물 또는 또다른 반금속 화합물을 대응하는 산화물로 전환시키는 단계에 의해 제조되고, 이에 의해 실리콘 화합물 및 또다른 반금속 및 금속 중 어느 하나 이상의 화합물이 소정의 순서로 성장 기판 상에 결합된다.
보다 구체적으로, 본 발명의 제 1 실시예에 따른 산화물 막을 형성하는 방법은 청구항 1의 특징부에 기술된다.
본 발명에 따른 다중성분 산화물 막을 형성하는 방법은 청구항 20의 특징부에 기술된다.
본 발명에 의해 현저한 장점이 달성된다. 그러므로, ALD 프로세스는 높은 유전성을 갖는 실제적인 금속 산화물을 형성하기 전에 이산화실리콘 및 금속 산화물을 함유하는 다단 층간(interlayer)의 형성 가능성을 제공한다. 캐패시터 유전체의 안정성은 비정질 이산화실리콘을 유전체 내에 혼합시킴으로써 증가될 수 있다. 다중성분 산화물의 제조와 이에 의해 달성된 장점이 후술된다.
본 발명에 의해 순수 이산화실리콘 막이 제조될 수 있음을 주목해야 한다. 이러한 이산화실리콘 재료는 또한 소위 쉘로우 트렌치 격리(STI, shallow trench isolation) 구조물에 사용될 수 있다. STI의 기능은 회로 및 메모리 구조물 내에서 트랜지스터를 서로 격리시키는 것이다. 현재 측 방향으로는 광폭의 소위 LOCOS 격리가 사용되고 있지만, 이는 그 크기로 인해 미래의 회로에 사용되기에는 부적절하다. STI 기술에서 유전체, 즉 이산화실리콘으로 충진된 수평의 좁고 깊은 트렌치는 회로 사이에서 에칭된다. 트렌치의 깊이는 폭보다 크기 때문에 STI는 에칭된 격리 트렌치를 등각으로 충진할 수 있는 방법을 요구한다. 종래의 CVD 방법에 의해 STI 트렌치는 충진될 수 있지만 종종 트렌치는 STI 격리의 중앙에서 공극의 형성을 피하기 위해 상부에서 넓혀져야 한다. 트렌치의 확대는 STI 영역의 증가, 즉 격리 영역의 크기를 증가시킨다. ALD는 불균일한 성장 기판, 특히 좁은 트렌치 상에 공극을 형성함이 없이 균일한 품질의 이산화실리콘을 형성할 수 있음을 특징으로 하기 때문에 STI를 형성하는데 특히 적합한 프로세스이다. ALD를 이용함으로써 회로 사이에 보다 좁은 격리를 가능케 하고 이에 의해 회로의 패킹 밀도가 증가될 수 있다.
자기 기록에 필요한 소자에서 이산화실리콘은 기록/판독 헤드 및 기록/판독 헤드의 캡슐화에서 격리층으로 사용될 수 있다. 이미 형성된 층의 자기 특성의 파괴를 피하기 위해, 프로세싱 온도는 모든 단계에서 낮게 유지되어야 한다. 일반적으로, 물리적(스퍼터링) 방법이 상기 분야에서 사용되는데, 상기 방법의 문제점은 생성된 막의 불균일성이다. ALD는 물리적 및 전기적으로 균질한 박막을 형성할 수 있다. 균일한 커버리지와 전기적으로 균질한 SiO2 박막을 제공하는 저온 ALD 이산화실리콘 프로세스를 이용하는 것이 특히 바람직하다. 이러한 방식으로 상기 프로세스 단계의 재현가능성 및 신뢰성이 증가될 수 있다.
전계 방출 표시소자(FED)에서 큰 표면 상에 균일한 박막을 형성하는 막 증착 방법이 요구된다. 낮은 성장 온도와 제조된 이산화실리콘 막의 균일성으로 인해 ALD 이산화실리콘 프로세스는 전계 방출 표시소자용 유전체 층을 제조하는데 매우 적절하다.
결합된 실리콘 화합물을 전환시키기 위해 특히 오존, 과산화수소 및 산소 라디칼과 같은 반응성 산소 소오스를 이용함으로써 이산화실리콘의 형성 온도는 상당히 감소될 수 있다. 본 발명에 따라 이는 특히 450℃ 이하, 가장 바람직하게 400℃ 이하의 온도에서 작동될 수 있다. 이러한 경우에 전체 성장 사이클은 동일한 온도에서 수행될 수 있으며, 이는 산업적 프로세싱에서 상당히 중요하다. 부가적으로, 이러한 반응성 산소 소오스를 사용함으로써 예를 들어 물에 의해 전환시킬 수 없는 광범위한 그룹의 유기 실리콘 화합물이 이용될 수 있다.
이후 본 발명은 상세한 설명을 참조하여 보다 자세히 설명된다.
본 발명에 따른 해결책에서, 이산화실리콘 박막과 이산화실리콘과 혼합된 막은 바람직하게 150℃ 내지 450℃ 범위의 온도에서 ALD 반응로 내에서 성장된다. 심지어 평탄한(예를 들어, 유리 또는 웨이퍼) 또는 그루브진 평탄한 재료가 기판으로 사용될 수 있다. 기판의 표면 상에 소위 막이 성장되는 반구 결정(HSG, hemispherical grain) 구조물이 존재할 수 있다. 부가적으로, 큰 표면적을 갖는 분말 재료가 기판으로 사용될 수 있다. "성장 기판"이란 용어는 본 발명에서 박막이 성장하는 표면을 나타낸다. 표면은 전술한 기판 또는 기판 또는 다른 구조물 상에 성장된 박막으로 구성될 수 있다.
ALD 프로세스에 따라 실리콘 소오스 재료는 증발되고 기판 상에서 반응하여 리간드 교환 반응 또는 분리 반응을 통해 표면 상에 하나의 화학흡착된 분자 층을 형성한다. 반응 후 반응 공간은 반응하지 않은 소오스 재료와 반응 생성물을 반응 공간으로부터 제거하기 위해 불활성 가스로 주의 깊게 퍼지된다. 본 발명과 관련하여 적어도 하나의 유기 리간드를 포함하는 증발 가능한 실리콘 화합물이 실리콘 소오스 재료로 사용된다. "유기 리간드"란 유기 화합물로부터 유도되는 수소 카르빌기를 나타낸다. 그러므로 이러한 리간드는 그 자체로 C-C 결합(예를 들어 에틸기)을 가지거나 탄소를 경유해서 실리콘 원자에 결합되며 또는 C-H 결합(들)을 갖는다. 바람직한 실시예에 따라, 실란, 실록산 또는 실라제인이 증발 가능한 실리콘 화합물로 사용된다. 이들은 상업적으로 이용 가능한 화합물이다.
특히 바람직하게 10mbar의 압력에서 400℃ 이하의 비등점을 갖는 실리콘 화합물이 선택된다. 그러므로 ALD 프로세스는 150℃ 내지 400℃의 전술한 바람직한 온도 범위에서 수행될 수 있다.
다음은 바람직한 실란, 실록산 및 실라제인 화합물의 예로서 언급될 수 있다.
실란의 화학식은
SimL2m+2이며 (Ⅰ)
여기서 m은 1 내지 3의 정수이며,
실록산의 화학식은
SiyOy-1L2y+2이며 (Ⅱ)
여기서 y는 2 내지 4의 정수이며,
실라제인의 화학식은
SiyNHy-1L2y+2이며 (Ⅲ)
여기서 y는 2 내지 4의 정수이다.
화학식 (Ⅰ) 내지 (Ⅲ)에서 각각의 L은 독립적으로 F, Cl, Br, I, 알킬, 아릴, 알콕시, 비닐(-CH=CH2), 시아노(-CN), 아미노, 시릴(H3Si-), 알킬시릴, 알콕시시릴, 시릴렌 또는 알킬실록산일 수 있으며, 여기서 알킬 및 알콕시기는 선형 또는 가지형일 수 있으며 적어도 하나의 치환기를 포함할 수 있다. 일반적으로 알킬 및 알콕시기는 1 개 내지 10 개의 탄소 원자, 가장 바람직하게 1 개 내지 6 개의 탄소 원자를 함유한다.
특히 바람직한 실리콘 화합물의 예로서 3-아미노알킬트리알콕시 실란, 예를 들어 3-아미노프로필트리에톡시 실란 NH2-CH2CH2CH2-Si(O-CH 2CH3)3 (AMTES)와 3-아미노프로필트리메톡시 실란 NH2-CH2CH2CH2-Si(O-CH3) 3 (AMTMS) 및 헥사-알킬디실라제인 (CH3)3Si-NH-Si(CH3)3 (HMDS)과 같은 아미노 치환 실란 및 실라제인이 언급될 수 있다.
실리콘 화합물은 가스상 반응과 관련하여 ALD 프로세스 중에 형성될 수 있으며 실리콘 화합물의 결합 중에, 하이드록실 및 선택적으로 성장 기판 상의 산화물기에 결합될 수 있는 신규의 가스상 실리콘 화합물이 형성된다. 본 발명에서 이러한 현상은 실리콘 화합물의 "인시츄" 형성이라고 불린다. 이러한 인시츄 방식으로 형성된 실리콘 화합물은 일반적으로 실란 화합물, 예를 들어 화학식 SiL1L2L3L4를 갖는 실란 화합물을 포함하며, 여기서 L1은 아미노기를 나타내고 L2 내지 L4는 알킬 또는 알콕시기를 나타낸다. 이러한 실란 화합물은 예를 들어 성장 기판이 0.1 내지 50mbar 범위의 압력 및 350℃ 내지 450℃ 범위의 온도에서 헥사알킬디실라제인과 접촉할 때 형성된다.
실리콘 화합물의 결합 후 적절한 반응성 산소 소오스가 반응 공간 내에 유입되고, 상기 산소 소오스는 성장 표면 상에서 실리콘 화합물을 이산화실리콘으로 전환시킨다. 다음에 본 발명은 오존을 갖는 예로 상세히 설명된다. 그러나 오존 대신에 아래에 상세히 리스트된 다른 산소 소오스 재료가 많은 경우에 사용될 수 있음을 주목해야 한다. 사용된 실리콘 화합물의 스펙트럼과 프로세싱 온도가 관련되는 한 오존을 사용한 많은 장점이 달성될 수 있다.
반응 공간 내에 유입되는 오존은 표면 상에서 OH기와 산소 브리지를 형성하는 화학흡착된 실리콘 소오스 재료의 리간드와 반응한다. 즉, 오존은 유기 리간드와 연소하고 연소 반응에서 형성된 물은 또다른 OH기를 형성한다. 반응 후 반응 공간은 반응하지 않은 오존과 반응 생성물을 제거하기 위해 불활성 가스로 매우 주 의 깊게 퍼지된다. 이러한 4 단계가 합쳐져 하나의 성장 사이클을 이룬다. 성장 사이클은 막이 소정의 두께를 가질 때까지 반복된다.
다중성분 막은 소오스 재료를 변화시킴으로써, 즉 이산화실리콘 성장 사이클 사이에서 성장 기판 상에 소정의 다른 산화물을 성장시킴으로써 달성된다. 본 발명의 관점으로부터 산화물 화합물의 성장 순서는 선택적일 수 있다.
다중성분 산화물, 일반적으로 MSiOX는 금속 소오스 재료를 증발시키는 단계 및 상기 증발된 금속 소오스 재료를 기판 상으로 유입시키는 단계에 의해 성장되며, 상기 금속 소오스 재료는 기판 상에서 반응하여 리간드 교환 반응 및 분해 반응 중 어느 하나 이상을 통해 표면 상에 하나의 분자층을 형성한다. 반응 후 반응 공간은 반응 공간으로부터 반응하지 않은 소오스 재료와 반응 생성물을 제거하기 위해 불활성 가스로 주의 깊게 퍼지된다. 그 후 산소 소오스 재료가 반응 공간 내에 유입되고, 상기 산소 소오스 재료는 화학흡착된 금속 화합물 착물(예를 들어, 지르코늄 착물)의 잔류 리간드(예를 들어 염화물 리간드)와 반응하여 표면 상에 신규의 OH기와 산소 브리지를 형성한다. 반응 후 반응 공간은 주의 깊게 다시 퍼지된다. 다음 단계에서 전술한 이산화실리콘의 성장 사이클이 수행될 수 있다.
다중성분 산화물의 경우에 소정의 전술한 실리콘 소오스 재료가 실리콘 화합물로서 이용될 수 있다. 그러나, 전술한 아미노 화합물 뿐만 아니라 실리콘의 할로겐 화합물(실리콘 테트라클로라이드, 실리콘 테트라플루오라이드, 실리콘 테트라이오다이드 등)이 실리콘 소오스 재료로 사용되기에 적합함을 주목해야 한다. 소 정의 후술되는 특정 산소 소오스가 산소 소오스로서 사용될 수 있지만, 물 또는 오존이 가장 바람직하다.
하나 이상의 금속 또는 반금속이 다중성분 산화물(즉, 3차 산화물)의 제 2 양이온으로 작용할 수 있다. 원소 주기율표의 Ⅳb족의 금속 및 반금속 뿐만 아니라 희토류 금속, 즉 란탄 및 란탄노이드를 포함하는 원소 주기율표의 Ⅲa, Ⅳa 및 Ⅴa(전이 금속)족에 속하는 금속이 특히 전술된 금속일 수 있다.
금속 또는 반금속(예를 들어 게르마늄)에 대한 소오스 금속으로서 소정의 안정한 증발 가능한 미지의(in question) 금속 화합물이 사용될 수 있다. 실시예의 경우에(실시예 2 참조) 다음의 금속 소오스 재료, 즉 알루미늄 소오스 재료로서 알루미늄 클로라이드, 티탄 소오스 재료로서 티탄 테트라클로라이드(TiCl4), 탄탈 소오스 재료로서 탄탈 펜타클로라이드(TaCl5), 하프늄 소오스 재료로서 하프늄 테트라클로라이드(HfCl4), 지르코늄 소오스 재료로서 지르코늄 테트라클로라이드(ZrCl4), 이트륨 소오스 재료로서 이트륨 베타디케토네이트(Y(thd)3) 및 란탄 소오스 재료로서 란탄 베타디케토네이트(La(thd)3)가 사용되었다. 실시예의 경우에 수증기(H2O)가 알루미늄, 티탄, 지르코늄 및 하프늄 및 탄탈 소오스 재료와 함께 산소 소오스로서 사용되었으며 오존(O3)은 란탄 및 이트륨 소오스 재료와 함께 산소 소오스로 사용되었다.
다양한 농도의 이산화실리콘을 함유하는 다중성분 막, 예를 들어 SiAlOx, SiTiOx, SiTaOx, SiHfOx, SiZrOx, SiYOx, SiLaOx가 본 발명에 따라 실리콘 소오스 재료와 오존의 반응 사이클 수를 변화시킴으로써 성장될 수 있다. 전술한 화학식에서 산화물의 양은 변할 수 있고 산화물은 항상 완전한 화학양론적인 것은 아니다.
금속 산화물과 이산화실리콘 사이클의 양의 비는 변할 수 있다. 금속 산화물의 사이클 수는 1 내지 1000회 범위에서 변할 수 있으며 이산화실리콘의 사이클 수는 1 내지 1000회 범위에서 변할 수 있다. 바람직하게 금속 산화물의 사이클 수는 1 내지 50회 범위에서 변할 수 있으며 이산화실리콘의 사이클 수는 1 내지 50회 범위에서 변할 수 있다. 금속 산화물의 사이클/이산화실리콘의 사이클 비를 미지, 예를 들어 10 : 1 내지 1 : 10 범위로 변화시킴으로써 혼합된 산화물의 물성은 완전 혼합된 산화물에서 나노라미네이트 구조까지 제어된 방식으로 변할 수 있다.
다중성분 산화물의 성장에서 다중성분 산화물의 성장 속도는 다중성분의 산화물이 형성되는 개개 산화물의 성장 속도 보다 크다. 예를 들어 Y(thd)3 및 오존으로부터 Y2O3의 성장 속도 뿐만 아니라 La(thd)3 및 오존으로부터 La2O3의 성장 속도는 동일한 시간에 대해 3-아미노프로필메톡시 실란 및 오존으로부터 SiO2의 성장 속도와 동일한 0.2Å/사이클이다. 이산화실리콘과 전술한 금속 산화물의 혼합된 산화물을 1 : 1의 사이클 비로 준비함으로써, 3 배 이상의 성장 속도, 즉 0.7Å/사이클이 달성된다.
ALD 기술에 사용되기에 적절한 소정의 산소 화합물은 상기 이산화실리콘 및 다중성분 산화물 프로세스에서 산소 소오스로서 작용할 수 있다. 바람직한 산소 소오스 재료는 예를 들어 물, 산소 및 과산화수소 및 과산화수소의 수용액이다. 가장 바람직하게 유기 리간드를 포함하는 실리콘 화합물을 향해 물 보다 큰 반응성을 갖는 이러한 산소 소오스가 사용된다. 전술한 것처럼 특히 바람직한 산소 소오스 재료는 오존(03)이다. 오존은 오존 생성기에 의해 생성될 수 있으며 오존은 질소 가스(또는 유사한 불활성 가스)의 도움으로 반응 공간 내에 가장 바람직하게 유입되고 이에 의해 오존의 농도는 약 1 내지 30 부피%, 바람직하게 약 2 내지 25 부피%이다.
소오스 재료로서 오존을 사용함으로써 실리콘 소오스 재료의 유기 리간드, 즉 선형 Si-C 결합을 형성하는 상기 리간드는 Si-O-C 결합을 형성하는 실리콘 소오스 재료, 예를 들어 알콕시 리간드의 다른 가능한 리간드가 제어되지 않은 방식으로 분해되지 않는 그러한 온도에서 변화될 수 있다.
다음의 하나 이상의 화합물이 산소 소오스 재료로서 사용될 수 있다.
- N2O, NO 및 NO2와 같은 질소 산화물,
- 예를 들어 이산화염소(ClO2) 및 과염소산(HClO4)과 같은 옥시할로겐 화합물,
- 예를 들어 과벤조산(C6H5COOOH) 및 과아세트산(CH3COOOH)과 같은 과산(-O-O-H),
- 메탄올(CH3OH) 및 에탄올(CH3CH2OH)과 같은 알코올, 및
- 예를 들어 산소 라디칼(O¨) 또는 수산기 라디칼(˙OH)과 같은 다양한 라디칼.
다음의 비제한적인 실시예는 본 발명을 설명한다.
실시예 1
SiO2 막은 유동 형태 F-120의 ALCVD 반응로(에이에스엠 마이크로케미스트리사) 내에서 성장되었다. 3-아미노프로필트리에톡시 실란 NH2-CH2CH2CH2-Si(O-CH2CH3)3 (AMTES), 3-아미노프로필트리메톡시 실란 NH2-CH2CH2CH2-Si(O-CH3)3 (AMTMS) 및 헥사메틸디실라제인 (CH3)3Si-NH-Si(CH3)3 (HMDS)가 실리콘 소오스 재료로 사용되었다. 오존(O3)은 산소 소오스 재료로 사용되었다. AMTES 및 AMTMS는 반응로 내에 있다. 오존과 HMDS는 외부로부터 반응로 내로 유입된다. AMTES의 반응 온도는 200 또는 300℃이며, AMTMS의 반응 온도는 300℃이고 HMDS의 반응 온도는 400℃이다.
AMTES로부터 SiO2의 성장은 교번적인 AMTES 및 오존 펄스의 도움으로 수행되고 AMTES 및 오존 펄스 사이에 반응 공간은 소오스 재료가 반응 공간 내에 동시에 존재하지 않도록 주의 깊게 퍼지된다. AMTES 펄스의 기간은 1.0초이고 퍼지 펄스의 기간은 2초이다. 오존 펄스의 기간은 4.0초이고 퍼지 펄스의 기간은 4.0초이다. SiO2의 성장 속도는 300℃의 반응 온도에서 0.15Å/반응 사이클이고 200℃의 반응 온도에서 0.28Å/사이클이다. 300℃에서 성장된 이산화실리콘의 굴절율은 1.4이다. 소오스 재료로서 AMTMS를 사용하면 퍼지 시간은 각각 0.5초, 0.5초, 2.0초 및 1.0초이며, 성장 속도는 0.16Å/반응 사이클이다.
HMDS로부터 SiO2의 성장은 전술한 것과 동일한 방식으로 수행되었다. HMDS 펄스의 기간은 0.5초이고 퍼지 펄스의 기간은 2초이다. 오존 펄스의 기간은 2.5초이고 퍼지 펄스의 기간은 1초이다. 성장 속도는 0.17Å/반응 사이클이고 굴절율의 값은 1.48 내지 1.57 범위로 변한다.
상기 결과에 기초해서, 오존은 ALD 프로세스에 의해 이산화실리콘을 성장시키기 위해 증발 가능한 실리콘 소오스 재료와 함께 사용될 수 있다. 실리콘 소오스 재료 중에 AMTES 및 AMTMS의 장점은 오존이 산소 소오스로 사용될 때 낮은 반응 온도이다. 또한 이는 금속 염화물과 다른 산화물은 분해 없이 350℃ 이상의 반응 온도에서 결딜 수 없기 때문에 다중성분 산화물의 제조를 가능케 한다.
실시예 2
다중성분 산화물은 실리콘 소오스 재료로서 AMTMS를 사용하여 상기 반응로 내에 성장된다. 상기 성장 프로세스에서 AMTMS 펄스는 0.5초이고, 퍼지 펄스는 0.5초이며, 오존 펄스는 3.5초이고 퍼지 펄스는 1초이다. 금속 소오스 재료의 펄스는 대응되게 0.5초이고 퍼지 펄스는 0.5초이다. 물이 산소 소오스로 사용된다면 물 펄스의 기간은 0.2초이고 퍼지 펄스의 기간은 0.5초이다. 금속 소오스 재료와 함께 오존을 사용하면 오존 펄스의 기간은 3.5초이고 퍼지 펄스의 기간은 0.5초이다. 성장 속도와 사이클 비가 표 1에 도시된다.
Figure 112002016992531-pct00001
다중성분 표본은 화학분석용 전자분광기(ESCA)에 의해 분석된다. 박막 표본은 다중성분 산화물이 매우 균질하게 보이는 세 개의 상이한 사이트에서 분석된다. 더욱이, 성장 화학물이 바람직할 때 ALD 프로세스용으로 일반적인 다중성분 산화물은 매우 균일하다.

Claims (29)

  1. ALD법을 이용하여 기판 상에 이산화실리콘을 함유하는 박막을 형성하는 방법으로서,
    증발 가능한 실리콘 화합물이 성장 기판에 결합되고, 그리고
    상기 결합된 실리콘 화합물이 이산화실리콘으로 전환되는, 박막 형성 방법에 있어서,
    하나 이상의 유기 리간드를 함유하는 실리콘 화합물을 사용하는 단계, 및
    상기 결합된 실리콘 화합물을 증발된 반응성 산소 소오스와 접촉시킴으로써 이산화실리콘으로 전환시키는 단계의 조합을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  2. 제 1 항에 있어서,
    증발 가능한 실리콘 화합물로서 실란, 실록산 또는 실라제인을 이용하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 증발 가능한 실리콘 화합물의 비등점이 10mbar의 압력에서 400℃ 이하인 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 실리콘 화합물의 화학식이
    SimL2m+2이며, (Ⅰ)
    여기서 m은 1 내지 3의 정수이며, 또는
    SiyOy-1L2y+2이며, (Ⅱ)
    여기서 y는 2 내지 4의 정수이며, 또는
    SiyNHy-1L2y+2이며, (Ⅲ)
    여기서 y는 2 내지 4의 정수이며,
    화학식 (Ⅰ) 내지 (Ⅲ)에서 각각의 L은 독립적으로 F, Cl, Br, I, 알킬, 아릴, 알콕시, 비닐(-CH=CH2), 시아노(-CN), 아미노, 시릴(H3Si-), 알킬시릴, 알콕시시릴, 시릴렌 또는 알킬실록산일 수 있으며, 여기서 상기 알킬 및 알콕시기는 선형 또는 가지형일 수 있으며 하나 이상의 치환기를 포함하고, 하나 이상의 L은 유기 리간드인 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    실리콘 화합물로서 알킬 및 알콕시기를 모두 포함하며, 이들 중 하나 이상이 치환될 수도 있는 화합물을 사용하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  6. 제 5 항에 있어서,
    실리콘 화합물로서 3-아미노알킬트리알콕시 실란 또는 헥사-알킬디실라제인을 사용하고, 상기 알킬 및 알콕시기는 1 내지 10개의 탄소 원자를 함유하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    기판 표면 상에 하이드록실 및 선택적으로 산화물기를 갖는 성장 기판을 사용하여, 상기 실리콘 화합물이 상기 하이드록실 및 선택적으로 상기 산화물기와 반응하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  8. 제 7 항에 있어서,
    하이드록실 및 선택적으로 산화물기와 반응할 수 있는 상기 가스상 실리콘 화합물이 인시츄 방식으로 형성되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  9. 제 8 항에 있어서,
    인시츄 방식으로 형성된 상기 실리콘 화합물이 실란 화합물을 포함하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  10. 제 9 항에 있어서,
    상기 실란 화합물의 화학식이 SiL1L2L3L4이며, L1은 아미노기를 나타내고 L2 내지 L4는 알킬 또는 알콕시기를 나타내는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  11. 제 8 항에 있어서,
    상기 가스상 실리콘 화합물이 0.1 내지 50mbar의 압력 및 350℃ 내지 450℃의 온도에서 상기 성장 기판을 헥사-알킬디실라제인과 접촉시킴으로써 형성되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 증발된 반응성 산소 소오스가 물, 산소, 과산화수소, 과산화수소 또는 오존의 수용액 및 이들의 조합물인 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 반응성 산소 소오스가,
    N2O, NO 및 NO2와 같은 질소 산화물,
    이산화염소(ClO2) 및 과염소산(HClO4)과 같은 옥시할로겐 화합물,
    과벤조산(C6H5COOOH) 및 과아세트산(CH3COOOH)과 같은 과산(-O-O-H),
    메탄올(CH3OH) 및 에탄올(CH3CH2OH)과 같은 알코올, 및
    산소 라디칼(O¨) 또는 수산기(˙OH)인 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    하나 이상의 유기 리간드를 함유하는 실리콘 화합물을 사용하고 상기 결합된 실리콘 화합물은 1 내지 30부피%의 오존 농도를 갖는 오존 함유 가스와 접촉됨으로써 이산화실리콘으로 전환되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 성장 기판 상에의 상기 실리콘 화합물의 결합과 상기 결합된 실리콘 화합물의 이산화실리콘으로의 전환이 본질적으로 동일한 온도에서 수행되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    이산화실리콘 막이 상기 성장 기판 상에 형성되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    이산화실리콘을 함유하는 혼합된 산화물 막이 상기 성장 기판 상에 형성되는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  18. 제 17 항에 있어서,
    지르코늄, 티탄, 하프늄, 탄탈, 알루미늄, 이트륨 및 란탄 산화물 중 하나 이상을 함유하는 혼합된 산화물 막을 형성하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  19. 제 18 항에 있어서,
    지르코늄, 티탄, 하프늄 및 탄탈 산화물을 형성하는데 사용되는 반응물이 상기 원소의 증발 가능한 할로겐 화합물을 포함하며 상기 사용된 반응성 산소 소오스가 물을 포함하는 것을 특징으로 하는,
    이산화실리콘을 함유하는 박막 형성 방법.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
KR1020027006929A 1999-12-03 2000-12-04 산화물 막의 성장 방법 KR100743768B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI992616A FI118804B (fi) 1999-12-03 1999-12-03 Menetelmä oksidikalvojen kasvattamiseksi
FI19992616 1999-12-03
PCT/FI2000/001072 WO2001040541A1 (en) 1999-12-03 2000-12-04 Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020077000137A Division KR100731394B1 (ko) 1999-12-03 2000-12-04 산화물 막의 성장 방법

Publications (2)

Publication Number Publication Date
KR20020063196A KR20020063196A (ko) 2002-08-01
KR100743768B1 true KR100743768B1 (ko) 2007-07-30

Family

ID=8555703

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077000137A KR100731394B1 (ko) 1999-12-03 2000-12-04 산화물 막의 성장 방법
KR1020027006929A KR100743768B1 (ko) 1999-12-03 2000-12-04 산화물 막의 성장 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020077000137A KR100731394B1 (ko) 1999-12-03 2000-12-04 산화물 막의 성장 방법

Country Status (8)

Country Link
US (4) US7771533B2 (ko)
EP (1) EP1248865B1 (ko)
JP (1) JP5420129B2 (ko)
KR (2) KR100731394B1 (ko)
AU (1) AU2374301A (ko)
FI (1) FI118804B (ko)
TW (1) TWI226380B (ko)
WO (1) WO2001040541A1 (ko)

Families Citing this family (518)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6821750B2 (en) 2000-11-20 2004-11-23 Tularik Inc. ABCG8 vectors, host cells, and method of making
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6770923B2 (en) 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
US6541280B2 (en) 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
EP1388178A2 (en) * 2001-05-14 2004-02-11 CDT Oxford Limited A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
SE522735C2 (sv) 2001-05-30 2004-03-02 Sandvik Ab Aluminiumoxidbelagt skärverktyg
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
JP3915054B2 (ja) * 2002-03-05 2007-05-16 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4800627B2 (ja) * 2004-03-24 2011-10-26 セイコーエプソン株式会社 強誘電体メモリ素子
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100578819B1 (ko) * 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
JP2006118669A (ja) * 2004-10-25 2006-05-11 Sanoh Industrial Co Ltd 樹脂チューブ
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
WO2009002365A1 (en) * 2006-12-15 2008-12-31 University Of South Carolina Pulsed selective area lateral epitaxy for growth of iii-nitride materials over non-polar and semi-polar substrates
WO2008127469A2 (en) * 2006-12-15 2008-10-23 University Of South Carolina A novel fabrication technique for high frequency, high power group iii nitride electronic devices
JP4353379B2 (ja) * 2006-12-19 2009-10-28 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009253195A (ja) 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
TWI392759B (zh) * 2009-09-28 2013-04-11 Univ Nat Taiwan 透明導電薄膜及其形成方法
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2010056582A (ja) * 2009-12-10 2010-03-11 Tokyo Electron Ltd 誘電体膜の形成方法
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8563445B2 (en) * 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8796097B2 (en) 2012-04-26 2014-08-05 University Of South Carolina Selectively area regrown III-nitride high electron mobility transistor
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US9023427B2 (en) * 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
KR101512315B1 (ko) * 2012-10-02 2015-04-15 모진희 실리콘이 포함된 도금액 및 이를 이용한 실리콘이 도금된 기판 제조방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9505737B2 (en) 2013-01-11 2016-11-29 Corsair Pharma, Inc. Treprostinil derivative compounds and methods of using same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9324559B2 (en) * 2013-03-15 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film deposition apparatus with multi chamber design and film deposition methods
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102300756B1 (ko) 2017-11-21 2021-09-10 와틀로 일렉트릭 매뉴팩츄어링 컴파니 원자 보호층을 갖는 세라믹 받침대
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10978293B2 (en) * 2018-03-28 2021-04-13 Meidensha Corporation Oxide film formation method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5949687B2 (ja) * 1977-05-30 1984-12-04 株式会社東芝 半導体装置
US4467238A (en) * 1981-09-03 1984-08-21 General Electric Company High-pressure sodium lamp with improved IR reflector
JPS6065712A (ja) 1983-09-20 1985-04-15 Toshiba Corp 酸化けい素被膜の形成方法
JPH0382769A (ja) * 1989-08-28 1991-04-08 Dainippon Screen Mfg Co Ltd シリコン酸化膜形成方法およびその装置
JPH03286531A (ja) * 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5187241A (en) 1990-05-15 1993-02-16 International Business Machines Corporation Isoimide modifications of a polyimide and reaction thereof with nucleophiles
JP2828152B2 (ja) * 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
RU2082258C1 (ru) * 1991-08-14 1997-06-20 Сименс АГ Схемная структура с по меньшей мере одним конденсатором и способ ее изготовления
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH05299412A (ja) * 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
MX9303141A (es) 1992-05-28 1994-04-29 Polar Materials Inc Metodos y aparatos para depositar recubrimientos de barrera.
JPH0680413A (ja) * 1992-08-27 1994-03-22 Toshiro Maruyama 二酸化珪素膜の化学気相成長法
US5459108A (en) 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JP3080809B2 (ja) * 1993-04-30 2000-08-28 シャープ株式会社 半導体装置の製造方法
JP3124861B2 (ja) 1993-03-24 2001-01-15 富士通株式会社 薄膜成長方法および半導体装置の製造方法
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
JPH0758100A (ja) * 1993-08-10 1995-03-03 Kawasaki Steel Corp 半導体装置の製造方法
JP3618110B2 (ja) 1993-08-30 2005-02-09 株式会社デンソー エレクトロルミネッセンス素子の製法
DE4437752A1 (de) 1994-10-21 1996-04-25 Basf Ag Verfahren zur Herstellung von siliciumoxidbeschichteten Feststoffteilchen
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US5536673A (en) 1995-07-26 1996-07-16 United Microelectronics Corporation Method for making dynamic random access memory (DRAM) cells having large capacitor electrode plates for increased capacitance
US5603750A (en) * 1995-08-14 1997-02-18 Minnesota Mining And Manufacturing Company Fluorocarbon fluids as gas carriers to aid in precious and base metal heap leaching operations
US5891744A (en) 1996-01-29 1999-04-06 Micron Technology, Inc. Method of monitoring a process of manufacturing a semiconductor wafer including hemispherical grain polysilicon
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JP3317387B2 (ja) * 1996-06-03 2002-08-26 シャープ株式会社 アクティブマトリクス基板およびその製造方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3286531B2 (ja) 1996-07-23 2002-05-27 三洋電機株式会社 動画像符号化方法及び動画像符号化装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
AU6040198A (en) 1997-04-03 1998-10-22 W.L. Gore & Associates, Inc. Low dielectric constant material with improved dielectric strength
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
FR2774775B1 (fr) 1998-02-09 2000-04-07 Inst Francais Du Petrole Dispositif d'emission sismique immergeable et methode pour sa mise en oeuvre
EP1148052A3 (en) * 1998-10-09 2003-10-15 Mitsui Chemicals, Inc. 1,3-dialkyl-2-imidazolidinones and a manufacturing process therefor
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6350199B1 (en) 1999-03-16 2002-02-26 International Game Technology Interactive gaming machine and method with customized game screen presentation
US6613383B1 (en) * 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003082464A (ja) 2001-09-10 2003-03-19 Mitsubishi Electric Corp 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US7045170B1 (en) * 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Surface Review and Letters, vol.6, nos. 3&4, 435-448(1999)

Also Published As

Publication number Publication date
WO2001040541A1 (en) 2001-06-07
EP1248865B1 (en) 2016-10-05
FI118804B (fi) 2008-03-31
US20070163488A1 (en) 2007-07-19
TWI226380B (en) 2005-01-11
US20110104906A1 (en) 2011-05-05
US20030188682A1 (en) 2003-10-09
KR20070009747A (ko) 2007-01-18
KR20020063196A (ko) 2002-08-01
JP5420129B2 (ja) 2014-02-19
JP2003515674A (ja) 2003-05-07
US7771534B2 (en) 2010-08-10
FI19992616A (fi) 2001-06-04
KR100731394B1 (ko) 2007-06-27
US9514956B2 (en) 2016-12-06
AU2374301A (en) 2001-06-12
US7824492B2 (en) 2010-11-02
EP1248865A1 (en) 2002-10-16
US20040065253A1 (en) 2004-04-08
US7771533B2 (en) 2010-08-10

Similar Documents

Publication Publication Date Title
KR100743768B1 (ko) 산화물 막의 성장 방법
JP2003515674A5 (ko)
US8617312B2 (en) Systems and methods for forming layers that contain niobium and/or tantalum
US7041609B2 (en) Systems and methods for forming metal oxides using alcohols
US6869638B2 (en) Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7547952B2 (en) Method for hafnium nitride deposition
US7253122B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7112485B2 (en) Systems and methods for forming zirconium and/or hafnium-containing layers
EP1532291B1 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
JP2001355070A (ja) 酸化物薄膜を製造する方法
US7425493B2 (en) Methods of forming dielectric structures and capacitors
KR101372162B1 (ko) 배향된 탄탈륨 펜트옥사이드 막을 제조하는 방법
US20110049512A1 (en) Method for developing thin film from oxide or silicate of hafnium nitride, coordination compound used in said method, and method for producing integrated electronic circuit
FI119844B (fi) Menetelmä oksidikalvojen kasvattamiseksi

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140703

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150619

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 12